JP7278164B2 - ルテニウム膜の形成方法及び基板処理システム - Google Patents

ルテニウム膜の形成方法及び基板処理システム Download PDF

Info

Publication number
JP7278164B2
JP7278164B2 JP2019129545A JP2019129545A JP7278164B2 JP 7278164 B2 JP7278164 B2 JP 7278164B2 JP 2019129545 A JP2019129545 A JP 2019129545A JP 2019129545 A JP2019129545 A JP 2019129545A JP 7278164 B2 JP7278164 B2 JP 7278164B2
Authority
JP
Japan
Prior art keywords
chlorine
ruthenium film
forming
processing
stage
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2019129545A
Other languages
English (en)
Other versions
JP2021014613A (ja
Inventor
忠大 石坂
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2019129545A priority Critical patent/JP7278164B2/ja
Priority to KR1020200081499A priority patent/KR102448245B1/ko
Priority to TW109122353A priority patent/TW202117049A/zh
Priority to US16/922,784 priority patent/US11680320B2/en
Publication of JP2021014613A publication Critical patent/JP2021014613A/ja
Priority to US18/125,236 priority patent/US20230227973A1/en
Application granted granted Critical
Publication of JP7278164B2 publication Critical patent/JP7278164B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/02Pretreatment of the material to be coated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/16Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal carbonyl compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28568Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising transition metals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53242Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a noble metal, e.g. gold

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Manufacturing & Machinery (AREA)
  • General Chemical & Material Sciences (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Electromagnetism (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Description

本開示は、ルテニウム膜の形成方法及び基板処理システムに関する。
絶縁層に設けられたトレンチ等の凹部内にルテニウム膜を埋め込む技術が知られている(例えば、特許文献1参照)。
特開2018-14477号公報
本開示は、埋め込み特性が良好なルテニウム膜を形成できる技術を提供する。
本開示の一態様によるルテニウム膜の形成方法は、絶縁膜を含む凹部を有する基板に塩素含有ガスを供給して前記凹部の上部に下部よりも高密度で塩素を吸着させる工程と、前記塩素が吸着した前記凹部に塩素を含まないRu含有前駆体を供給して前記凹部にルテニウム膜を成膜する工程と、を有する。
本開示によれば、埋め込み特性が良好なルテニウム膜を形成できる。
一実施形態のルテニウム膜の形成方法の一例を示す工程断面図 一実施形態のルテニウム膜の形成方法の別の例を示す工程断面図 基板処理システムの構成例を示す概略図 塩素を吸着させる工程の処理を実行する処理装置の一例を示す概略図 ルテニウム膜を成膜する工程の処理を実行する処理装置の一例を示す概略図 塩素による吸着阻害作用を説明するための図
以下、添付の図面を参照しながら、本開示の限定的でない例示の実施形態について説明する。添付の全図面中、同一又は対応する部材又は部品については、同一又は対応する参照符号を付し、重複する説明を省略する。
〔ルテニウム膜の形成方法〕
一実施形態のルテニウム(Ru)膜の形成方法の一例について説明する。図1は、一実施形態のルテニウム膜の形成方法の一例を示す工程断面図である。
図1に示されるルテニウム膜の形成方法は、絶縁膜を含む凹部を有する基板に塩素含有ガスを供給して凹部の上部に下部よりも高密度で塩素を吸着させる工程と、塩素が吸着した凹部にRu含有前駆体を供給して凹部にルテニウム膜を成膜する工程と、を有する。以下、詳細に説明する。
まず、図1(a)に示されるように、金属層101の上に絶縁膜102が形成された基板100を準備する。基板100は、例えばシリコンウエハ等の半導体ウエハである。金属層101は、例えばタングステン膜等の配線材料である。絶縁膜102は、例えば窒化シリコン膜102aと酸化シリコン膜102bの積層膜である。窒化シリコン膜102aは、例えばエッチストッパ層である。酸化シリコン膜102bは、例えば層間絶縁膜である。なお、絶縁膜102は、例えば窒化シリコン膜、酸化シリコン膜等の単層膜であってもよい。絶縁膜102には、トレンチ、ホール等の凹部103が形成され、凹部103の底面103cでは金属層101が露出している。なお、露出した金属層101の表面に自然酸化膜等が形成されている場合には、自然酸化膜等を除去するクリーニング工程を行ってもよい。クリーニング工程は、例えば凹部103の底面103cに塩素含有ガスを供給して凹部103の底面103c(金属層101の露出面)に形成された酸化膜を除去する工程である。例えば、塩素(Cl)ガスとアルゴン(Ar)ガスによるプラズマの交互供給によってもタングステン酸化膜を除去できる。また、処理温度が高温(例えば200℃以上)であれば、Arガスによるプラズマを使用せずにClガスのみを供給することでタングステン酸化膜を除去できる。また、Arガスのプラズマによるスパッタリングを用いることで、物理的にタングステン酸化膜を除去できる。
続いて、図1(b)に示されるように、基板100に塩素含有ガスを供給して、凹部103の上部に下部よりも高密度で塩素104を吸着させる。例えば、凹部103の上面103a及び側面103bの上部に塩素104を吸着させ、凹部103の側面103bの下部及び底面103cには塩素104を吸着させない。凹部103の上部に下部よりも高密度で塩素104を吸着させる方法は、特に限定されないが、例えば減圧された処理容器内に塩素含有ガスをプラズマで活性化して供給する方法であってよい。また、例えば塩素含有ガスをプラズマで活性化することなく、処理容器内の圧力、温度、ガス流量等の処理条件を調整する方法であってもよい。塩素含有ガスは、例えばClガスである。
続いて、図1(c)に示されるように、塩素104が吸着した凹部103にRu含有前駆体を供給して凹部103にルテニウム膜105を形成する。このとき、塩素104はRu含有前駆体の吸着を阻害する吸着阻害層として機能するため、凹部103における塩素104が吸着した表面にはルテニウム膜105が形成されにくい。そのため、成膜初期の段階では、塩素104が吸着していない凹部103の側面103bの下部及び底面103cにルテニウム膜105が厚く形成される。一方、塩素104が吸着している凹部103の上面103a及び側面103bの上部にはルテニウム膜105はほとんど形成されない、又は、凹部103の側面103bの下部及び底面103cよりも薄く形成される。その結果、凹部103内にルテニウム膜105がV字状に形成される。Ru含有前駆体は、例えばドデカカルボニルトリルテニウム(Ru(CO)12)、η-2,3-ジメチルブタジエンルテニウムトリカルボニル(Ru(DMBD)(CO))、(2,4-dimethylpentadienyl)(ethylcyclopentadienyl)ruthenium(Ru(DMPD)(EtCp))、bis(2,4-dimethylpentadienyl)ruthenium(Ru(DMPD))、4-dimethylpentadienyl)(methylcyclopentadienyl)ruthenium(Ru(DMPD)(MeCp))、bis(cyclopentadienyl)ruthenium(Ru(C)、cis-dicarbonyl bis(5-methylhexane-2,4-dionate)ruthenium(II)等である。
続いて、図1(d)及び図1(e)に示されるように、凹部103にRu含有前駆体を供給し続けることにより、凹部103にルテニウム膜105を埋め込む。このとき、成膜初期の段階で凹部103にV字状にルテニウム膜105が形成されているので、凹部103の底面103cから徐々に上方に成膜が進行するボトムアップ成膜となる。その結果、図1(f)に示されるように、凹部103にボイド、シーム等の発生が抑制されたルテニウム膜105を形成できる。すなわち、凹部130に埋め込み特性が良好なルテニウム膜105を形成できる。
一実施形態のルテニウム膜の形成方法の別の例について説明する。図2は、一実施形態のルテニウム膜の形成方法の別の例を示す工程断面図である。
図2に示されるルテニウム膜の形成方法は、図1に示されるルテニウム膜の形成方法における塩素を吸着させる工程とルテニウム膜を成膜する工程とを交互に繰り返し行うことにより、凹部にルテニウム膜を形成する方法である。以下、詳細に説明する。
まず、図2(a)に示されるように、金属層201の上に絶縁膜202が形成された基板200を準備する。基板200は、例えばシリコンウエハ等の半導体ウエハである。金属層201は、例えばタングステン膜等の配線材料である。絶縁膜202は、例えば窒化シリコン膜202aと酸化シリコン膜202bの積層膜である。窒化シリコン膜202aは、例えばエッチストッパ層である。酸化シリコン膜202bは、例えば層間絶縁膜である。なお、絶縁膜202は、例えば窒化シリコン膜、酸化シリコン膜等の単層膜であってもよい。絶縁膜202には、トレンチ、ホール等の凹部203が形成され、凹部203の底面203cでは金属層201が露出している。なお、露出した金属層201の表面に自然酸化膜等が形成されている場合には、自然酸化膜等を除去するクリーニング工程を行ってもよい。クリーニング工程は、例えば凹部203の底面203cに塩素含有ガスを供給して凹部203の底面203c(金属層201の露出面)に形成された酸化膜を除去する工程である。例えば、ClガスとArガスによるプラズマの交互供給によってもタングステン酸化膜を除去できる。また、処理温度が高温(例えば200℃以上)であれば、Arガスによるプラズマを使用せずにClガスのみを供給することでタングステン酸化膜を除去できる。また、Arガスのプラズマによるスパッタリングを用いることで、物理的にタングステン酸化膜を除去できる。
続いて、図2(b)に示されるように、基板200に塩素含有ガスを供給して、凹部203の上部に下部よりも高密度で塩素204を吸着させる。例えば、凹部203の上面203a及び側面203bの上部に塩素204を吸着させ、凹部203の側面203bの下部及び底面203cには塩素204を吸着させない。凹部203の上部に下部よりも高密度で塩素204を吸着させる方法は、特に限定されないが、例えば減圧された処理容器内に塩素含有ガスをプラズマで活性化して供給する方法であってよい。また、例えば塩素含有ガスをプラズマで活性化することなく、処理容器内の圧力、温度、ガス流量等の処理条件を調整する方法であってもよい。塩素含有ガスは、例えばClガスである。
続いて、図2(c)に示されるように、塩素204が吸着した凹部203にRu含有前駆体を供給して凹部203にルテニウム膜205を形成する。このとき、塩素204はRu含有前駆体の吸着を阻害する吸着阻害層として機能するため、凹部203における塩素204が吸着した表面にはルテニウム膜205が形成されにくい。そのため、成膜初期の段階では、塩素204が吸着していない凹部203の側面203bの下部及び底面203cにルテニウム膜205が厚く形成される。一方、塩素204が吸着している凹部203の上面203a及び側面203bの上部にはルテニウム膜205はほとんど形成されない、又は、凹部203の側面203bの下部及び底面203cよりも薄く形成される。その結果、凹部203内にルテニウム膜205がV字状に形成される。Ru含有前駆体は、例えば前述した図1に示されるルテニウム膜の形成方法で用いられるRu含有前駆体と同じであってよい。
続いて、図2(d)に示されるように、基板200に塩素含有ガスを供給して、凹部203の上部に下部よりも高密度で塩素204を吸着させる。例えば、凹部203の上面203a及び側面203bの上部に塩素204を吸着させ、凹部203の側面203bの下部及び底面203cに形成されたルテニウム膜205の表面には塩素204を吸着させない。凹部203の上部に下部よりも高密度で塩素204を吸着させる方法は、特に限定されないが、例えば減圧された処理容器内に塩素含有ガスをプラズマで活性化して供給する方法であってよい。また、例えば塩素含有ガスをプラズマで活性化することなく、処理容器内の圧力、温度、ガス流量等の処理条件を調整する方法であってもよい。塩素含有ガスは、例えばClガスである。
続いて、図2(e)に示されるように、塩素204が吸着した凹部203にRu含有前駆体を供給して凹部203にルテニウム膜205を形成する。このとき、塩素204はRu含有前駆体の吸着を阻害する吸着阻害層として機能するため、凹部203における塩素204が吸着した表面にはルテニウム膜205が形成されにくい。そのため、塩素204が吸着していない凹部203の側面203bの下部及び底面203cに形成されたルテニウム膜205の表面にルテニウム膜205が厚く形成される。一方、塩素204が吸着している凹部203の上面203a及び側面203bの上部にはルテニウム膜205はほとんど形成されない。又は、凹部203の側面203bの下部及び底面203cに形成されたルテニウム膜205の表面よりも薄く形成される。その結果、凹部203内にルテニウム膜205がV字状に形成される。Ru含有前駆体は、例えば前述した図1に示されるルテニウム膜の形成方法で用いられるRu含有前駆体と同じであってよい。
続いて、図2(f)~(i)に示されるように、塩素204の吸着及びルテニウム膜205の形成を交互に繰り返し行うことにより、凹部203にルテニウム膜205を埋め込む。このとき、塩素204の吸着及びルテニウム膜205の形成を交互に繰り返し行うことにより凹部203にルテニウム膜205を埋め込むので、凹部103の底面103cから徐々に上方に成膜が進行するボトムアップ成膜が促進される。その結果、凹部203のアスペクト比(凹部203の開口幅に対する深さの比)が高い場合においても凹部203にボイド、シーム等の発生が抑制されたルテニウム膜205を形成できる。すなわち、高アスペクト比の凹部230に埋め込み特性が良好なルテニウム膜205を形成できる。
〔基板処理システム〕
一実施形態のルテニウム膜の形成方法を実現する基板処理システムの一例について説明する。図3は、基板処理システムの構成例を示す概略図である。
基板処理システム1は、処理室11~14と、真空搬送室20と、ロードロック室31,32と、大気搬送室40と、ロードポート51~53と、ゲートバルブ61~68と、制御装置70と、を備える。
処理室11は、半導体ウエハ(以下「ウエハW」という。)を載置するステージ11aを有し、ゲートバルブ61を介して真空搬送室20と接続されている。同様に、処理室12は、ウエハWを載置するステージ12aを有し、ゲートバルブ62を介して真空搬送室20と接続されている。処理室13は、ウエハWを載置するステージ13aを有し、ゲートバルブ63を介して真空搬送室20と接続されている。処理室14は、ウエハWを載置するステージ14aを有し、ゲートバルブ64を介して真空搬送室20と接続されている。処理室11~14内は、所定の真空雰囲気に減圧され、その内部にてウエハWに所望の処理(エッチング処理、成膜処理、クリーニング処理、アッシング処理等)を施す。なお、処理室11~14における処理のための各部の動作は、制御装置70によって制御される。
真空搬送室20内は、所定の真空雰囲気に減圧されている。また、真空搬送室20には、搬送機構21が設けられている。搬送機構21は、処理室11~14、ロードロック室31,32に対して、ウエハWを搬送する。なお、搬送機構21の動作は、制御装置70によって制御される。
ロードロック室31は、ウエハWを載置するステージ31aを有し、ゲートバルブ65を介して真空搬送室20と接続され、ゲートバルブ67を介して大気搬送室40と接続されている。同様に、ロードロック室32は、ウエハWを載置するステージ32aを有し、ゲートバルブ66を介して真空搬送室20と接続され、ゲートバルブ68を介して大気搬送室40と接続されている。ロードロック室31,32内は、大気雰囲気と真空雰囲気とを切り替えることができるようになっている。なお、ロードロック室31,32内の真空雰囲気または大気雰囲気の切り替えは、制御装置70によって制御される。
大気搬送室40内は、大気雰囲気となっており、例えば清浄空気のダウンフローが形成されている。また、大気搬送室40には、搬送機構41が設けられている。搬送機構41は、ロードロック室31,32、ロードポート51~53のキャリアCに対して、ウエハWを搬送する。なお、搬送機構41の動作は、制御装置70によって制御される。
ロードポート51~53は、大気搬送室40の長辺の壁面に設けられている。ロードポート51~53は、ウエハWが収容されたキャリアC又は空のキャリアCが取り付けられる。キャリアCは、例えばFOUP(Front Opening Unified Pod)である。
ゲートバルブ61~68は、開閉可能に構成される。なお、ゲートバルブ61~68の開閉は、制御装置70によって制御される。
制御装置70は、処理室11~14の動作、搬送機構21,41の動作、ゲートバルブ61~68の開閉、ロードロック室31,32内の真空雰囲気または大気雰囲気の切り替え等を行うことにより、基板処理システム1全体を制御する。
次に、基板処理システムの動作の一例について説明する。例えば、制御装置70は、ゲートバルブ67を開けると共に、搬送機構41を制御して、例えばロードポート51のキャリアCに収容されたウエハWをロードロック室31のステージ31aに搬送させる。制御装置70は、ゲートバルブ67を閉じ、ロードロック室31内を真空雰囲気とする。
制御装置70は、ゲートバルブ61,65を開けると共に、搬送機構21を制御して、ロードロック室31のウエハWを処理室11のステージ11aに搬送させる。制御装置70は、ゲートバルブ61,65を閉じ、処理室11を動作させる。これにより、処理室11でウエハWに所定の処理(例えば、前述した塩素を吸着させる工程の処理)を施す。
続いて、制御装置70は、ゲートバルブ61,63を開けると共に、搬送機構21を制御して、処理室11にて処理されたウエハWを処理室13のステージ13aに搬送させる。制御装置70は、ゲートバルブ61,63を閉じ、処理室13を動作させる。これにより、処理室13でウエハWに所定の処理(例えば、前述したルテニウム膜を成膜する工程の処理)を施す。
制御装置70は、処理室11で処理されたウエハWを処理室13と同様な処理が可能な処理室14のステージ14aに搬送してもよい。一実施形態では、処理室13及び処理室14の動作状態に応じて処理室11のウエハWを処理室13又は処理室14に搬送する。これにより、制御装置70は、処理室13と処理室14とを使用して複数のウエハWに対して並行して所定の処理(例えば、前述したルテニウム膜を成膜する工程の処理)を行うことができる。これにより、生産性を高めることができる。
制御装置70は、処理室13又は処理室14にて処理されたウエハWを、搬送機構21を制御してロードロック室31のステージ31a又はロードロック室32のステージ32aに搬送させる。制御装置70は、ロードロック室31又はロードロック室32内を大気雰囲気とする。制御装置70は、ゲートバルブ67又はゲートバルブ68を開けると共に、搬送機構41を制御して、ロードロック室32のウエハWを例えばロードポート53のキャリアCに搬送して収容させる。
このように、図3に示される基板処理システム1によれば、各処理室によってウエハWに処理が施される間、ウエハWを大気に曝露することなく、つまり、真空を破らずにウエハWに所定の処理を施すことができる。
〔処理装置〕
一実施形態のルテニウム膜の形成方法における塩素を吸着させる工程の処理に用いる処理室を実現する処理装置400の構成例について説明する。図4は、塩素を吸着させる工程の処理を実行する処理装置400の一例を示す概略図である。
図4に示される処理装置400は、例えば塩素を吸着させる工程を行う装置である。処理装置400では、例えば塩素含有ガスを供給し、ウエハWに塩素を吸着させる処理を行う。以下、処理室11に用いられる処理装置400を例に挙げて説明する。
処理装置400は、処理容器410と、ステージ420と、シャワーヘッド430と、排気部440と、ガス供給機構450と、制御装置460とを有している。
処理容器410は、アルミニウム等の金属により構成され、略円筒状を有している。
処理容器410の側壁には、ウエハWを搬入又は搬出するための搬入出口411が形成されている。搬入出口411は、ゲートバルブ412により開閉される。処理容器410の本体の上には、断面が矩形状をなす円環状の排気ダクト413が設けられている。排気ダクト413には、内周面に沿ってスリット413aが形成されている。排気ダクト413の外壁には、排気口413bが形成されている。排気ダクト413の上面には、処理容器410の上部開口を塞ぐように天壁414が設けられている。排気ダクト413と天壁414の間はシールリング415で気密に封止されている。
ステージ420は、処理容器410内でウエハWを水平に支持する部材であり、図3ではステージ11aとして図示している。ステージ420は、ウエハWに対応した大きさの円板状に形成されており、支持部材423に支持されている。ステージ420は、窒化アルミニウム(AlN)等のセラミックス材料や、アルミニウムやニッケル合金等の金属材料で形成されており、内部にウエハWを加熱するためのヒータ421と電極429とが埋め込まれている。ヒータ421は、ヒータ電源(図示せず)から給電されて発熱する。そして、ステージ420の上面の近傍に設けられた熱電対(図示せず)の温度信号によりヒータ421の出力を制御し、これにより、ウエハWが所定の温度に制御される。
電極429には、整合器443を介して第1高周波電源444が接続されている。整合器443は、第1高周波電源444の内部インピーダンスに負荷インピーダンスを整合させる。第1高周波電源444は、所定周波数の電力を電極429を介してステージ420に印加する。例えば、第1高周波電源444は、13.56MHzの高周波電力を電極429を介してステージ420に印加する。高周波電力は13.56MHzに限られたものではなく、例えば、450KHz、2MHz、27MHz、60MHz、100MHzなど適宜使用が可能である。このようにして、ステージ420は、下部電極としても機能する。
また、電極429は、処理容器410の外側に配置したON/OFFスイッチ448を介して吸着電源449に接続され、ウエハWをステージ420に吸着させるための電極としても機能する。
また、シャワーヘッド430には、整合器445を介して第2高周波電源446が接続されている。整合器445は、第2高周波電源446の内部インピーダンスに負荷インピーダンスを整合させる。第2高周波電源446は、所定周波数の電力をシャワーヘッド430に印加する。例えば、第2高周波電源446は、13.56MHzの高周波電力をシャワーヘッド430に印加する。高周波電力は13.56MHzに限られたものではなく、例えば、450KHz、2MHz、27MHz、60MHz、100MHzなど適宜使用が可能である。このようにして、シャワーヘッド430は、上部電極としても機能する。
ステージ420には、上面の外周領域及び側面を覆うようにアルミナ等のセラミックスにより形成されたカバー部材422が設けられている。ステージ420の底面には、上部電極と下部電極の間のギャップGを調整する調整機構447が設けられている。調整機構447は、支持部材423と昇降機構424とを有する。支持部材423は、ステージ420の底面の中央からステージ420を支持する。また、支持部材423は、処理容器410の底壁に形成された孔部を貫通して処理容器410の下方に延び、下端が昇降機構424に接続されている。ステージ420は、昇降機構424により、支持部材423を介して昇降する。調整機構447は、図4の実線で示す処理位置と、その下方の二点鎖線で示すウエハWの搬送が可能な受け渡し位置の間で昇降機構424を昇降させ、ウエハWの搬入及び搬出を可能にする。
支持部材423の処理容器410の下方には、鍔部425が取り付けられており、処理容器410の底面と鍔部425の間には、処理容器410内の雰囲気を外気と区画し、ステージ420の昇降動作にともなって伸縮するベローズ426が設けられている。
処理容器410の底面の近傍には、昇降板427aから上方に突出するように3本(2本のみ図示)の昇降ピン427が設けられている。昇降ピン427は、処理容器410の下方に設けられた昇降機構428により昇降板427aを介して昇降する。
昇降ピン427は、受け渡し位置にあるステージ420に設けられた貫通孔420aに挿通されてステージ420の上面に対して突没可能となっている。昇降ピン427を昇降させることにより、搬送機構(図示せず)とステージ420の間でウエハWの受け渡しが行われる。
シャワーヘッド430は、処理容器410内に処理ガスをシャワー状に供給する。シャワーヘッド430は、金属製であり、ステージ420に対向するように設けられており、ステージ420とほぼ同じ直径を有している。シャワーヘッド430は、処理容器410の天壁414に固定された本体部431と、本体部431の下に接続されたシャワープレート432とを有している。本体部431とシャワープレート432の間には、ガス拡散空間433が形成されており、ガス拡散空間433には処理容器410の天壁414及び本体部431の中央を貫通するようにガス導入孔436が設けられている。シャワープレート432の周縁部には、下方に突出する環状突起部434が形成されている。環状突起部434の内側の平坦面には、ガス吐出孔435が形成されている。ステージ420が処理位置に存在した状態では、ステージ420とシャワープレート432の間に処理空間438が形成され、カバー部材422の上面と環状突起部434とが近接して環状隙間439が形成される。
排気部440は、処理容器410の内部を排気する。排気部440は、排気口413bに接続された排気配管441と、排気配管441に接続された真空ポンプや圧力制御バルブ等を有する排気機構442とを有する。処理に際しては、処理容器410内のガスがスリット413aを介して排気ダクト413に至り、排気ダクト413から排気配管441を通って排気機構442により排気される。
シャワーヘッド430のガス導入孔436には、ガス供給ライン437を介してガス供給機構450が接続されている。ガス供給機構450は、塩素を吸着させる工程の処理に用いる各種のガスのガス供給源に、それぞれガス供給ラインを介して接続されている。例えば、ガス供給機構450は、Clガス、Hガス、希ガスなどの各種のガスを供給するガス供給源とそれぞれガス供給ラインを介して接続されている。
各ガス供給ラインは、塩素を吸着させる工程の処理に対応して適宜分岐し、開閉バルブ、流量制御器が設けられている。ガス供給機構450は、各ガス供給ラインに設けられた開閉バルブや流量制御器を制御することにより、各種のガスの流量の制御が可能とされている。ガス供給機構450は、塩素を吸着させる工程の処理の際に、ガス供給ライン437及びシャワーヘッド430を介して処理容器410内に、Clガスを含む各種のガスをそれぞれ供給する。
上記のように構成された処理装置400は、制御装置460によって、動作が統括的に制御される。制御装置460は、例えばコンピュータであり、CPU(Central Processing Unit)、RAM(Random Access Memory)、ROM(Read Only Memory)、補助記憶装置等を備える。CPUは、ROM又は補助記憶装置に格納されたプログラムや、塩素を吸着させる工程の処理のプロセス条件に基づいて動作し、装置全体の動作を制御する。例えば、制御装置460は、ガス供給機構450からの各種のガスの供給動作、昇降機構424の昇降動作、排気機構442による処理容器410内の排気動作、第1高周波電源444及び第2高周波電源446からの供給電力を制御する。なお、制御に必要なコンピュータに読み取り可能なプログラムは、記憶媒体に記憶されていてもよい。記憶媒体は、例えばフレキシブルディスク、CD(Compact Disc)、CD-ROM、ハードディスク、フラッシュメモリ或いはDVD等よりなる。なお、制御装置460は、制御装置70(図3参照)と独立に設けられていてもよく、制御装置70が制御装置460を兼ねてもよい。
処理装置400の動作の一例について説明する。なお、開始時において、処理室11内は、排気部440により真空雰囲気となっている。また、ステージ420は受け渡し位置に移動している。
制御装置460は、ゲートバルブ412を開ける。ここで、外部の搬送機構21により、昇降ピン427の上にウエハWが載置される。搬送機構21が搬入出口411から出ると、制御装置460は、ゲートバルブ412を閉じる。
制御装置460は、昇降機構424を制御してステージ420を処理位置に移動させる。この際、ステージ420が上昇することにより、昇降ピン427の上に載置されたウエハWがステージ420の載置面に載置される。
処理位置において、制御装置460は、ヒータ421を動作させ、ON/OFFスイッチ448をONにしてウエハWをステージ420に吸着させる。また、制御装置460は、ガス供給機構450を制御して、塩素含有ガス等のプロセスガスやキャリアガスをシャワーヘッド430から処理室11内へ供給させる。これにより、ウエハWに塩素を吸着させる工程の処理等の所定の処理が行われる。処理後のガスは、カバー部材422の上面側の流路を通過し、排気配管441を介して排気機構442により排気される。
この際、制御装置460は、第1高周波電源444及び整合器443を制御して、所定周波数の電力をステージ420に印加する。また、制御装置460は、第2高周波電源446及び整合器445を制御して、所定周波数の電力をシャワーヘッド430に印加する。
所定の処理が終了すると、制御装置460は、ON/OFFスイッチ448をOFFにしてウエハWのステージ420への吸着を解除させ、昇降機構424を制御してステージ420を受け渡し位置に移動させる。この際、昇降ピン427の頭部がステージ420の載置面から突出し、ステージ420の載置面からウエハWを持ち上げる。
制御装置460は、ゲートバルブ412を開ける。ここで、外部の搬送機構21により、昇降ピン427の上に載置されたウエハWが搬出される。搬送機構21が搬入出口411から出ると、制御装置460は、ゲートバルブ412を閉じる。
このように、図4に示される処理装置400によれば、ウエハWに塩素を吸着させる工程の処理等の所定の処理を行うことができる。
なお、処理装置400を用いて実行される塩素を吸着させる工程の好適なプロセス条件は以下である。
塩素含有ガス:Clガス(10~1000sccm)
処理容器410の圧力:1~100mTorr(0.13~13Pa)
ウエハ温度:60~300℃
第2高周波電源446の電力:50~500W
次に、一実施形態のルテニウム膜の形成方法におけるルテニウム膜を成膜する工程の処理に用いる処理室を実現する処理装置500の構成例について説明する。図5は、ルテニウム膜を成膜する工程の処理を実行する処理装置500の一例を示す概略図である。
図5に示される処理装置500は、CVD(Chemical Vapor Deposition)装置であって、例えばルテニウム膜を成膜する工程を行う装置である。処理装置500では、例えばルテニウム含有前駆体を供給し、ウエハWにルテニウム膜を成膜する処理を行う。以下、処理室13に用いられる処理装置500を例に挙げて説明する。
本体容器501は、上側に開口を有する有底の容器である。支持部材502は、ガス吐出機構503を支持する。また、支持部材502が本体容器501の上側の開口を塞ぐことにより、本体容器501は密閉され、処理室13(併せて、図3参照)を形成する。ガス供給部504は、支持部材502を貫通する供給管502aを介して、ガス吐出機構503にルテニウム含有ガス等のプロセスガスやキャリアガスを供給する。ガス供給部504から供給されたルテニウム含有ガスやキャリアガスは、ガス吐出機構503から処理室13内へ供給される。
ステージ505は、ウエハWを載置する部材であり、図3ではステージ13aとして図示している。ステージ505の内部には、ウエハWを加熱するためのヒータ506が設けられている。また、ステージ505は、ステージ505の下面中心部から下方に向けて伸び、本体容器501の底部を貫通する一端が昇降板509を介して、昇降機構に支持された支持部505aを有する。また、ステージ505は、断熱リング507を介して、温調部材である温調ジャケット508の上に固定される。温調ジャケット508は、ステージ505を固定する板部と、板部から下方に延び、支持部505aを覆うように構成された軸部と、板部から軸部を貫通する穴部と、を有している。
温調ジャケット508の軸部は、本体容器501の底部を貫通する。温調ジャケット508の下端部は、本体容器501の下方に配置された昇降板509を介して、昇降機構510に支持される。本体容器501の底部と昇降板509との間には、ベローズ511が設けられており、昇降板509の上下動によっても本体容器501内の気密性は保たれる。
昇降機構510が昇降板509を昇降させると、ステージ505は、ウエハWの処理が行われる処理位置(図5参照)と、搬入出口501aを介して外部の搬送機構21(図3参照)との間でウエハWの受け渡しを行う受け渡し位置(図示せず)との間を昇降する。
昇降ピン512は、外部の搬送機構21(図3参照)との間でウエハWの受け渡しを行う際、ウエハWの下面から支持して、ステージ505の載置面からウエハWを持ち上げる。昇降ピン512は、軸部と、軸部よりも拡径した頭部と、を有している。ステージ505及び温調ジャケット508の板部には、昇降ピン512の軸部が挿通する貫通穴が形成されている。また、ステージ505の載置面側に昇降ピン512の頭部を収納する溝部が形成されている。昇降ピン512の下方には、当接部材513が配置されている。
ステージ505をウエハWの処理位置(図5参照)まで移動させた状態において、昇降ピン512の頭部は溝部内に収納され、ウエハWはステージ505の載置面に載置される。また、昇降ピン512の頭部が溝部に係止され、昇降ピン512の軸部はステージ505及び温調ジャケット508の板部を貫通して、昇降ピン512の軸部の下端は温調ジャケット508の板部から突き出ている。一方、ステージ505をウエハWの受け渡し位置(図示せず)まで移動させた状態において、昇降ピン512の下端が当接部材513と当接して、昇降ピン512の頭部がステージ505の載置面から突出する。これにより、昇降ピン512の頭部がウエハWの下面から支持して、ステージ505の載置面からウエハWを持ち上げる。
環状部材514は、ステージ505の上方に配置されている。ステージ505をウエハWの処理位置(図5参照)まで移動させた状態において、環状部材514は、ウエハWの上面外周部と接触し、環状部材514の自重によりウエハWをステージ505の載置面に押し付ける。一方、ステージ505をウエハWの受け渡し位置(図示せず)まで移動させた状態において、環状部材514は、搬入出口501aよりも上方で図示しない係止部によって係止される。これにより、搬送機構21(図3参照)によるウエハWの受け渡しを阻害しないようになっている。
チラーユニット515は、配管515a,515bを介して、温調ジャケット508の板部に形成された流路508aに冷媒、例えば冷却水を循環させる。
伝熱ガス供給部516は、配管516aを介して、ステージ505に載置されたウエハWの裏面とステージ505の載置面との間に、例えばHeガス等の伝熱ガスを供給する。
パージガス供給部517は、配管517a、支持部505aと温調ジャケット508の穴部との隙間、ステージ505と断熱リング507の間に形成され径方向外側に向かって延びる流路、ステージ505の外周部に形成された上下方向の流路にパージガスを流す。そして、これらの流路を介して、環状部材514の下面とステージ505の上面との間に、例えば一酸化炭素(CO)ガス等のパージガスを供給する。これにより、環状部材514の下面とステージ505の上面との間の空間にプロセスガスが流入することを防止して、環状部材514の下面やステージ505の外周部の上面に成膜されることを防止する。
本体容器501の側壁には、ウエハWを搬入出するための搬入出口501aと、搬入出口501aを開閉するゲートバルブ518と、が設けられている。ゲートバルブ518は、図3ではゲートバルブ63として図示している。
本体容器501の下方の側壁には、排気管501bを介して、真空ポンプ等を含む排気部519が接続される。排気部519により本体容器501内が排気され、処理室13内が所定の真空雰囲気(例えば、1.33Pa)に設定、維持される。
制御装置520は、ガス供給部504、ヒータ506、昇降機構510、チラーユニット515、伝熱ガス供給部516、パージガス供給部517、ゲートバルブ518、排気部519等を制御することにより、処理装置500の動作を制御する。なお、制御装置520は、制御装置70(図3参照)と独立に設けられていてもよく、制御装置70が制御装置520を兼ねてもよい。
処理装置500の動作の一例について説明する。なお、開始時において、処理室13内は、排気部519により真空雰囲気となっている。また、ステージ505は受け渡し位置に移動している。
制御装置520は、ゲートバルブ518を開ける。ここで、外部の搬送機構21により、昇降ピン512の上にウエハWが載置される。搬送機構21が搬入出口501aから出ると、制御装置520は、ゲートバルブ518を閉じる。
制御装置520は、昇降機構510を制御してステージ505を処理位置に移動させる。この際、ステージ505が上昇することにより、昇降ピン512の上に載置されたウエハWがステージ505の載置面に載置される。また、環状部材514がウエハWの上面外周部と接触し、環状部材514の自重によりウエハWをステージ505の載置面に押し付ける。
処理位置において、制御装置520は、ヒータ506を動作させると共に、ガス供給部504を制御して、ルテニウム含有ガス等のプロセスガスやキャリアガスをガス吐出機構503から処理室12内へ供給させる。これにより、ウエハWにルテニウム膜を成膜する工程の処理等の所定の処理が行われる。処理後のガスは、環状部材514の上面側の流路を通過し、排気管501bを介して排気部519により排気される。
この際、制御装置520は、伝熱ガス供給部516を制御して、ステージ505に載置されたウエハWの裏面とステージ505の載置面との間に伝熱ガスを供給する。また、制御装置520は、パージガス供給部517を制御して、環状部材514の下面とステージ505の上面との間にパージガスを供給する。パージガスは、環状部材514の下面側の流路を通過し、排気管501bを介して排気部519により排気される。
所定の処理が終了すると、制御装置520は、昇降機構510を制御してステージ505を受け渡し位置に移動させる。この際、ステージ505が下降することにより、環状部材514が図示しない係止部によって係止される。また、昇降ピン512の下端が当接部材513と当接することにより、昇降ピン512の頭部がステージ505の載置面から突出し、ステージ505の載置面からウエハWを持ち上げる。
制御装置520は、ゲートバルブ518を開ける。ここで、外部の搬送機構21により、昇降ピン512の上に載置されたウエハWが搬出される。搬送機構21が搬入出口501aから出ると、制御装置520は、ゲートバルブ518を閉じる。
このように、図5に示される処理装置500によれば、ウエハWにルテニウム膜を成膜する工程の処理等の所定の処理を行うことができる。
なお、処理室11を有する処理装置400及び処理室13を有する処理装置500について説明したが、処理室12を有する処理装置、処理室14を有する処理装置についても上記のいずれかの処理装置と同様の構成を有していてもよく、異なっていてもよい。稼働率や生産性の観点から適宜、適用可能である。
〔実施例〕
次に、塩素によるRu含有前駆体の吸着阻害作用を確認するために行った実施例について説明する。
実施例では、まず、シリコン601上にTiN膜602及びタングステン膜603をこの順に積層したウエハを2枚準備した。
続いて、準備したウエハの一方に対し、処理室11において塩素を吸着させる工程の処理を施し、次いで処理室13においてルテニウム膜604を成膜する工程の処理を施した。また、準備したウエハの他方に対し、処理室11において塩素を吸着させる工程の処理を施すことなく、処理室13においてルテニウム膜604を成膜する工程の処理を施した。なお、一方のウエハ及び他方のウエハに対して処理室13において行ったルテニウム膜604を成膜する工程の処理の条件は同じである。なお、塩素を吸着させる工程及びルテニウム膜604を成膜する工程の処理条件は以下である。
(塩素を吸着させる工程)
塩素含有ガス:Clガス(240sccm)
処理圧力:30mTorr(4Pa)
ウエハ温度:60℃
(ルテニウム膜604を成膜する工程)
処理圧力:20mTorr(2.7Pa)
ウエハ温度:155℃
続いて、透過電子顕微鏡(TEM:Transmission Electron Microscope)を用いて2枚のウエハの断面をそれぞれ観察することにより、タングステン膜603上に成膜されたルテニウム膜604の膜厚を評価した。
図6は、塩素による吸着阻害作用を説明するための図であり、タングステン膜603上に成膜されたルテニウム膜604の断面のTEM画像である。図6(a)は、処理室11において塩素を吸着させる工程の処理を施し、次いで処理室13においてルテニウム膜604を成膜する工程の処理を施したウエハの断面を示す。図6(b)は、処理室11において塩素を吸着させる工程の処理を施すことなく、処理室13においてルテニウム膜604を成膜する工程の処理を施したウエハの断面を示す。
図6に示されるように、処理室11で塩素を吸着させる工程の処理を施した場合のルテニウム膜604(左図)の膜厚T1は、処理室11で塩素を吸着させる工程の処理を施さなかった場合のルテニウム膜604(右図)の膜厚T2の半分以下であることが分かる。この結果から、タングステン膜603上に吸着した塩素がRu含有前駆体の吸着を阻害する作用を有していると言える。
今回開示された実施形態はすべての点で例示であって制限的なものではないと考えられるべきである。上記の実施形態は、添付の請求の範囲及びその趣旨を逸脱することなく、様々な形態で省略、置換、変更されてもよい。
なお、上記の実施形態では、塩素を吸着させる工程とルテニウム膜を成膜する工程とが真空搬送室を介して接続された別の処理容器内で行われる場合を説明したが、本開示はこれに限定されない。例えば、塩素を吸着させる工程とルテニウム膜を成膜する工程とは、同一の処理容器内で行われてもよい。ただし、塩素を吸着させる工程とルテニウム膜を成膜する工程とで処理温度が異なる場合には、生産性の観点から、塩素を吸着させる工程とルテニウム膜を成膜する工程を別の処理容器で行うことが好ましい。
100,200 基板
101,201 金属層
102,202 絶縁膜
103,203 凹部
103a,203a 上面
103b,203b 側面
103c,203c 底面
104,204 塩素
105,205 ルテニウム膜

Claims (8)

  1. 絶縁膜を含む凹部を有する基板に塩素含有ガスを供給して前記凹部の上部に下部よりも高密度で塩素を吸着させる工程と、
    前記塩素が吸着した前記凹部に塩素を含まないRu含有前駆体を供給して前記凹部にルテニウム膜を成膜する工程と、
    を有する、
    ルテニウム膜の形成方法。
  2. 前記塩素を吸着させる工程では、前記塩素含有ガスをプラズマにより活性化して供給する、
    請求項1に記載のルテニウム膜の形成方法。
  3. 前記塩素を吸着させる工程と前記ルテニウム膜を成膜する工程とは、交互に繰り返し行われる、
    請求項1又は2に記載のルテニウム膜の形成方法。
  4. 前記塩素を吸着させる工程の前に行われ、前記凹部に塩素含有ガスを供給して前記凹部の底面に形成された酸化膜を除去する工程を有する、
    請求項1乃至3のいずれか一項に記載のルテニウム膜の形成方法。
  5. 前記塩素を吸着させる工程と前記ルテニウム膜を成膜する工程とは、真空搬送室を介して接続された別の処理容器内で行われる、
    請求項1乃至4のいずれか一項に記載のルテニウム膜の形成方法。
  6. 前記塩素を吸着させる工程と前記ルテニウム膜を成膜する工程とは、同一の処理容器内で行われる、
    請求項1乃至4のいずれか一項に記載のルテニウム膜の形成方法。
  7. 前記塩素含有ガスは、Clガスであり、
    前記Ru含有前駆体は、Ru(CO)12である、
    請求項1乃至6のいずれか一項に記載のルテニウム膜の形成方法。
  8. 減圧状態で基板を搬送可能な搬送機構を内部に有する真空搬送室と、
    前記真空搬送室に接続された第1の処理装置と、
    前記真空搬送室に接続された第2の処理装置と、
    制御部と、
    を備え、
    前記制御部は、
    絶縁膜を含む凹部を有する基板を前記第1の処理装置に搬送し、前記第1の処理装置において減圧状態で前記基板に塩素含有ガスを供給して前記凹部の上部に下部よりも高密度で塩素を吸着させる工程と、
    前記基板を前記第1の処理装置から前記真空搬送室を介して前記第2の処理装置に搬送し、前記第2の処理装置において減圧状態で前記塩素が吸着した前記凹部に塩素を含まないRu含有前駆体を供給して前記凹部にルテニウム膜を成膜する工程と、
    をこの順で実行するように、前記真空搬送室、前記第1の処理装置及び前記第2の処理装置を制御する、
    基板処理システム。
JP2019129545A 2019-07-11 2019-07-11 ルテニウム膜の形成方法及び基板処理システム Active JP7278164B2 (ja)

Priority Applications (5)

Application Number Priority Date Filing Date Title
JP2019129545A JP7278164B2 (ja) 2019-07-11 2019-07-11 ルテニウム膜の形成方法及び基板処理システム
KR1020200081499A KR102448245B1 (ko) 2019-07-11 2020-07-02 루테늄막의 형성 방법 및 기판 처리 시스템
TW109122353A TW202117049A (zh) 2019-07-11 2020-07-02 釕膜之形成方法及基板處理系統
US16/922,784 US11680320B2 (en) 2019-07-11 2020-07-07 Ruthenium film forming method and substrate processing system
US18/125,236 US20230227973A1 (en) 2019-07-11 2023-03-23 Ruthenium film forming method and substrate processing system

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2019129545A JP7278164B2 (ja) 2019-07-11 2019-07-11 ルテニウム膜の形成方法及び基板処理システム

Publications (2)

Publication Number Publication Date
JP2021014613A JP2021014613A (ja) 2021-02-12
JP7278164B2 true JP7278164B2 (ja) 2023-05-19

Family

ID=74103021

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2019129545A Active JP7278164B2 (ja) 2019-07-11 2019-07-11 ルテニウム膜の形成方法及び基板処理システム

Country Status (4)

Country Link
US (2) US11680320B2 (ja)
JP (1) JP7278164B2 (ja)
KR (1) KR102448245B1 (ja)
TW (1) TW202117049A (ja)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2023046638A (ja) 2021-09-24 2023-04-05 東京エレクトロン株式会社 基板処理方法及び基板処理システム
KR20240044338A (ko) 2022-09-28 2024-04-04 도쿄엘렉트론가부시키가이샤 성막 방법 및 기판 처리 시스템

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010278468A (ja) 2010-08-17 2010-12-09 Tokyo Electron Ltd 被処理体の処理方法、処理装置、薄膜形成方法、薄膜形成装置及びプログラム
JP2017050304A (ja) 2015-08-31 2017-03-09 東京エレクトロン株式会社 半導体装置の製造方法
JP2017092101A (ja) 2015-11-04 2017-05-25 東京エレクトロン株式会社 パターン形成方法
JP2017143258A (ja) 2016-02-03 2017-08-17 東京エレクトロン株式会社 堆積プロセス及びエッチングプロセスを使用する凸状フィーチャ及び凹状フィーチャのための選択的膜形成
JP2018137369A (ja) 2017-02-22 2018-08-30 東京エレクトロン株式会社 成膜方法及び成膜装置
JP2018147949A (ja) 2017-03-02 2018-09-20 東京エレクトロン株式会社 ルテニウム配線の製造方法
JP2018170409A (ja) 2017-03-30 2018-11-01 東京エレクトロン株式会社 選択成長方法

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH1116859A (ja) * 1997-05-01 1999-01-22 Ulvac Japan Ltd 選択cvd方法
US20050070109A1 (en) * 2003-09-30 2005-03-31 Feller A. Daniel Novel slurry for chemical mechanical polishing of metals
JP4640800B2 (ja) * 2005-06-22 2011-03-02 東京エレクトロン株式会社 被処理体の処理方法、処理装置、薄膜形成方法、薄膜形成装置及びプログラム
US10002834B2 (en) * 2015-03-11 2018-06-19 Applied Materials, Inc. Method and apparatus for protecting metal interconnect from halogen based precursors
JP6785130B2 (ja) 2016-07-06 2020-11-18 東京エレクトロン株式会社 ルテニウム配線およびその製造方法
US10731250B2 (en) * 2017-06-06 2020-08-04 Lam Research Corporation Depositing ruthenium layers in interconnect metallization
US10438846B2 (en) * 2017-11-28 2019-10-08 Taiwan Semiconductor Manufacturing Co., Ltd. Physical vapor deposition process for semiconductor interconnection structures
US20190348369A1 (en) * 2018-05-10 2019-11-14 Mehul B. Naik Method and apparatus for protecting metal interconnect from halogen based precursors
US10580696B1 (en) * 2018-08-21 2020-03-03 Globalfoundries Inc. Interconnects formed by a metal displacement reaction
TW202021046A (zh) * 2018-09-14 2020-06-01 美商應用材料股份有限公司 形成具有嵌入式阻障層的穿孔之方法
JP7182970B2 (ja) * 2018-09-20 2022-12-05 東京エレクトロン株式会社 埋め込み方法及び処理システム
JP7195106B2 (ja) * 2018-10-12 2022-12-23 東京エレクトロン株式会社 成膜方法及び基板処理システム
US11355391B2 (en) * 2019-03-18 2022-06-07 Applied Materials, Inc. Method for forming a metal gapfill
US11282745B2 (en) * 2019-04-28 2022-03-22 Applied Materials, Inc. Methods for filling features with ruthenium
US11227794B2 (en) * 2019-12-19 2022-01-18 Taiwan Semiconductor Manufacturing Co., Ltd. Method for making self-aligned barrier for metal vias In-Situ during a metal halide pre-clean and associated interconnect structure

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010278468A (ja) 2010-08-17 2010-12-09 Tokyo Electron Ltd 被処理体の処理方法、処理装置、薄膜形成方法、薄膜形成装置及びプログラム
JP2017050304A (ja) 2015-08-31 2017-03-09 東京エレクトロン株式会社 半導体装置の製造方法
JP2017092101A (ja) 2015-11-04 2017-05-25 東京エレクトロン株式会社 パターン形成方法
JP2017143258A (ja) 2016-02-03 2017-08-17 東京エレクトロン株式会社 堆積プロセス及びエッチングプロセスを使用する凸状フィーチャ及び凹状フィーチャのための選択的膜形成
JP2018137369A (ja) 2017-02-22 2018-08-30 東京エレクトロン株式会社 成膜方法及び成膜装置
JP2018147949A (ja) 2017-03-02 2018-09-20 東京エレクトロン株式会社 ルテニウム配線の製造方法
JP2018170409A (ja) 2017-03-30 2018-11-01 東京エレクトロン株式会社 選択成長方法

Also Published As

Publication number Publication date
TW202117049A (zh) 2021-05-01
US20230227973A1 (en) 2023-07-20
KR20210007860A (ko) 2021-01-20
US11680320B2 (en) 2023-06-20
JP2021014613A (ja) 2021-02-12
US20210010135A1 (en) 2021-01-14
KR102448245B1 (ko) 2022-09-28

Similar Documents

Publication Publication Date Title
KR101709851B1 (ko) 성막 방법 및 성막 장치
JP7336884B2 (ja) 表面処理方法及び処理システム
JP7182970B2 (ja) 埋め込み方法及び処理システム
US20230227973A1 (en) Ruthenium film forming method and substrate processing system
US11387112B2 (en) Surface processing method and processing system
KR102096143B1 (ko) 루테늄 배선 및 그 제조 방법
KR102307270B1 (ko) 매립 방법 및 처리 시스템
KR20160068668A (ko) Cu 배선의 형성 방법 및 성막 시스템, 기억 매체
JP2012169590A (ja) Cu配線の形成方法およびCu膜の成膜方法、ならびに成膜システム
JP2019062142A (ja) 選択成膜方法および半導体装置の製造方法
US10522467B2 (en) Ruthenium wiring and manufacturing method thereof
JP2017050304A (ja) 半導体装置の製造方法
KR102244396B1 (ko) 에칭 방법 및 에칭 장치
JP7195106B2 (ja) 成膜方法及び基板処理システム
US20240105445A1 (en) Film forming method and substrate processing system
US20240153818A1 (en) Embedding method and processing system
JP2024049290A (ja) 成膜方法及び基板処理システム
WO2021193016A1 (ja) 半導体装置の製造方法及び基板処理システム
TW202420416A (zh) 成膜方法及基板處理系統
TW202333236A (zh) 釕膜之成膜方法及處理裝置

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20220308

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20221220

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20230104

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230228

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20230411

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20230509

R150 Certificate of patent or registration of utility model

Ref document number: 7278164

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150