JP2003133299A - 半導体製造装置および半導体製造方法 - Google Patents

半導体製造装置および半導体製造方法

Info

Publication number
JP2003133299A
JP2003133299A JP2001325769A JP2001325769A JP2003133299A JP 2003133299 A JP2003133299 A JP 2003133299A JP 2001325769 A JP2001325769 A JP 2001325769A JP 2001325769 A JP2001325769 A JP 2001325769A JP 2003133299 A JP2003133299 A JP 2003133299A
Authority
JP
Japan
Prior art keywords
chamber
gas supply
transparent plate
processed
light
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2001325769A
Other languages
English (en)
Inventor
Kiyohiko Saikawa
清彦 歳川
Riichi Motoyama
理一 本山
Junichi Miyano
淳一 宮野
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
MIYAZAKI MACHINE DESIGN KK
Oki Electric Industry Co Ltd
Miyazaki Oki Electric Co Ltd
Original Assignee
MIYAZAKI MACHINE DESIGN KK
Oki Electric Industry Co Ltd
Miyazaki Oki Electric Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by MIYAZAKI MACHINE DESIGN KK, Oki Electric Industry Co Ltd, Miyazaki Oki Electric Co Ltd filed Critical MIYAZAKI MACHINE DESIGN KK
Priority to JP2001325769A priority Critical patent/JP2003133299A/ja
Priority to US10/273,147 priority patent/US7381275B2/en
Publication of JP2003133299A publication Critical patent/JP2003133299A/ja
Pending legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • C23C16/463Cooling of the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/48Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation
    • C23C16/482Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation using incoherent light, UV to IR, e.g. lamps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/48Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation
    • C23C16/488Protection of windows for introduction of radiation into the coating chamber

Landscapes

  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

(57)【要約】 【課題】 光を用いたCVD法による半導体製造装置に
おけるウエハに生成される被膜の固体間の膜厚品質を安
定させ、かつ面内膜厚均一性を改善することによって生
産効率の優れた半導体製造装置を実現する。 【解決手段】 光を用いたCVD法による半導体製造装
置のウエハを格納するチャンバに原料ガスを供給するガ
ス供給管と、チャンバに設けられ光を透過させる透明板
とを設け、この透明板をヒータによって加熱することに
より、透明板への被膜生成を防止し、被処理物近傍の原
料ガスの濃度を制御する。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、光を用いた化学蒸
着法による半導体製造装置およびその製造方法に関す
る。
【0002】
【従来の技術】この種の半導体製造装置の従来例として
は、例えば、図13の説明図に示すものがある。図にお
いて、1は半導体製造装置のチャンバである。2は透明
板であり、石英ガラスまたは合成石英ガラス等の透明な
材質で製作されており、光を透過する。
【0003】3は光源であり、ランプハウス4内に格納
され、光を用いた化学蒸着(以下、CVDという。)に
必要な真空紫外光や紫外光等の光を放射する。5は天板
であり、チャンバ1の上部に設けられ透明板2が取付け
られる。6はガス供給管であり、テトラエトキシオルソ
シリケート(Si(OC25 4)(以下、TEOSと
いう。)やテトラメチルオルソシリケート(Si(OC
34)等の被膜生成の原料となるガスや酸素等の添加
ガスからなる原料ガスをチャンバ1内に供給する。
【0004】なお、この原料ガスは生成する被膜の種類
によって異なり、添加ガスを含まない場合もある。7は
被処理物としてのウエハであり、シリコン、ゲルマニウ
ム等の材料を用いて成形される。ウエハ7の材料として
は、他に炭化珪素、ガリウム砒素等があるが、半導体材
料として用いることができるものであれば前記の例に限
るものではない。
【0005】8はステージであり、支柱9に取付けられ
チャンバ1内に格納される。また、ウエハ7を載置固定
してその位置決めを行う。10は冷却装置であり、ステ
ージ8を冷却するための冷却パイプ11と接続してお
り、冷却パイプ11に導かれた水またはエチレングリコ
ール等の冷却材がステージ8内を循環する。
【0006】12は排気室であり、図示しない真空ポン
プと接続しており、排気室12内に設けられたバルブ1
3の開度を制御することによって、チャンバ1内の真空
度を調節する。以上の構成において、ウエハ7としてシ
リコン(Si)を用い、真空紫外光を用いたCVD法に
より酸化被膜を生成する場合は、光源3をキセノン(X
2)エキシマランプとし真空紫外光を照射する。チャ
ンバ1内にはガス供給管6からTEOSと添加ガスであ
る酸素(O2)からなる原料ガスが一定の流量で供給さ
れ、排気室12を介して図示しない真空ポンプで吸引し
バルブ13を調節して一定の真空度にチャンバ1内の圧
力を保つ。
【0007】この時、ウエハ7の温度は光源3の輻射
熱、原料ガスの反応熱等によって上昇するため、冷却装
置10から冷却パイプ11によって導かれる冷却材によ
って冷却し常に適正な温度、例えば25℃に保たれる。
上記の状態を一定の生成時間保つことにより、TEOS
が常温で分解され、二酸化珪素(SiO2)膜等の酸化
被膜がウエハ7上に成膜される。
【0008】
【発明が解決しようとする課題】しかしながら、上述し
た従来の技術においては、ウエハ7上のみならず透明板
2にも被膜が生成され、これによって透明板2に曇りが
発生し真空紫外光の透過が阻害される。このため、ウエ
ハ7上への被膜の生成速度が劣化し、各成膜作業間で膜
厚が変化し個体間での膜厚品質が安定しないという問題
があった。
【0009】また、膜厚品質安定のためには、透明板2
の交換頻度を増大させる必要があり、交換のために頻繁
に製造ライン停止しなければならず、生産効率が低下す
るという問題もあった。更に、真空紫外光を透過させる
透明板2とチャンバ1の天板5との間に段差があり下に
凹の形状となっているため、透明板2とウエハ7との間
の原料ガスの濃度分布が不均一となる。
【0010】この結果、ウエハ7上に生成される被膜
は、中央が厚く周辺部が薄い分布状態となり面内膜厚均
一性が損なわれるという問題があり、この1枚のウエハ
7から生産される半導体の製品歩留まりが悪化し、生産
効率が低下するという問題があった。この現象は透明板
2とウエハ7との間の距離が近いほど顕著に現れる。従
って、本発明は、固体間の膜厚品質を安定させ、かつ面
内膜厚均一性を改善することによって生産効率の優れた
光を用いたCVD法による半導体製造装置を実現するこ
とを目的とする。
【0011】
【課題を解決するための手段】本発明は、上記課題を解
決するために、ウエハを格納するチャンバに原料ガスを
供給するガス供給管と、チャンバに設けられ光を透過さ
せる透明板とを設けてなる光を用いたCVD法による半
導体製造装置に透明板を加熱するヒータを設け、透明板
への被膜生成を防止し、被処理物近傍の原料ガスの濃度
を制御することを特徴とする。
【0012】
【発明の実施の形態】以下に、図面を参照して本発明に
よる半導体製造装置の実施の形態について説明する。 第1実施の形態例 図1は本発明の第1実施の形態を示す説明図、図2はヒ
ータ設置状態を示す説明図である。
【0013】なお、上記従来例と同様の部分は、同一の
符号を付してその説明を省略する。20はヒータであ
り、透明板2に隣接しチャンバ1の外側に設けられてい
る。図2に示すようにヒータ20は、リング状に成形さ
れ、透明板2の上部でその周辺部付近に設置される。な
お、上記チャンバ1と天板5とは、プレス成形や熔接等
の手段によって一体に形成してもよい。
【0014】また、ヒータの形状は楕円形、四角形等ど
のような形状であってもよく、図2に示すように中央部
付近の空間を取り巻く環状の配置であれば前記のリング
状の例に限るものではない。次に、上述した構成の作用
について説明する。真空紫外光を用いてCVD法により
ウエハ7上に酸化被膜を生成する場合は、透明板2の周
辺部をヒータ20によって加熱し、透明板2の周辺部の
温度を上昇させる。これによって透明板2は中央が低く
周辺部が高い温度分布となる。
【0015】この時、ウエハ7には透明板2からの輻射
熱が加わるため、上記従来例よりは温度が高くなるが、
冷却装置10の能力を増強する等して適正な温度に保つ
ようにする。上記の状態で、ガス供給管6から原料ガス
を供給し、チャンバ1内の真空度を適正に保ち、光源3
から真空紫外光を一定の生成時間照射することによって
ウエハ7上に被膜が生成される。
【0016】この時、真空紫外光を透過させる透明板2
とチャンバ1の天板5との間の凹形状の部位に対流が発
生する。従来例では、光源3の輻射熱および原料ガスの
反応熱等によって透明板2の温度が上昇する一方、透明
板2から周辺の天板5への熱伝導によって透明板2の熱
が奪われ周辺部の温度が下がり中央部付近の温度が高く
なり、原料ガスが対流によって透明板2の中央付近に集
まりやすく、中央付近の原料ガス濃度が上昇していた。
【0017】これに対して本発明は、透明板2の周辺部
をヒータ20で加熱することによって、天板5への熱伝
導による熱の流出を防止し、透明板2の温度を比較的高
く保ちながら中央部付近の温度を周辺部に比して低く保
つことができる。これによって、透明板2と天板5との
間の凹形状の部位に発生する対流を抑制し、ウエハ7の
蒸着面上の原料ガス濃度分布の一様性を保つことができ
る。
【0018】また、被膜生成過程において真空紫外光を
用いたCVD法による成膜作用は、温度が低い蒸着面に
は成膜しやすく、温度が高い蒸着面には成膜されにくい
性質を有するので、ヒータ20によって比較的高い温度
に保たれた透明板2への成膜作用が抑制される。なお、
上記透明板の温度と凹形状部位の対流を抑制するために
更に精密な温度管理が必要な場合は、2重、3重等の多
重のヒータを設けこれらを独立に制御することによって
達成できる。
【0019】また、このためにヒータ20を、透明板2
の側面部に隣接して設ける配置としてもよく、リボンヒ
ータのような薄い膜状のヒータをチャンバ1の内側に設
置してもよい。この場合、多重のヒータ、側面部のヒー
タまたはチャンバ内側のヒータを同心円状に配置する必
要はなく、形状や組合せを変更する等して目標とする温
度分布が得られるよう各々のヒータの配置と温度を制御
することが必要である。
【0020】このようにすることによって、更に面内被
膜均一性が向上し半導体の生産性を向上させることがで
きることになる。 第2実施の形態例 図3は本発明の第2実施の形態を示す説明図、図4はマ
スク部材設置状態を示す説明図、図5はマスク部材の他
の態様を示す説明図である。
【0021】なお、従来例および第1実施の形態例と同
様の部分は、同一の符号を付してその説明を省略する。
30は光源2からの光を妨げるためのマスク部材であ
り、アルミニウム等の金属板、または石英ガラス、合成
石英ガラス等の透明または半透明の材料等で製作され
る。
【0022】上述した構成の作用について説明する。な
お、透明板2の曇りを防止する作用、およびウエハ7上
に被膜を生成する作用については上記と同様であるので
説明を省略する。第1実施の形態例によって、透明板2
と天板5との間の凹形状の部位に発生する対流を抑制
し、原料ガスの濃度分布の一様性を保つことができるよ
うにした結果においても面内膜厚均一性が不足する場
合、特に局所的に膜厚の厚い部位が生成される場合は、
その部位に到達する光の経路上に金属板を用いたマスク
部材30を設置し、光の透過量を減少させウエハ7上の
被膜生成速度を下げることによって、一定の生成時間内
に生成される被膜の量を調整する。
【0023】また、金属板を用いたマスク部材30によ
っては、光の透過量の減少量が多すぎる場合は、合成石
英ガラス等の透明または半透明の材料を用いたマスク部
材30を光の当該経路上に設置し、光の透過経路を長く
することでその透過量を制御する。この場合には光の屈
折現象を考慮し、または積極的に利用してマスク部材3
0の配置を決定する必要がある。
【0024】更に、膜厚分布がガス供給管6側が厚く、
反対側が薄くなるような分布形態の場合は、図5に示す
板状のマスク部材30を用いて、供給される原料ガスの
上流側の光の透過量を制御する。この場合、必要に応じ
て図に示す切欠き部31を設け膜厚分布が均一となるよ
うに光の透過量を制御する。上記によれば、ヒータ20
を用いて透明板2を昇温することによる効果に加えて、
マスク部材30を用いて光の透過量を制御することによ
って、ウエハ7上に生成される被膜の生成速度を制御す
ることができ、膜厚の厚い部位の被膜生成を抑制するこ
とができるため、ウエハ7の蒸着面内の膜厚均一性を一
層向上させることができ、半導体の生産性を更に向上さ
せることができることになる。
【0025】なお、マスク部材30は円板、または半円
の切欠き部31を持つ板として図示したが、マスク部材
30の形状は膜厚の生成を抑制する必要がある部位の形
状に合わせて製作する必要があり前記に限ることはな
い。また、透明または半透明の材料を用いる場合は、厚
みや大きさを変化させることによって最適な透過量に制
御することができる。
【0026】第3実施の形態例 図6は本発明の第3実施の形態を示す説明図である。な
お、従来例および第1実施の形態例と同様の部分は、同
一の符号を付してその説明を省略する。40は透明板で
あり、図6に示すように凸形状に成形されている。
【0027】上述した構成の作用について説明する。な
お、透明板40の曇りを防止する作用、およびウエハ7
上に被膜を生成する作用については上記と同様であるの
で説明を省略する。凸形状となっている透明板40のチ
ャンバ1の内側に向う面を凸部として、天板5と略同一
面、すなわち、チャンバ1の内側面と略同一面となるよ
うに組付ける。
【0028】これによって、ヒータ20を用いて透明板
2を昇温することによる効果に加えて、第1実施の形態
例の凹形状部位が解消され、対流発生部位をなくすこと
ができ、ウエハ7と透明板40との間の原料ガスの一様
性が更に向上するため、面内膜厚均一性が更に向上し、
半導体の生産性を更に向上させることができることにな
る。
【0029】なお、本実施の形態例では、透明板40を
凸形状として説明したが、透明板40の形状を円錐台と
し、その面積の小さい方の面をチャンバ1の内側に向う
面として組付け、天板5と略同一面となるようにしても
よい。 第4実施の形態例 図7は本発明の第4実施の形態を示す説明図、図8はガ
ス供給管設置状態を示す説明図である。
【0030】なお、従来例および第1実施の形態例と同
様の部分は、同一の符号を付してその説明を省略する。
50はガス供給管である。ガス供給管50は、図8に示
すようにその入口部からウエハ7に向う方向の両側に伸
長する略円弧状に成形されたパイプ部材が設けられ、ウ
エハ7の蒸着面の略全面に渡って原料ガスを供給するよ
う、そのウエハ7側に複数個のガス供給口51が設けら
れている。
【0031】なお、このガス供給間50は、その形状
が、略Y字状またはT字状となるようパイプ部材を設
け、同様の機能を持たせるようにしてもよい。上述した
構成の作用について説明する。なお、透明板2の曇りを
防止する作用、およびウエハ7上に被膜を生成する作用
については上記と同様であるので説明を省略する。
【0032】ガス供給管50に、原料ガスが供給される
と、供給されたガスは複数個設けられたガス供給口51
からチャンバ1内に排出される。この時、ガス供給口5
1はウエハ7をほぼ半周に渡って囲むよう配置されてい
るので、ガス供給口51から供給された原料ガスはウエ
ハ7の蒸着面上にほぼ一様に供給され、ウエハ7の蒸着
面近傍の原料ガス濃度の一様性が一層向上する。
【0033】これによって、ヒータ20を用いて透明板
2を昇温することによる効果に加えて、原料ガスの濃度
分布が改善される結果、優れた面内膜厚均一性が得られ
る他に反応効率が向上するという効果が得られる。この
結果、生成時間も短縮することができ、半導体の生産効
率を一層向上させることができることになる。
【0034】第5実施の形態例 図9は本発明の第5実施の形態を示す説明図、図10は
ガス供給管設置状態を示す説明図である。なお、従来例
および第1実施の形態例と同様の部分は、同一の符号を
付してその説明を省略する。
【0035】60はガス供給管であり、図10に示すよ
うにその先部が略三角形状であり、その端部にウエハ7
の直径より広いスリット状のガス供給口61が設けら
れ、チャンバ1内に開口している。ガス供給管60内に
は、案内板62が設けられており、ガス供給口61から
排出される原料ガス分布の一様化を図っている。
【0036】チャンバ1には、第3実施の形態例と同様
の凸形状の透明板40が設置されており、ガス供給口6
1のスリット幅(図10における紙面直角方向のスリッ
トの幅)より僅かに大きい距離で透明板40とウエハ7
とを対向させて設置される。また、チャンバ1の内容積
は、上記の各実施例におけるチャンバに比して減少する
よう、特に高さ方向を減じて設けられている。
【0037】また、排気室12は、チャンバ1のガス供
給管60の取付け面と対向する面に設けられた排気管6
3に連通するよう設けられ、排気管63の排気吸入口6
4はガス供給管60のガス供給口61とほぼ同様の形状
および大きさを持つスリット状となっている。次に、上
述した構成の作用について説明する。
【0038】なお、透明板2の曇りを防止する作用、お
よびウエハ7上に被膜を生成する作用については上記と
同様であるので説明を省略する。また、第4実施の形態
例の円弧状のガス供給管50に替えて、本実施例のガス
供給管60を適用すれば、第4実施の形態例と同様の作
用により同様の効果が得られる。
【0039】本実施例によれば、ガス供給口61に対向
する位置に排気吸入口64を配置し、透明板40とウエ
ハ7との間の距離を縮めたことによって、ウエハ7の蒸
着面上の流れの一様性をよくし、原料ガスを層状に流す
ことによりウエハ7の蒸着面上の原料ガス濃度の一様性
を一層改善することができる。これによって、上記の効
果に加え、面内膜厚均一性および被膜の生成速度の一層
の向上が図られ、半導体の生産効率が大いに向上するこ
とになる。
【0040】第6実施の形態例 図11は本発明の第6実施の形態を示す説明図である。
なお、従来例および第1実施の形態例と同様の部分は、
同一の符号を付してその説明を省略する。70は攪拌用
のファンであり、チャンバ1内に設置されている。
【0041】上述した構成の作用について説明する。な
お、透明板2の曇りを防止する作用、およびウエハ7上
に被膜を生成する作用については上記と同様であるので
説明を省略する。ウエハ7は冷却装置10で冷却され、
チャンバ1内はガス供給管6から原料ガスを供給しなが
ら排気室12を介して図示しない真空ポンプで吸引し一
定の真空度に保たれる。この時、ファン70を駆動し、
チャンバ内の原料ガスを攪拌し、光源2から真空紫外光
を照射する。
【0042】これによって、ヒータ20を用いて透明板
2を昇温することによる効果に加えて、チャンバ1内を
攪拌することで、チャンバ1内の原料ガスの濃度が均一
となり、ウエハ7近傍の原料ガス濃度の一様性が増すた
め、面内膜厚均一性の向上が図られ、半導体の生産効率
が向上することになる。 第7実施の形態例 図12は本発明の第7実施の形態を示す説明図である。
【0043】なお、従来例および第1実施の形態例と同
様の部分は、同一の符号を付してその説明を省略する。
80はステージであり、支柱81に取付けられている。
ステージ80は、支柱81を図示しない駆動源によって
駆動することにより回転運動または前後左右の往復運動
が行えるよう設置されている。
【0044】なお、支柱81には運動中であってもチャ
ンバ1内の真空度の保持が可能なようにメカニカルシー
ル、リップシール等のシール部材82が、チャンバ1の
支柱取付け面に設置されている。冷却パイプ11の通路
には、回転運動中に冷却材が漏れないようにメカニカル
シール等のシール装置83が設けられている。また、往
復運動中に冷却パイプに発生する曲げ応力を緩和するた
め、ゴムまたはシリコンチューブ等の弾性体を用いたパ
イプ84で係合されている。
【0045】上述した構成の作用について説明する。な
お、透明板2の曇りを防止する作用、およびウエハ7上
に被膜を生成する作用については上記と同様であるので
説明を省略する。ウエハ7を冷却装置10で冷却し、チ
ャンバ1内をガス供給管6から原料ガスを供給しながら
排気室12を介して図示しない真空ポンプで吸引し一定
の真空度に保ち、光源2から真空紫外光を照射する。こ
の時、ステージ80を回転運動、または往復運動等の運
動をさせる。
【0046】これによってウエハ7に生成される膜厚
が、周方向または前後左右方向に均一化される。この場
合、ステージ80を運動させない状態でウエハ7の周方
向に膜厚の不均一がある場合は回転運動させ、半径方向
に不均一がある場合はその不均一を矯正する方向に往復
運動させることによって、更に面内膜厚均一性の改善が
図れる。
【0047】上記によれば、ヒータ20を用いて透明板
2を昇温することによる効果に加えて、ステージ80に
よりウエハ7を運動させることによって、原料ガスの濃
度分布の一様性が不十分な場合においても面内膜厚均一
性を改善することができ、半導体の生産効率が向上する
ことになる。なお、上述した各実施の形態は、そのいく
つかを組合せて実施することによって更にその効果を高
めることが可能となり、高い生産効率を有する光を用い
たCVD法による半導体製造装置を実現できる。
【0048】また、小規模な製造設備においては、上述
の実施の形態を単独で適用することによって、それぞれ
の設備の欠点を改善し、規模に応じた生産効率の向上を
図ることができ、投資効率の高い製造装置または製造方
法を実現できるという効果が得られる。以上述べてきた
ように、本発明は、真空紫外光を用いたCVD法による
酸化被膜をウエハ上に生成する半導体製造装置を例に説
明したが、他の光、例えば紫外光を用いたCVD法によ
る半導体製造装置への適用も容易であり、全ての光を用
いたCVD法による半導体製造装置への適用が可能であ
る。
【0049】また、酸化被膜の生成以外の例えば絶縁被
膜一般、強誘電体膜、金属配線膜等の生成による半導体
製造装置へも適用することができる。この場合は、ヒー
タの加熱温度や位置や形状、マスク部材の材質や位置や
形状およびガス供給管の種類等を、チャンバの大きさ、
TEOSの性質に合わせて適宜変更することによって、
光を用いたCVD法による半導体製造装置の生産効率を
向上させることができる。
【0050】
【発明の効果】以上説明したように、本発明は、ヒータ
によって透明板を比較的高い温度に保つことにより、透
明板への成膜作用による曇りの発生が抑制されるため、
光の透過が阻害されることがなくなり、各成膜作業間で
の被膜の生成速度の変化が少なくなる。
【0051】この結果、個体毎に生成される膜厚の品質
が安定すると共に、透明板の交換作業等に要する時間が
短縮され生産効率が向上するという効果が得られる。ま
た、透明板の周辺部をヒータで加熱することによって、
透明板と天板との間の凹形状の部位に発生する対流を抑
制し、ウエハ上の原料ガスの濃度分布の一様性を保つこ
とができるようにしたため、面内膜厚均一性に優れた被
膜を持つウエハを得ることができる。
【0052】この結果、1つのウエハから生産される半
導体の製品歩留まりが上昇し、上記効果と相まって、光
を用いたCVD法による半導体の生産効率向上を達成で
きるという効果が得られる。
【図面の簡単な説明】
【図1】本発明の第1実施の形態を示す説明図
【図2】本発明の第1実施の形態のヒータ設置状態を示
す説明図
【図3】本発明の第2実施の形態を示す説明図
【図4】本発明の第2実施の形態のマスク部材設置状態
を示す説明図
【図5】本発明の第2実施の形態のマスク部材の他の態
様を示す説明図
【図6】本発明の第3実施の形態を示す説明図
【図7】本発明の第4実施の形態を示す説明図
【図8】本発明の第4実施の形態のガス供給管設置状態
を示す説明図
【図9】本発明の第5実施の形態を示す説明図
【図10】本発明の第5実施の形態のガス供給管設置状
態を示す説明図
【図11】本発明の第6実施の形態を示す説明図
【図12】本発明の第7実施の形態を示す説明図
【図13】従来例を示す説明図
【符号の説明】
1 チャンバ 2,40 透明板 3 光源 4 ランプハウス 5 天板 6,50,60 ガス供給管 7 ウエハ 8、80 ステージ 9 支柱 10 冷却装置 11 冷却パイプ 12 排気室 13 バルブ 20 ヒータ 30 マスク部材 63 排気管 70 ファン
───────────────────────────────────────────────────── フロントページの続き (72)発明者 歳川 清彦 宮崎県宮崎郡清武町大字木原727番地 宮 崎沖電気株式会社内 (72)発明者 本山 理一 宮崎県宮崎郡清武町大字木原727番地 宮 崎沖電気株式会社内 (72)発明者 宮野 淳一 宮崎県宮崎郡清武町大字木原727番地 有 限会社宮崎マシンデザイン内 Fターム(参考) 4K030 BA42 CA04 CA12 DA05 EA05 EA08 FA06 FA08 JA06 KA22 5F045 AA11 AB32 BB02 BB08 BB15 DP04 EC03 EJ03 EK06 EK12

Claims (21)

    【特許請求の範囲】
  1. 【請求項1】 被処理物を格納するチャンバと、該チャ
    ンバに原料ガスを供給するガス供給管と、前記チャンバ
    に設けられ光を透過させる透明板とを有する光を用いた
    化学蒸着法による半導体製造装置において、 前記透明板に透明板を加熱するヒータを設けたことを特
    徴とする半導体製造装置。
  2. 【請求項2】 請求項1において、 前記ヒータを、少なくとも1つの環状のヒータから形成
    したことを特徴とする半導体製造装置。
  3. 【請求項3】 請求項1または請求項2において、 前記透明板の一部を、マスク部材で覆うことを特徴とす
    る半導体製造装置。
  4. 【請求項4】 請求項1ないし請求項3において、 前記透明板を、前記チャンバの内側面と略同一面となる
    よう設けたことを特徴とする半導体製造装置。
  5. 【請求項5】 請求項1ないし請求項4において、 前記ガス供給管が、その入口部から前記被処理物に向う
    方向の両側に伸長するパイプ部材を有し、該パイプ部材
    の被処理物側に被処理物の蒸着面の略全面に渡って原料
    ガスを供給するよう複数個のガス供給口を設けたことを
    特徴とする半導体製造装置。
  6. 【請求項6】 請求項1ないし請求項4において、 前記ガス供給管先部が、略三角形状であり、その端部に
    前記被処理物の直径より広いスリット状のガス供給口を
    有することを特徴とする半導体製造装置。
  7. 【請求項7】 請求項4において、 前記ガス供給管先部が、略三角形状であり、その端部に
    前記被処理物の直径より広いスリット状のガス供給口を
    有し、該スリット状のガス供給口のスリット幅より僅か
    に大きい距離で前記透明板と前記被処理物とを対向して
    設置し、前記ガス供給口と対向する位置に、前記スリッ
    ト状のガス供給口と略等しい大きさのスリット状の吸引
    口を有する排気管を設けたことを特徴とする半導体製造
    装置。
  8. 【請求項8】 請求項1ないし請求項6において、 前記チャンバ内に攪拌用のファンを設けたことを特徴と
    する半導体製造装置。
  9. 【請求項9】 請求項1ないし請求項8において、 前記被処理物を、被膜生成中に運動させることを特徴と
    する半導体製造装置。
  10. 【請求項10】 光を用いた化学蒸着法による半導体製
    造方法において、 光を透過させる透明板を加熱することによって、透明板
    への被膜の蒸着を防止することを特徴とする半導体製造
    方法。
  11. 【請求項11】 請求項10において、 前記透明板を加熱することによって透明板に温度分布を
    持たせ、被処理物近傍の原料ガスの濃度を制御すること
    を特徴とする半導体製造方法。
  12. 【請求項12】 請求項10または請求項11におい
    て、 マスク部材を用いて、前記透明板の光の透過量を制御す
    ることを特徴とする半導体製造方法。
  13. 【請求項13】 請求項10ないし請求項12におい
    て、 前記被処理物の蒸着面に、前記原料ガスを一様に供給す
    ることを特徴とする半導体製造方法。
  14. 【請求項14】 請求項10ないし請求項13におい
    て、 前記チャンバ内で、供給された原料ガスを攪拌すること
    を特徴とする半導体製造方法。
  15. 【請求項15】 被処理物を格納するチャンバと、該チ
    ャンバに原料ガスを供給するガス供給管と、前記チャン
    バに設けられ光を透過させる透明板とを有する光を用い
    た化学蒸着法による半導体製造装置において、 前記透明板に、光の透過量を制御するマスク部材を設け
    たことを特徴とする半導体製造装置。
  16. 【請求項16】 被処理物を格納するチャンバと、該チ
    ャンバに原料ガスを供給するガス供給管と、前記チャン
    バに設けられ光を透過させる透明板とを有する光を用い
    た化学蒸着法による半導体製造装置において、 前記透明板を、前記チャンバの内側面と略同一面となる
    よう設けたことを特徴とする半導体製造装置。
  17. 【請求項17】 請求項16において、 前記ガス供給管先部が、略三角形状であり、その端部に
    前記被処理物の直径より広いスリット状のガス供給口を
    有し、該スリット状のガス供給口のスリット幅より僅か
    に大きい距離で前記透明板と前記被処理物とを対向して
    設置し、前記ガス供給口と対向する位置に、前記スリッ
    ト状のガス供給口と略等しい大きさのスリット状の吸引
    口を有する排気管を設けたことを特徴とする半導体製造
    装置。
  18. 【請求項18】 被処理物を格納するチャンバと、該チ
    ャンバに原料ガスを供給するガス供給管と、前記チャン
    バに設けられ光を透過させる透明板とを有する光を用い
    た化学蒸着法による半導体製造装置において、 前記ガス供給管が、その入口部から前記被処理物に向う
    方向の両側に伸長するパイプ部材を有し、該パイプ部材
    の被処理物側に被処理物の蒸着面の略全面に渡って原料
    ガスを供給するよう複数個のガス供給口を設けたことを
    特徴とする半導体製造装置。
  19. 【請求項19】 被処理物を格納するチャンバと、該チ
    ャンバに原料ガスを供給するガス供給管と、前記チャン
    バに設けられ光を透過させる透明板とを有する光を用い
    た化学蒸着法による半導体製造装置において、 前記ガス供給管先部が、略三角形状であり、その端部に
    前記被処理物の直径より広いスリット状のガス供給口を
    有することを特徴とする半導体製造装置。
  20. 【請求項20】 被処理物を格納するチャンバと、該チ
    ャンバに原料ガスを供給するガス供給管と、前記チャン
    バに設けられ光を透過させる透明板とを有する光を用い
    た化学蒸着法による半導体製造装置において、 前記チャンバ内に攪拌用のファンを設けたことを特徴と
    する半導体製造装置。
  21. 【請求項21】 被処理物を格納するチャンバと、該チ
    ャンバに原料ガスを供給するガス供給管と、前記チャン
    バに設けられ光を透過させる透明板とを有する光を用い
    た化学蒸着法による半導体製造装置において、 前記被処理物を、被膜生成中に運動させることを特徴と
    する半導体製造装置。
JP2001325769A 2001-10-24 2001-10-24 半導体製造装置および半導体製造方法 Pending JP2003133299A (ja)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP2001325769A JP2003133299A (ja) 2001-10-24 2001-10-24 半導体製造装置および半導体製造方法
US10/273,147 US7381275B2 (en) 2001-10-24 2002-10-18 Apparatus and method for manufacturing semiconductor

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2001325769A JP2003133299A (ja) 2001-10-24 2001-10-24 半導体製造装置および半導体製造方法

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2005280177A Division JP2006019766A (ja) 2005-09-27 2005-09-27 半導体製造装置

Publications (1)

Publication Number Publication Date
JP2003133299A true JP2003133299A (ja) 2003-05-09

Family

ID=19142264

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2001325769A Pending JP2003133299A (ja) 2001-10-24 2001-10-24 半導体製造装置および半導体製造方法

Country Status (2)

Country Link
US (1) US7381275B2 (ja)
JP (1) JP2003133299A (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008131019A (ja) * 2006-11-27 2008-06-05 Tokyo Electron Ltd ガス導入機構及び被処理体の処理装置
JP2011071478A (ja) * 2009-08-31 2011-04-07 Hitachi Kokusai Electric Inc 基板処理装置及び半導体装置の製造方法

Families Citing this family (174)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100490049B1 (ko) * 2003-04-14 2005-05-17 삼성전자주식회사 일체형 디퓨저 프레임을 가지는 cvd 장치
JP5281148B2 (ja) 2009-04-03 2013-09-04 東京エレクトロン株式会社 蒸着ヘッドおよび成膜装置
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
JP2013151720A (ja) * 2012-01-25 2013-08-08 Ulvac Japan Ltd 真空成膜装置
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10403521B2 (en) * 2013-03-13 2019-09-03 Applied Materials, Inc. Modular substrate heater for efficient thermal cycling
EP3140873B1 (en) 2014-05-05 2021-08-25 Okinawa Institute of Science and Technology School Corporation System for fabricating perovskite film for solar cell applications
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10446420B2 (en) * 2016-08-19 2019-10-15 Applied Materials, Inc. Upper cone for epitaxy chamber
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
JP7249744B2 (ja) * 2018-08-02 2023-03-31 東京エレクトロン株式会社 成膜装置及び成膜方法
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) * 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4933042A (en) * 1986-09-26 1990-06-12 General Electric Company Method for packaging integrated circuit chips employing a polymer film overlay layer
US5316579A (en) * 1988-12-27 1994-05-31 Symetrix Corporation Apparatus for forming a thin film with a mist forming means
US5252132A (en) * 1990-11-22 1993-10-12 Mitsubishi Denki Kabushiki Kaisha Apparatus for producing semiconductor film
TW266230B (ja) * 1993-09-09 1995-12-21 Tokyo Electron Co Ltd
US5551982A (en) * 1994-03-31 1996-09-03 Applied Materials, Inc. Semiconductor wafer process chamber with susceptor back coating
US6284050B1 (en) * 1998-05-18 2001-09-04 Novellus Systems, Inc. UV exposure for improving properties and adhesion of dielectric polymer films formed by chemical vapor deposition

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008131019A (ja) * 2006-11-27 2008-06-05 Tokyo Electron Ltd ガス導入機構及び被処理体の処理装置
JP2011071478A (ja) * 2009-08-31 2011-04-07 Hitachi Kokusai Electric Inc 基板処理装置及び半導体装置の製造方法
US8222161B2 (en) 2009-08-31 2012-07-17 Hitachi Kokusai Electric Inc. Substrate processing apparatus and semiconductor devices manufacturing method

Also Published As

Publication number Publication date
US7381275B2 (en) 2008-06-03
US20030075107A1 (en) 2003-04-24

Similar Documents

Publication Publication Date Title
JP2003133299A (ja) 半導体製造装置および半導体製造方法
US10626500B2 (en) Showerhead design
JP5001656B2 (ja) 半導体ウェハ処理方法
JP4108748B2 (ja) コールドウォール気相成長法
US6214116B1 (en) Horizontal reactor for compound semiconductor growth
US6599367B1 (en) Vacuum processing apparatus
JP3252960B2 (ja) 原子層エピタキシー工程のための半導体薄膜蒸着装置
WO2011017501A2 (en) Cvd apparatus
TW202010864A (zh) Mocvd反應器
US11694891B2 (en) Film forming apparatus and film forming method
KR100380213B1 (ko) 반도체 처리 시스템 및 기판 처리 장치
JP6793031B2 (ja) 基板処理装置および基板処理方法、ならびに基板処理システム
TW201715072A (zh) 化學氣相沉積裝置及其沉積方法
JP2017022210A (ja) 基板処理装置
US5711815A (en) Film forming apparatus and film forming method
KR101633557B1 (ko) 반도체 제조장치 및 반도체 제조방법
JP2002155366A (ja) 枚葉式熱処理方法および枚葉式熱処理装置
US20210320027A1 (en) Systems and methods for substrate support temperature control
TW201923137A (zh) 用於磊晶沉積製程之注入組件
TW201611099A (zh) 用於將氣體注入磊晶腔室的設備
US6914011B2 (en) Film deposition system and method of fabricating semiconductor device employing the film deposition system
JP2006019766A (ja) 半導体製造装置
JP6153489B2 (ja) 結晶成長装置
TWI813094B (zh) 消除晶圓斜面和背側沉積的方法
TW202247323A (zh) 重疊基座及預熱環

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20040826

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20050526

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20050802

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20050927

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20051025

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20051221

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20060124

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20060523