JP7193474B2 - Euvリソグラフィを用いたパワーグリッドのアーキテクチャ及び最適化 - Google Patents

Euvリソグラフィを用いたパワーグリッドのアーキテクチャ及び最適化 Download PDF

Info

Publication number
JP7193474B2
JP7193474B2 JP2019559371A JP2019559371A JP7193474B2 JP 7193474 B2 JP7193474 B2 JP 7193474B2 JP 2019559371 A JP2019559371 A JP 2019559371A JP 2019559371 A JP2019559371 A JP 2019559371A JP 7193474 B2 JP7193474 B2 JP 7193474B2
Authority
JP
Japan
Prior art keywords
power
metal
independent power
posts
metal layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2019559371A
Other languages
English (en)
Other versions
JP2020518916A (ja
Inventor
ティー. シュルツ リチャード
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Advanced Micro Devices Inc
Original Assignee
Advanced Micro Devices Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Micro Devices Inc filed Critical Advanced Micro Devices Inc
Publication of JP2020518916A publication Critical patent/JP2020518916A/ja
Application granted granted Critical
Publication of JP7193474B2 publication Critical patent/JP7193474B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/392Floor-planning or layout, e.g. partitioning or placement
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/394Routing
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/398Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5286Arrangements of power or ground buses
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/0203Particular design considerations for integrated circuits
    • H01L27/0207Geometrical layout of the components, e.g. computer aided design; custom LSI, semi-custom LSI, standard cell technique
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/10Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration
    • H01L27/118Masterslice integrated circuits
    • H01L27/11803Masterslice integrated circuits using field effect technology
    • H01L27/11807CMOS gate arrays
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2119/00Details relating to the type or aim of the analysis or the optimisation
    • G06F2119/06Power analysis or power optimisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/10Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration
    • H01L27/118Masterslice integrated circuits
    • H01L27/11803Masterslice integrated circuits using field effect technology
    • H01L27/11807CMOS gate arrays
    • H01L2027/11868Macro-architecture
    • H01L2027/11874Layout specification, i.e. inner core region
    • H01L2027/11881Power supply lines

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Theoretical Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • General Engineering & Computer Science (AREA)
  • Geometry (AREA)
  • Evolutionary Computation (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Networks & Wireless Communication (AREA)
  • Architecture (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Semiconductor Integrated Circuits (AREA)

Description

(関連技術の説明)
半導体製造プロセスが進歩し、オンダイ幾何学的寸法が減少するにつれて、半導体チップは、より少ないスペースでより多くの機能及び性能を提供する。多くの進歩がなされてきたが、潜在的な利益を制限する処理及び集積回路設計における現代の技術では、設計上の問題が依然として発生する。例えば、容量結合、エレクトロマイグレーション、リーク電流及びプロセス歩留まりは、デバイスの配置及び半導体チップのダイ全体に亘る信号のルーティングに影響を与える問題の一部である。したがって、これらの問題は、設計の完了を遅らせ、市販されるまでの時間に影響を及ぼす可能性がある。
半導体チップの設計サイクルを短縮するために、手動のフルカスタム設計を可能な限り自動化する。設計者は、Verilog、VHDL等の高レベル記述言語で機能ユニット又は複合ゲートの記述を提供する。合成ツールは論理記述を受信し、論理ネットリストを提供する。論理ネットリストは、物理的なレイアウトを提供するために、配置配線(PNR)ツールによって使用される。配置配線ツールは、セルレイアウトライブラリを使用して物理的なレイアウトを提供する。
セルレイアウトライブラリは、半導体チップによって使用される様々な機能を提供するための複数の標準セルレイアウトを含む。場合によっては、標準セルレイアウトは、手動で生成される。したがって、新たな標準セルレイアウトや変更される元の標準セルレイアウトの各々は、手動で生成される。他の場合には、配置配線ツールで使用されるルールを調整して、セルの生成を自動化することができる。しかし、自動化されたプロセスは、性能、消費電力、シグナルインテグリティ、プロセス歩留まり、内部クロス結合接続(internal cross coupled connections)を含むローカル及び外部の信号ルーティング、他のセルと一致するセルの高さ及び幅の寸法、ピンアクセス、電源レールの設計等に向けられた各ルールを満たさないことがある。したがって、設計者は、これらのセルを手動で生成して、複数の特性に対してより良い結果を得るか、又は、配置配線ツールのルールを書き換える。
概して、標準セルレイアウトは、VDD電源レールとも呼ばれる電源電圧接続用の少なくとも1つの電源レールと、VSS電源レールとも呼ばれる接地接続用の1つの電源レールと、を使用する。場合によっては、電源レール及び接地レールは、対応するビアに加えて、複数の金属層(例えば、水平金属0、垂直金属1、水平金属2、垂直金属3等)を利用する比較的長いワイヤを使用する。他の場合には、固定位置のポストを使用して、電源接続及び接地接続を行う。これらの場合の各々は、半導体チップ内で標準セルを配置するための柔軟性、及び、信号ルーティングの輻輳を緩和するための柔軟性を低減する。リアルタイムのルーティングの最適化は、制限されているか完全に除かれている。
上記の観点から、標準セル用のパワーグリッド接続をレイアウトするための効率的な方法及びシステムが望まれている。
本明細書に記載される方法及びメカニズムの利点は、添付の図面と併せて以下の説明を参照することによって、より良く理解することができる。
複合論理ゲートの標準セルレイアウトの平面図の一般化された図である。 複合論理ゲートの標準セルレイアウトの平面図の一般化された図である。 複合論理ゲートの標準セルレイアウトのパワーグリッド接続の平面図の一般化された図である。 複合論理ゲートの標準セルレイアウトのパワーグリッド接続の別の平面図の一般化された図である。 複合論理ゲートの標準セルレイアウトのパワーグリッド接続の別の平面図の一般化された図である。 複合論理ゲートの標準セルレイアウトのパワーグリッド接続の別の平面図の一般化された図である。 複合論理ゲートの標準セルレイアウトのパワーグリッド接続の別の平面図の一般化された図である。 複合論理ゲートの標準セルレイアウトのパワーグリッド接続の別の平面図の一般化された図である。 複合論理ゲートの標準セルレイアウトのパワーグリッド接続の別の平面図の一般化された図である。 標準セルのパワーグリッド接続をレイアウトする方法の一般化された図である。
本発明は、様々な変更及び代替形態を受け入れることができるが、特定の実施形態を例として図面に示し、本明細書で詳細に説明する。しかしながら、図面及びその詳細な説明は、本発明を開示された特定の形態に限定することを意図するものではなく、逆に、本発明は、本発明は、添付の特許請求の範囲によって定義される本発明の範囲内にある全ての変更、均等物及び代替物を包含するものであることを理解されたい。
以下の説明では、本明細書に提示される方法及びメカニズムの十分な理解を提供するために、多くの具体的な詳細が示されている。しかしながら、当業者は、様々な実施形態がこれらの具体的な詳細無しに実施され得ることを認識すべきである。いくつかの例では、周知の構造、コンポーネント、信号、コンピュータプログラム命令及び技術は、本明細書に記載されたアプローチを不明瞭にすることを避けるために、詳細に示されていない。説明を簡単且つ明確にするために、図に示される要素が必ずしも縮尺通りに描かれていないことが理解されるであろう。例えば、いくつかの要素の寸法は、他の要素と比較して誇張されている場合がある。
標準セルのパワーグリッド接続をレイアウトするシステム及び方法が考えられる。様々な実施形態では、標準セルは、第1金属層内の複数の独立した電源ポスト(power posts)を使用し、各電源ポストは、標準セル内のデバイス(トランジスタ)に電源接続を提供する。所定の金属層内の電源ポストは、電源ポストが所定の金属層内の他の電源ポストに接続されていない場合、独立した電源ポストと呼ばれる。電源ポストは、第1金属層内で独立しているので、第1金属層内で使用されない。一実施形態では、第1金属層は、垂直金属1(M1)層である。デバイスへの電源接続は、電源接続及び接地基準接続の何れかである。
様々な実施形態では、標準セルは、第1金属層とは異なる第2金属層内の複数の独立した電源ポストを使用する。第2金属層内の複数の電源ポストの各々は、第2金属層内の複数の電源ポストの別の電源ポストに接続されていない。したがって、第2金属層では、電源レールが使用されない。一実施形態では、第2金属層は、垂直金属3(M3)層である。いくつかの実施形態では、第2金属層内の複数の独立した電源ポストの各々は、標準セルの高さ未満の長さを有する。
いくつかの実施形態では、第2金属層内の独立した電源ポストの1つ以上は、単方向信号ルートである。屈曲もL字形状も有していない第2金属層内の信号ルートを、単方向ルートと呼ぶ。対照的に、屈曲及び/又はL字形状を有する第1金属層内の信号ルートを、双方向ルートと呼ぶ。双方向ルートは、オンダイ領域に重大なペナルティをもたらす。
様々な実施形態では、標準セルは、第1金属層及び第2金属層の各々とは異なる第3金属層内の複数の独立した電源ストラップ(power straps)を使用する。第3金属層内の複数の電源ストラップの各々は、第3金属層内の複数の電源ストラップの別の電源ストラップに接続されていない。したがって、第3金属層では、電源レールが使用されない。一実施形態では、第3金属層は、水平金属2(M2)層である。複数の独立した電源ストラップの各々は、第1金属層内の複数の独立した電源ポストのうち1つの電源ポストを、第2金属層内の複数の独立した電源ポストのうち1つの電源ポストに接続する。一実施形態では、独立した水平M2電源ストラップの各々は、独立した垂直M1電源ポストのうち1つの電源ポストを、独立した垂直M3電源ポストのうち1つの電源ポストに接続する。
一実施形態では、第2金属層内の複数の独立した電源ポストのうち1つ以上の電源ポストは、複数の独立した電源ストラップのピッチに基づく長さを有する。一実施形態では、第2金属層は垂直M3層であり、独立した電源ストラップは、水平M2層を用いてルーティングされる。この例では、垂直M3層内の1つ以上の独立した電源ポストは、水平M2層内の独立した電源ストラップのピッチに基づく長さを有する。いくつかの実施形態では、第1金属層内の複数の独立した電源ポストのうち1つ以上の電源ポストは、標準セル内のデバイスのアクティブ領域の上部から下部にルーティングされ、1つの独立した電源ストラップに接続するための複数の位置を提供する。複数の位置は、標準セルの配置配線アルゴリズムに柔軟性を提供する。一実施形態では、独立した電源ストラップの各々は、第1金属層内の1つの独立した電源ポストと、第2金属層内の1つの独立した電源ポストとの間よりも遠くにルーティングされない。
一例での垂直M3層等の第2金属層の電源ポストは独立しており、同様に、水平M2層等の第3金属層の電源ストラップも独立しているので、垂直方向の独立した電源ポスト間、及び、水平方向の独立した電源ストラップ間にギャップが生じる。ギャップは、非電源(non-power)信号ルート用の標準セル内で利用可能な空間を提供する。これらのギャップは、標準セル内の信号ルーティングの輻輳を緩和する。
図1を参照すると、標準セルレイアウト100の平面図の一般化された図が示されている。ここでは、説明を容易にするために、アクティブ領域が標準セルレイアウト100に示されていない。PMOS FETS(pfets)は、標準セルレイアウト100の上部にあり、NMOS FETS(nfets)は、標準セルレイアウト100の下部にある。図示した実施形態では、標準セルレイアウト100は、複合論理ゲート用である。
いくつかの実施形態では、標準セルレイアウト100のデバイスは、液浸リソグラフィ技術、ダブルパターニング技術、極端紫外線リソグラフィ(EUV)技術、及び、誘導自己組織化(DSA)リソグラフィ技術のうち1つによって製造される。いくつかの実施形態では、EUV技術は、他の技術と比較して、ビア及びコンタクトモジュールに対してより多くの柔軟性を提供する。
様々な実施形態では、標準セルレイアウト100のデバイス(トランジスタ)は、非平面デバイス(トランジスタ)である。非平面トランジスタは、短チャネル効果を低減するための半導体処理における最近の開発である。トライゲートトランジスタ、フィン電界効果トランジスタ(FET)及びゲートオールアラウンド(GAA)トランジスタは、非平面トランジスタの例である。図示するように、標準セルレイアウト100は、垂直方向の金属ゲート110と、垂直方向のソース領域及びドレイン領域用のトレンチシリサイドコンタクト120と、水平方向のローカル相互接続用の金属0(M0又は金属0)130と、金属ゲート110を金属0 130に接続するためのコンタクト140と、トレンチシリサイドコンタクト120を金属0 130に接続するためのコンタクト142と、を使用する。
レイアウト100は、水平金属0 130ローカル相互接続を用いて3つの水平信号ルートをルーティングするために、上部のトリプレットグループ(triplet group)を使用する。さらに、レイアウト100は、水平金属0 130ローカル相互接続を用いて3つの水平信号ルートをルーティングするために、下部のトリプレットグループを使用する。2つのトリプレットグループ間には、追加の信号ルーティングトラックに使用可能なスペーシングが存在する。
いくつかの実施形態では、極端紫外線リソグラフィ(EUV)技術を使用して、トリプレットグループの水平金属0 130ルートの幅及びピッチの各々の分解能を提供する。EUV技術は、極端紫外線波長を使用して、40ナノメートル未満の分解能に達する。極端紫外線波長は、約13.5ナノメートルである。EUVビームを得るために比較的高温で高密度のプラズマが使用される。他の実施形態では、幅及びピッチの各々の分解能を得るために誘導自己組織化(DSA)リソグラフィ技術が使用される。DSA技術は、ナノスケール寸法を達成するために、材料の自己組織化特性を利用する。
さらに他の実施形態では、トリプレットグループの水平金属0 130ルートの幅及びピッチの各々の分解能は、液浸リソグラフィ技術によって設定される。液浸リソグラフィでは、撮像装置のレンズとウェハ表面との間に純水等の液状媒体が使用される。以前は、ギャップスペースは空気のみであった。この技術によって達成される分解能は、液状媒体の屈折率によって増加する撮像装置の分解能である。いくつかの例では、増加した分解能は、80ナノメートルを超える。
他の実施形態では、ダブルパターニング技術を使用して、トリプレットグループの水平金属0 130ルートの幅及びピッチの各々の分解能を得る。ダブルパターニング技術は、液浸リソグラフィシステムを使用して、40ナノメートル~80ナノメートルの分解能を有するフィーチャ(features)を定義する。自己整合ダブルパターニング(SADP)技術又はリソエッチリソエッチ(LELE)技術の何れかが使用される。ダブルパターニング技術は、ウェハ上のフィーチャの最小寸法が照明光源の193ナノメートル波長未満である場合に生じる光リソグラフィの回折の効果を弱める。光リソグラフィにおける回析の効果を弱めるために使用される技術の他の例は、位相シフトマスク、光近接効果補正(OPC)技術、光学装置の改良、及び、コンピュータリソグラフィである。
液浸リソグラフィ、ダブルパターニング、EUV技術及びDSA技術、並びに、他の技術を選択する場合、コストは、液浸リソグラフィからEUVに増加するものとして考えられる。しかしながら、時間の経過と共に、これらの技術のコストが調整され、トリプレットグループの水平金属0 130ルートの幅及びピッチに対して比較的高い分解能を提供するために追加の新たな技術が開発されている。したがって、様々なリソグラフィ技術のうち1つが、比較的高い分解能を幅及びピッチに提供するために使用される。
幅及びピッチの比較的高い分解能は、トレンチシリサイドコンタクト120及び金属ゲート110にコンタクトを配置するための3つの位置(「ヒットポイント」又は「スポット」と呼ばれることもある)を可能にする。これらの3つの位置は、効率的な信号及び電源ルーティングを提供する。例えば、レイアウト100の上部のpfetsは、コンタクト用の3つの可能な位置へのアクセスを有し、同様に、レイアウト100の下部のnfetsは、コンタクト用の3つの可能な位置へのアクセスを有する。コンタクト用の3つの可能な位置によって提供される柔軟性は、他の金属相互接続(例えば、垂直金属1又は水平金属2等)、並びに、信号及び電源をルーティングするための対応するコンタクトを使用することを排除する。
標準セルレイアウト100は、垂直方向のローカル相互接続のための金属1(M1又は金属1)150と、水平相互接続金属0 130を垂直相互接続金属1 150に接続するためのビア152と、をさらに使用する。レイアウト100は、上部で電源ピンを使用し、下部で接地ピンを使用する。図示するように、レイアウト100は、金属0 130内の全ての電源レールを使用していない。上部の垂直金属1 150のルーティングによって、電源接続を生じさせるための水平金属2(M2又は金属2)170への柔軟な接続が提供される。下部の垂直金属1 150のルーティングによって、接地接続を生じさせるための金属2 170トラックへの柔軟な接続が提供される。さらに、ビア160は、垂直金属1 150を水平金属2 170に接続するために使用される。図示するように、このような接続は、レイアウト100の4つの隅の各々で行われる。
図2を参照すると、標準セルレイアウト200の平面図の一般化されたブロック図が示されている。上述したレイアウト要素には、同じ符号が付されている。レイアウト100と同様に、上部の電源接続及び下部の接地接続のポストの位置は、チップレベルで固定されておらず、標準セル内で移動することができる。ここで、レイアウト200では、ビア160及びオプションの水平金属2 170のポストの配置は、レイアウト100で使用される配置と異なる。ビア160によって提供される4つの接続は、レイアウト100において、4つの接続が外側の角の近くに存在する配置よりも中央に存在する。金属1 150のルーティングは、電源及び接地のための接続の柔軟な配置を提供するために使用される。
図3を参照すると、マルチセルレイアウト300の平面図の一般化されたブロック図が示されている。様々なタイプの複数の標準セルを使用してアレイが生成され、レイアウト300は、パワーグリッドに接続された結果として得られるアレイのレイアウトである。ここで、説明を容易にするために、マルチセルレイアウト300には、アクティブ領域及び金属ゲートが示されていない。さらに、説明を容易にするために、マルチセルレイアウト300には、信号ルートだけでなく、金属ゲートと水平金属0との間のコンタクトも示されていない。図示されている垂直金属1及び金属1の下方の要素(例えば、金属0、トレンチシリサイドコンタクト等)は、対応する標準セルの一部である。
マルチセルレイアウト300は、垂直方向のソース領域及びドレイン領域用のトレンチシリサイドコンタクト310と、水平方向のローカル相互接続用の金属0(M0又は金属0)320と、トレンチシリサイドコンタクト310を金属0 320に接続するためのコンタクト360と、垂直方向の相互接続用の金属1(M1又は金属1)330と、金属0 320を金属1 330に接続するためのビア370と、を使用する。
また、マルチセルレイアウト300は、水平方向の相互接続用の金属2(M2又は金属2)340と、金属1 330を金属2 340に接続するためのビア372と、を使用する。さらに、マルチセルレイアウト300は、垂直方向の相互接続用の金属3(M3又は金属3)350と、金属2 340を金属3 350に接続するためのビア374と、を使用する。図示するように、金属2 340の最上部の列は、上下反転されたかミラーリングされた部分的な第1標準セルからの電源(VDD)を接続するために使用される。金属2 340の第2列は、上下反転されていない異なる第2標準セルの電源を接続するために使用される。金属2 340の第3列は、上下反転されていない同じ第2標準セルの接地(GND又はVSS)を接続するために使用される。金属2 340の第4列は、上下反転されたかミラーリングされた部分的な第3標準セルレイアウトの接地接続に使用される。
マルチセルレイアウト300は、金属0 320の電源レールを使用しないので、金属0 320におけるエレクトロマイグレーション(EM)の問題を回避する。電源及び接地への接続は、金属3 350からビア374へ、金属2 340へ、ビア372へ、金属1 330へ、ビア370へ、金属0 320へ、コンタクト360へ、対応する標準セル内のトレンチシリサイドコンタクト310へとルーティングされる。金属0 320での電源接続及び接地接続は共用されない。マルチセルレイアウト300は、水平金属0 320及び水平金属2 340の独立した電源ストラップに加えて、垂直金属1 330及び垂直金属3 350の各々の独立した電源ポストを使用する。所定の金属層の電源ポストは、所定の金属層の複数の電源ポストの別の電源ポストに接続されていない場合、独立した電源ポストと呼ばれる。したがって、所定の金属層では、電源レールが使用されない。
一実施形態では、垂直金属3 350内の1つ以上の独立した電源ポストは、標準セルの高さ未満の長さを有する。一実施形態では、垂直金属3 350の1つ以上の独立した電源ポストは、水平金属2 340の独立した電源ストラップのピッチに基づく長さを有する。図示するように、水平金属0 320及び水平金属2 340の各々の独立した電源ストラップに加えて、垂直金属1 330及び垂直金属3 350の各々の独立した電源ポストは、単方向ルートでルーティングされる。屈曲やL字形状のない信号ルートを単方向ルートと呼ぶ。これに対して、屈曲及び/又はL字形状を有する信号ルートを双方向ルートと呼ぶ。双方向ルートは、オンダイ領域に重大なペナルティをもたらす。
図4を参照すると、マルチセルレイアウト400の別の平面図の一般化されたブロック図が示されている。上述したレイアウト要素には、同じ符号が付されている。ここで、マルチセルレイアウト400は、マルチセルレイアウト300と同じであるが、説明を容易にするために、金属1 330、金属2 340、金属3 350、及び、対応するビアのみが示されている。実際に、金属2 340用の2つのルートのみが示されている。1つのルートは電源接続用であり、別のルートは接地接続用である。マルチセルレイアウト400は、アレイ内で電源及び接地を接続するための一実施形態を示している。
図示するように、電源接続及び接地接続は、信号をルーティングする前に行われる。各金属1 330電源接続は、金属2 340を使用して、最も近い金属3 350ポストにルーティングされる。図示するように、上部の金属2 340電源ストラップは、金属1 330電源ルートを、最も近い金属3 350ポストに接続する。同様に、各金属1 330接地接続は、金属2 340を使用して、最も近い金属3 350にルーティングされる。図4に示す下部の金属2 340接地ストラップは、金属1 330接地ルートを、最も近い金属3 350ポストに接続する。
図示した実施形態では、金属3 350は、一対の垂直金属3 350ポストを、別の一対の垂直金属3 350ポストに対してどの程度近くに配置するかを示すピッチ410を有し、金属3 350ポストは、電源接続及び接地接続のために使用される。また、ピッチ410は、グリッド410とも呼ばれる。ピッチ410は、例えば動作電圧、動作周波数、金属3 350ポスト及びビア374当たりの電流量の限度等の1つ以上の様々な要因を使用して、設計者によって設定される。図示するように、電源及び接地用の各金属3 350ポストは、最短の長さである長さ420を有する。いくつかの実施形態では、任意の金属2 340電源ストラップ又は接地ストラップの長さは、金属2 340の長さを比較的短い金属層の長さに制限するために、ピッチ410の幅の半分を超えない。他の実施形態では、ピッチ410の幅の半分以外の別の割合が、金属2 340の電源ストラップ又は接地ストラップの制限のために選択される。
電源接続及び接地接続用の金属1 330、金属2 340及び金属3 350の各々の比較的短い長さは、これらの金属層が、比較的長い長さを有する金属層よりも高い電流を処理することを可能にする。電源接続及び接地接続のに使用される金属層330,340,350の比較的短い長さは、比較的長い長さを有する金属層よりも高い信頼性を提供する。また、金属層330,340,350の比較的短い長さは、これらの金属層にBlech長さ緩和を提供する。さらに、比較的短い垂直金属3 350ポストは、ボード外の(outboard)共用電源レールを使用するアプローチよりも消費するトラックが少ない。
さらに、金属1 330、金属2 340及び金属3 350の各々の比較的短い長さは、信号ルーティングの輻輳を軽減又は完全に除去することができるこれらの金属層を使用するためのギャップを信号ルートに提供する。電源接続及び接地接続に使用される金属層の比較的短い長さは、ルーティングチャネル又はルーティングトラックを提供する。したがって、電源接続及び接地接続が比較的短い金属長で完了した後に信号ルーティングを実行する場合の配置配線ツールのルータの柔軟性が高められている。
図5を参照すると、マルチセルレイアウト500の別の平面図の一般化されたブロック図が示されている。上述したレイアウト要素には、同じ符号が付されている。ここで、レイアウト500は、レイアウト300,400と同じである。マルチセルレイアウト500は、アレイ内で電源及び接地を接続するための一実施形態を示している。レイアウト500は、説明を容易にするために、金属1 330、金属2 340、金属3 350及び対応するビアのみを示している。レイアウト500は他の電源及び接地金属1 330スタブ又はポストの電源接続及び接地接続に加えて、レイアウト400からの電源接続及び接地接続を使用する。
上述したように、電源接続及び接地接続は、信号をルーティングする前に行われ、各金属1 330は、金属2 340を使用して、最も近い金属3 350にルーティングされる。同様に、各金属1 330接地接続は、金属2 340を使用して、最も近い金属3 350にルーティングされる。任意の金属2 340電源ストラップ又は接地ストラップの長さは、金属2 340の長さを比較的短い金属層の長さに制限するために、ピッチ410の所定の割合(例えば、ピッチ410の幅の半分等)を超えない。また、金属層330,340,350の比較的短い長さは、これらの金属層にBlech長さ緩和を提供し、信号ルーティングの輻輳を軽減又は完全に除去することができるこれらの金属層を使用するためのギャップを信号ルートに提供する。金属2 340及び金属3 350のギャップの複数の例がレイアウト500に示されている。電源ピン及び接地ピンは、ボード外レールを使用するのではなく、ボード内の(inboard)金属1 330ポストであるため、配置配線ツールは、標準セルの固定位置を有するのではなく、金属2 340及び金属3 350の下で標準セルを移動させることができる。
図6を参照すると、マルチセルレイアウト600の別の平面図の一般化されたブロック図が示されている。上述したレイアウト要素には、同じ符号が付されている。マルチセルレイアウト600は、マルチセルレイアウト300,400,500と同じであるが、エレクトロマイグレーション(EM)の問題及び電圧ドループ(IR低下)の問題を低減するために、ギャップ内に余分なルートを有する。様々な実施形態では、配置配線ツールは、電源接続及び接地接続のルーティングを完了若しくはほぼ完了した後に、又は、ルーティング信号の終わりに、潜在的なエレクトロマイグレーション問題の領域が発見される信頼性を高めるために、余分な金属層を追加する。
図7を参照すると、マルチセルレイアウト700の別の平面図の一般化されたブロック図が示されている。上述したレイアウト要素には、同じ符号が付されている。マルチセルレイアウト700は、マルチセルレイアウト300,400,500と同じであるが、ルータの選択を強調表示している。電源金属3 350ポストは、2つの金属2 340トラックを使用可能にするために、長さが拡大されている。配置配線ツールは、電源トラックの上方又は下方に沿って信号トラックを使用するオプションを有する。信号トラックは、電源接続に使用される金属3 350ポスト上にビア374を有していない。信号トラックが電源トラックの上方にある場合、電源金属3 350ポストの長さが拡大される。いくつかの実施形態では、金属2 340トラックが最初に生成され、それがより良好であると判断される場合に、金属2 340トラックが移動される。或いは、金属2 340トラックは、ルーティングが行われると追加される。いくつかの実施形態では、2つの金属2 340トラックのうち一方は、ルーティングが完了すると、配置配線ツールによって除去される。電源金属3 350ポストの余分な長さは、配置配線ツールにさらなるルーティングの柔軟性を提供する。同様に、同じ概念を、接地金属3 350ポストに使用することができる。
図8を参照すると、マルチセルレイアウト800の別の平面図の一般化されたブロック図が示されている。上述したレイアウト要素には、同じ符号が付されている。マルチセルレイアウト800は、マルチセルレイアウト700と同じであるが、異なるルータの選択を強調表示している。電源金属3 350ポストは、長さが拡大され、電源金属1 330ポストも、3つの金属2 340トラックを使用可能にするために、長さが拡大されている。配置配線ツールは、電源トラックの上方又は下方に沿って信号トラックを使用するオプションを有している。信号トラックは、電源接続に使用される金属3 350ポスト上にビア374を有していない。電源金属1 330ポストの長さを拡大する前に、電圧(IR)低下及びタイミングの設計ルールが検証される。
したがって、配置配線ツールは、金属3 350の電源ポスト及び接地ポストを配置し、続いて、金属1 330の電源ポスト及び接地ポストが標準セルに配置される。その後、信号ルーティング中に、配置配線ツールは、電源接続又は接地接続によって既に占有されているトラックを必要とする場合がある。レイアウト700,800に示す技術によって提供された柔軟性により、配置配線ツールは、所定の信号ルートに対して以前に占有された特定のトラックを使用するために、電源接続及び接地接続を増やす又は減らすことができる。レイアウト800には3つのトラックが示されているが、他の実施形態では、3つよりも多い別の数のトラックが可能であり、配置配線ツールに利用可能になり、配置配線ツールの柔軟性を提供することが意図される。同様に、同じ概念を、接地金属3 350ポストに使用することができる。
図9を参照すると、マルチセルレイアウト900の別の平面図の一般化された図が示されている。上述したレイアウト要素には、同じ符号が付されている。マルチセルレイアウト900は、マルチセルレイアウト800と同じであるが、異なるルータの選択を強調表示している。接地金属3 350ポストの余分な対が、接地金属2 340トラックに配置される。配置配線ツールは、図示した2つの利用可能な対のうち何れか一方に接地接続又は信号ルートを配置するオプションを有する。
レイアウト300~900に示す上述したパワーグリッドのアーキテクチャは、電源レールの下のセル配置の制限を除去し、パワーグリッドに使用されるワイヤルーティングを減少させ、パワーグリッドに使用されるトラックの数を減少させ、ルーティング効率を高め、ピンアクセスを増加し、より小さいルーティング設計を提供し、提供されたBlech長ベースのワイヤ緩和及び許容されるポストルート修正(post route fixes)を利用することによってエレクトロマイグレーション(EM)のリスク及びホットスポットを減少させ、信号ルーティングのために特定の位置にトラックを設けるパワーグリッドナッジング(nudging)を可能にする。したがって、レイアウト300~900に示す上述したパワーグリッドのアーキテクチャは、ピッチによって定義された固定位置の電源垂直ポスト及び接地垂直ポスト並びに水平ストラップを回避し、金属0層~金属3層での比較的長いワイヤを回避し、ボード外の共用電源レールの使用を回避し、金属1層及び金属2層の遮断に起因する標準セルの配置制限を回避する。極端紫外線リソグラフィ(EUV)技術及び他のリソグラフィ技術の使用により、標準セルに使用される幅及びピッチを5ナノメートル以下に低減するので、上記のパワーグリッドアーキテクチャ技術は、上述した利点を提供し、上述した問題を低減する。
図10を参照すると、標準セルのレイアウトパワーグリッド接続を生成する方法1000の一実施形態が示されている。説明のために、本実施形態のステップを順番に示す。しかしながら、他の実施形態では、いくつかのステップが図示した順序と異なる順序で行われ、いくつかのステップが同時に行われ、いくつかのステップが他のステップと組み合わされ、いくつかのステップが存在しない。
単方向トラックは、複数の電源垂直金属3層トラック及び電源水平金属2トラックの各々に利用される(ブロック1002)。屈曲もL字形状もない金属層トラック及びこれに応じたトラック内のルートは、単方向トラック及び単方向ルートと呼ばれる。屈曲及び/又はL字形状を有する金属層トラック並びにこれに応じたトラック内のルートは、双方向トラック及び双方向ルートと呼ばれる。双方向ルートは、オンダイ領域の重大なペナルティをもたらす。
複数の垂直金属3層ポストの各々は、電源水平金属2層ストラップのピッチに基づく最短の長さでルーティングされる(ブロック1004)。互いに接続されていない複数の電源垂直金属3層ポストは、標準セルの電源金属3層トラック内に配置される(ブロック1006)。したがって、信号金属3ルートに利用可能な垂直金属3トラックにギャップが生成される。
電源接続又は接地接続に使用される1つ以上の垂直金属1ポストは、アクティブ領域の上部から下部にルーティングされ、複数の位置を、複数の電源水平金属2層ストラップのうち1つへの接続に使用可能にする(ブロック1008)。複数の位置は、標準セルの配置配線アルゴリズムに柔軟性を提供する。複数の電源水平金属2層ストラップは、少なくとも2つの電源水平金属2層ストラップを互いに接続することなく、電源垂直金属3層ポストを垂直電源金属1ポストに接続するために電源金属2層トラックに配置される(ブロック1010)。したがって、信号金属2ルートに利用可能な水平金属2トラックにギャップが生成される。垂直方向に生成されたギャップと同様に、これらのギャップは、標準セル内の信号ルーティングの輻輳を軽減する。
上記の実施形態のうち1つ以上がソフトウェアを含むことに留意されたい。このような実施形態では、方法及び/又はメカニズムを実施するプログラム命令は、コンピュータ可読媒体に伝達又は記憶される。プログラム命令を記憶するように構成された多くのタイプの媒体が利用可能であり、これらには、ハードディスク、フロッピー(登録商標)ディスク、CD-ROM、DVD、フラッシュメモリ、プログラム可能ROM(PROM)、ランダムアクセスメモリ(RAM)及び他の様々な形態の揮発性又は不揮発性記憶装置が含まれる。一般的に言えば、コンピュータアクセス可能な記憶媒体は、命令及び/又はデータをコンピュータに提供するために使用中にコンピュータがアクセス可能な記憶媒体を含む。例えば、コンピュータアクセス可能な記憶媒体は、例えば磁気又は光学媒体(例えば、ディスク(固定若しくは取り外し可能)、テープ、CD-ROM、DVD-ROM、CD-R、CD-RW、DVD-R、DVD-RW、Blu-Ray(登録商標))等の記憶媒体を含む。記憶媒体は、RAM(例えば、同期型ダイナミックRAM(SDRAM)、ダブルデータレート(DDR、DDR2、DDR3等)SDRAM、低電力DDR(LPDDR2等)SDRAM、ラムバスDRAM(RDRAM)、スタティックRAM(SRAM)等)、ROM、フラッシュメモリ、USBインタフェース等の周辺インタフェースを介してアクセス可能な不揮発性メモリ(例えば、フラッシュメモリ)等の揮発性又は不揮発性記憶媒体をさらに含む。記憶媒体は、微小電気機械システム(MEMS)、並びに、ネットワーク及び/又は無線リンク等の通信媒体を介してアクセス可能な記憶媒体を含む。
また、様々な実施形態では、プログラム命令は、C等の高水準プログラミング言語、Verilog、VHDL等の設計言語(HDL)、又は、GDS IIストリームフォーマット(GDSII)等のデータベースフォーマットにおけるハードウェア機能の動作レベル記述又はレジスタ転送レベル(RTL)記述を含む。場合によっては、記述は合成ツールによって読み取られ、合成ツールは、記述を合成して、ゲートのリストを含むネットリストを合成ライブラリから生成する。ネットリストは、システムを含むハードウェアの機能を表すゲートのセットを含む。次に、ネットリストを配置してルーティングし、マスクに適用される幾何学的形状を記述するデータセットを生成する。次いで、マスクを様々な半導体製造工程で使用して、システムに対応する1つ以上の半導体回路を製造する。或いは、コンピュータアクセス可能な記憶媒体上の命令は、必要に応じて、ネットリスト(合成ライブラリを伴う若しくは伴わない)又はデータセットである。さらに、命令は、Cadence(登録商標)、EVE(登録商標)及びMentor Graphics(登録商標)等のベンダからのハードウェアベースタイプのエミュレータによるエミュレーションの目的で利用される。
上記の実施形態をかなり詳細に説明したが、上記の開示が十分に理解されれば、当業者には多くの変形及び修正が明らかになるであろう。添付の特許請求の範囲は、このような全ての変形及び修正を包含するように解釈されることを意図している。

Claims (20)

  1. 集積回路であって、
    各々が前記集積回路内のデバイスへの電源接続を提供する、第1金属層内の第1の複数の独立した電源ポストと、
    各々が標準セルの高さ未満の長さを有する、前記第1金属層とは異なる第2金属層内の第2の複数の独立した電源ポストと、
    前記第1金属層及び前記第2金属層の各々と異なる第3金属層内の複数の独立した電源ストラップであって、前記複数の独立した電源ストラップの各々が、前記第1の複数の独立した電源ポストのうち1つの電源ポストを、前記第2の複数の独立した電源ポストのうち1つの電源ポストに接続する、複数の独立した電源ストラップと、を備え
    前記複数の独立した電源ストラップのうち2つ以上は、前記標準セルの境界エッジまでルーティングされていない少なくとも1つの端部を有する、
    集積回路
  2. 前記複数の独立した電源ストラップのうち1つ以上、前記第2の複数の独立した電源ポストのうち2対の電源ポスト間のピッチ距離の幅の半分未満の長さでルーティングされている
    請求項1の集積回路
  3. 前記第2の複数の独立した電源ポストの各々の長さは、前記複数の独立した電源ストラップのピッチに基づく最短の長さである、
    請求項1の集積回路
  4. 前記第2の複数の独立した電源ポスト及び前記複数の独立した電源ストラップの各々は、単方向信号ルートである、
    請求項1の集積回路
  5. 前記第1金属層は垂直金属1層であり、前記第2金属層は水平金属2層であり、前記第3金属層は垂直金属3層である、
    請求項1の集積回路
  6. 電源ポスト又は電源ストラップを含む所定の金属層の各トラックに存在するギャップは、前記ギャップにおいて前記所定の金属層の非電源信号ルートを提供する
    請求項集積回路
  7. 同じ垂直金属3層トラックを共有する前記第2の複数の独立した電源ポストのうち少なくとも2つの電源ポストは、前記垂直金属3層トラック内のこれらの間の金属3層内に非電源信号ルートを有する、
    請求項5の集積回路
  8. トランジスタの金属ゲートに対して垂直にルーティングされ、ソース又はドレイン接続に使用されるトレンチシリサイドコンタクトと、前記第1の複数の複数の独立した電源ポストのうち1つの電源ポストと、のビアのみに接続される、前記金属1層の下方の金属0層内の複数の独立した電源ストラップのうち1つ以上の電源ストラップをさらに備える、
    請求項5の集積回路
  9. 前記デバイスへの電源接続は、電源接続及び接地基準接続のうちの1つである、
    請求項1の集積回路
  10. コンピューティングデバイスによって実行される方法であって、
    各々が集積回路内のデバイスへの電源接続を提供する第1の複数の独立した電源ポストを、第1金属層に配置することと、
    各々が前記標準セルの高さ未満の長さを有する第2の複数の独立した電源ポストを、前記第1金属層とは異なる第2金属層に配置することと、
    前記第1金属層及び前記第2金属層の各々と異なる第3金属層に複数の独立した電源ストラップを配置することであって、前記複数の独立した電源ストラップの各々が、前記第1の複数の独立した電源ポストのうち1つの電源ポストを前記第2の複数の独立した電源ポストのうち1つ電源ポストに接続する、ことと、を含み、
    前記複数の独立した電源ストラップのうち2つ以上は、前記標準セルの境界エッジまでルーティングされていない少なくとも1つの端部を有する、
    方法。
  11. 前記複数の独立した電源ストラップのうち1つ以上は、前記第1の複数の独立した電源ポストのうち1つの電源ポストと、前記第2の複数の独立した電源ポストのうち1つの電源ポストとの間よりも、2対の電源ポスト間のピッチ距離の幅の半分未満の長さでルーティングされている
    請求項10の方法。
  12. 前記第2の複数の独立した電源ポストの各々を、前記複数の独立した電源ストラップのピッチに基づく最短の長さでルーティングすることをさらに含む、
    請求項10の方法。
  13. 前記第2の複数の独立した電源ポスト及び前記複数の独立した電源ストラップの各々は、単方向信号ルートである、
    請求項10の方法。
  14. 前記第1金属層は垂直金属1層であり、前記第2金属層は水平金属2層であり、前記第3金属層は垂直金属3層である、
    請求項10の方法。
  15. 実行されると標準セルの集積回路レイアウトを生成する複数の命令を記憶するコンピュータ可読記憶媒体であって、
    前記集積回路レイアウトは、
    各々が標準セル内のデバイスへの電源接続を提供する、第1金属層内の第1の複数の独立した電源ポストと、
    各々が前記標準セルの高さ未満の長さを有する、前記第1金属層とは異なる第2金属層内の第2の複数の独立した電源ポストと、
    前記第1金属層及び前記第2金属層の各々と異なる第3金属層内の複数の独立した電源ストラップであって、前記複数の独立した電源ストラップの各々が、前記第1の複数の独立した電源ポストのうち1つの電源ポストを、前記第2の複数の独立した電源ポストのうち1つの電源ポストに接続する、複数の独立した電源ストラップと、を備え、
    前記複数の独立した電源ストラップのうち2つ以上は、前記標準セルの境界エッジまでルーティングされていない少なくとも1つの端部を有する、
    コンピュータ可読記憶媒体。
  16. 前記複数の独立した電源ストラップのうち1つ以上は、前記第1の複数の独立した電源ポストのうち1つの電源ポストと、前記第2の複数の独立した電源ポストのうち1つの電源ポストとの間よりも、2対の電源ポスト間のピッチ距離の幅の半分未満の長さでルーティングされている
    請求項15のコンピュータ可読記憶媒体。
  17. 前記第2の複数の独立した電源ポストの各々の長さは、前記複数の独立した電源ストラップのピッチに基づく最短の長さである、
    請求項15のコンピュータ可読記憶媒体。
  18. 前記第2の複数の独立した電源ポスト及び前記複数の独立した電源ストラップの各々は、単方向信号ルートである、
    請求項15のコンピュータ可読記憶媒体。
  19. 前記第1金属層は垂直金属1層であり、前記第2金属層は水平金属2層であり、前記第3金属層は垂直金属3層である、
    請求項15のコンピュータ可読記憶媒体。
  20. 前記複数の独立した電源ストラップのうち1つ以上は、前記第1の複数の独立した電源ポストのうち1つの電源ポストと、前記第2の複数の独立した電源ポストのうち1つの電源ポストとの間よりも、2対の電源ポスト間のピッチ距離の幅の半分未満の長さでルーティングされている
    請求項19のコンピュータ可読記憶媒体。
JP2019559371A 2017-05-01 2018-04-27 Euvリソグラフィを用いたパワーグリッドのアーキテクチャ及び最適化 Active JP7193474B2 (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201762492705P 2017-05-01 2017-05-01
US62/492,705 2017-05-01
US15/636,278 US11347925B2 (en) 2017-05-01 2017-06-28 Power grid architecture and optimization with EUV lithography
US15/636,278 2017-06-28
PCT/US2018/029760 WO2018204179A1 (en) 2017-05-01 2018-04-27 Power grid architecture and optimization with euv lithography

Publications (2)

Publication Number Publication Date
JP2020518916A JP2020518916A (ja) 2020-06-25
JP7193474B2 true JP7193474B2 (ja) 2022-12-20

Family

ID=63916711

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2019559371A Active JP7193474B2 (ja) 2017-05-01 2018-04-27 Euvリソグラフィを用いたパワーグリッドのアーキテクチャ及び最適化

Country Status (5)

Country Link
US (1) US11347925B2 (ja)
EP (1) EP3619630A1 (ja)
JP (1) JP7193474B2 (ja)
KR (1) KR102531028B1 (ja)
WO (1) WO2018204179A1 (ja)

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11211330B2 (en) 2017-05-01 2021-12-28 Advanced Micro Devices, Inc. Standard cell layout architectures and drawing styles for 5nm and beyond
US10509878B1 (en) * 2017-08-28 2019-12-17 Cadence Design Systems, Inc. Systems and methods for routing track assignment
US10867102B2 (en) * 2018-06-28 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Inverted pitch IC structure, layout method, and system
US10796061B1 (en) * 2019-08-29 2020-10-06 Advanced Micro Devices, Inc. Standard cell and power grid architectures with EUV lithography
US11449116B2 (en) * 2019-09-26 2022-09-20 Arm Limited Power grid porosity techniques
KR20210049252A (ko) 2019-10-24 2021-05-06 삼성전자주식회사 반도체 소자
US11710733B2 (en) * 2020-03-03 2023-07-25 Qualcomm Incorporated Vertical power grid standard cell architecture
US11290109B1 (en) * 2020-09-23 2022-03-29 Qualcomm Incorporated Multibit multi-height cell to improve pin accessibility
US11652050B2 (en) 2020-12-28 2023-05-16 Advanced Micro Devices, Inc. Inset power post and strap architecture with reduced voltage droop
US11663389B2 (en) * 2021-04-16 2023-05-30 Taiwan Semiconductor Manufacturing Company, Ltd. Circuit layout
US11929325B2 (en) * 2021-08-18 2024-03-12 Qualcomm Incorporated Mixed pitch track pattern
US20230092184A1 (en) * 2021-09-23 2023-03-23 Advanced Micro Devices, Inc. Standard cell design architecture for reduced voltage droop utilizing reduced contacted gate poly pitch and dual height cells

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030023937A1 (en) 2001-06-01 2003-01-30 Mcmanus Michael J. Method and apparatus for design of integrated circuits
JP2005167184A (ja) 2003-11-13 2005-06-23 Renesas Technology Corp 半導体集積回路装置
US20120286858A1 (en) 2011-05-13 2012-11-15 John Philip Biggs Integrated circuit, method of generating a layout of an integrated circuit using standard cells, and a standard cell library providing such standard cells
JP2014112745A (ja) 2014-03-27 2014-06-19 Renesas Electronics Corp 半導体装置

Family Cites Families (62)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4851892A (en) 1987-09-08 1989-07-25 Motorola, Inc. Standard cell array having fake gate for isolating devices from supply voltages
JP3224907B2 (ja) 1993-06-08 2001-11-05 株式会社東芝 不揮発性半導体記憶装置
JP3093692B2 (ja) * 1996-09-12 2000-10-03 松下電器産業株式会社 半導体集積回路,その設計方法及び記録媒体
US6349401B2 (en) * 1996-09-12 2002-02-19 Matsushita Electric Industrial Co., Ltd. Semiconductor integrated circuit, design method and computer-readable medium using a permissive current ratio
US6242767B1 (en) 1997-11-10 2001-06-05 Lightspeed Semiconductor Corp. Asic routing architecture
US6100101A (en) 1998-10-27 2000-08-08 Advanced Micro Devices Inc. Sensitive technique for metal-void detection
US7225423B2 (en) * 2000-06-30 2007-05-29 Zenasis Technologies, Inc. Method for automated design of integrated circuits with targeted quality objectives using dynamically generated building blocks
US6609242B1 (en) * 2001-07-20 2003-08-19 Hewlett-Packard Development Company, L.P. Automated creation of power distribution grids for tiled cell arrays in integrated circuit designs
DE10303926B4 (de) 2003-01-31 2005-01-05 Advanced Micro Devices, Inc., Sunnyvale Verbesserte Technik zur Herstellung von Kontakten für vergrabene dotierte Gebiete in einem Halbleiterelement
KR100532464B1 (ko) * 2003-08-28 2005-12-01 삼성전자주식회사 액티브를 이용한 반도체 셀의 전원선 레이아웃
US7388244B2 (en) 2005-09-22 2008-06-17 International Business Machines Corporation Trench metal-insulator-metal (MIM) capacitors and method of fabricating same
DE102006027178A1 (de) 2005-11-21 2007-07-05 Infineon Technologies Ag Multi-Fin-Bauelement-Anordnung und Verfahren zum Herstellen einer Multi-Fin-Bauelement-Anordnung
US7763534B2 (en) 2007-10-26 2010-07-27 Tela Innovations, Inc. Methods, structures and designs for self-aligning local interconnects used in integrated circuits
US7446352B2 (en) 2006-03-09 2008-11-04 Tela Innovations, Inc. Dynamic array architecture
US9563733B2 (en) 2009-05-06 2017-02-07 Tela Innovations, Inc. Cell circuit and layout with linear finfet structures
US7908578B2 (en) 2007-08-02 2011-03-15 Tela Innovations, Inc. Methods for designing semiconductor device with dynamic array section
US7737482B2 (en) 2006-10-05 2010-06-15 International Business Machines Corporation Self-aligned strap for embedded trench memory on hybrid orientation substrate
US8667443B2 (en) 2007-03-05 2014-03-04 Tela Innovations, Inc. Integrated circuit cell library for multiple patterning
EP2133911B1 (en) * 2007-03-29 2014-11-19 Fujitsu Limited Capacitor cell, integrated circuit, integrated circuit designing method, and integrated circuit manufacturing method
US8051401B2 (en) * 2008-10-15 2011-11-01 Arm Limited Post-routing power supply modification for an integrated circuit
US20100127333A1 (en) 2008-11-21 2010-05-27 Taiwan Semiconductor Manufacturing Company, Ltd. novel layout architecture for performance enhancement
US8513978B2 (en) 2011-03-30 2013-08-20 Synopsys, Inc. Power routing in standard cell designs
US8564030B2 (en) 2011-06-10 2013-10-22 Advanced Micro Devices Self-aligned trench contact and local interconnect with replacement gate process
US8595661B2 (en) 2011-07-29 2013-11-26 Synopsys, Inc. N-channel and p-channel finFET cell architecture
US8561003B2 (en) 2011-07-29 2013-10-15 Synopsys, Inc. N-channel and P-channel finFET cell architecture with inter-block insulator
US20150048425A1 (en) 2011-10-07 2015-02-19 Baysand Inc. Gate array architecture with multiple programmable regions
US8716124B2 (en) 2011-11-14 2014-05-06 Advanced Micro Devices Trench silicide and gate open with local interconnect with replacement gate process
US9355910B2 (en) 2011-12-13 2016-05-31 GlobalFoundries, Inc. Semiconductor device with transistor local interconnects
US8694945B2 (en) * 2011-12-20 2014-04-08 Taiwan Semiconductor Manufacturing Co., Ltd. Automatic place and route method for electromigration tolerant power distribution
CN106952958B (zh) 2011-12-23 2021-07-20 英特尔公司 具有调制的纳米线数目的半导体器件
US8809184B2 (en) 2012-05-07 2014-08-19 Globalfoundries Inc. Methods of forming contacts for semiconductor devices using a local interconnect processing scheme
US8901615B2 (en) 2012-06-13 2014-12-02 Synopsys, Inc. N-channel and P-channel end-to-end finfet cell architecture
JP5820412B2 (ja) 2013-03-08 2015-11-24 株式会社東芝 半導体集積回路
US9026977B2 (en) 2013-08-16 2015-05-05 Globalfoundries Inc. Power rail layout for dense standard cell library
US8999779B2 (en) 2013-09-06 2015-04-07 International Business Machines Corporation Locally raised epitaxy for improved contact by local silicon capping during trench silicide processings
US9397004B2 (en) * 2014-01-27 2016-07-19 GlobalFoundries, Inc. Methods for fabricating FinFET integrated circuits with simultaneous formation of local contact openings
US9367660B2 (en) 2014-03-18 2016-06-14 Taiwan Semiconductor Manufacturing Company Ltd. Electromigration-aware layout generation
US9553028B2 (en) * 2014-03-19 2017-01-24 Globalfoundries Inc. Methods of forming reduced resistance local interconnect structures and the resulting devices
US9305633B2 (en) 2014-04-17 2016-04-05 Stmicroelectronics International N.V. SRAM cell and cell layout method
US9887209B2 (en) 2014-05-15 2018-02-06 Qualcomm Incorporated Standard cell architecture with M1 layer unidirectional routing
US9361418B2 (en) 2014-06-23 2016-06-07 Synopsys, Inc. Nanowire or 2D material strips interconnects in an integrated circuit cell
US9400862B2 (en) 2014-06-23 2016-07-26 Synopsys, Inc. Cells having transistors and interconnects including nanowires or 2D material strips
US9431383B2 (en) 2014-07-22 2016-08-30 Samsung Electronics Co., Ltd. Integrated circuit, semiconductor device based on integrated circuit, and standard cell library
US9460259B2 (en) 2014-08-22 2016-10-04 Samsung Electronics Co., Ltd. Methods of generating integrated circuit layout using standard cell library
US9406616B2 (en) 2014-12-05 2016-08-02 Globalfoundries Inc. Merged source/drain and gate contacts in SRAM bitcell
KR102352153B1 (ko) 2015-03-25 2022-01-17 삼성전자주식회사 집적회로 장치 및 이의 제조 방법
US9502351B1 (en) 2015-09-15 2016-11-22 Qualcomm Incorporated Multiple split rail standard cell library architecture
US9923078B2 (en) 2015-10-30 2018-03-20 International Business Machines Corporation Trench silicide contacts with high selectivity process
US9659939B1 (en) 2015-11-30 2017-05-23 International Business Machines Corporation Integrated circuit having MIM capacitor with refractory metal silicided strap and method to fabricate same
US9589847B1 (en) 2016-02-18 2017-03-07 International Business Machines Corporation Metal layer tip to tip short
US9818651B2 (en) 2016-03-11 2017-11-14 Globalfoundries Inc. Methods, apparatus and system for a passthrough-based architecture
EP3229270A1 (en) * 2016-04-06 2017-10-11 IMEC vzw Integrated circuit power distribution network
US20170323902A1 (en) 2016-05-06 2017-11-09 Globalfoundries Inc. Method, apparatus, and system for improved cell design having unidirectional metal layout architecture
US10366196B2 (en) 2016-06-22 2019-07-30 Qualcomm Incorporated Standard cell architecture for diffusion based on fin count
US9704995B1 (en) 2016-09-20 2017-07-11 Advanced Micro Devices, Inc. Gate all around device architecture with local oxide
US11189569B2 (en) 2016-09-23 2021-11-30 Advanced Micro Devices, Inc. Power grid layout designs for integrated circuits
US9881926B1 (en) * 2016-10-24 2018-01-30 International Business Machines Corporation Static random access memory (SRAM) density scaling by using middle of line (MOL) flow
US9837398B1 (en) 2016-11-23 2017-12-05 Advanced Micro Devices, Inc. Metal track cutting in standard cell layouts
US10242946B2 (en) 2017-01-27 2019-03-26 Globalfoundries Inc. Circuit design having aligned power staples
US10658292B2 (en) * 2017-04-24 2020-05-19 Taiwan Semiconductor Manufacturing Company Limited Metal patterning for internal cell routing
US11211330B2 (en) 2017-05-01 2021-12-28 Advanced Micro Devices, Inc. Standard cell layout architectures and drawing styles for 5nm and beyond
US11139385B2 (en) * 2018-05-17 2021-10-05 International Business Machines Corporation Interface-less contacts to source/drain regions and gate electrode over active portion of device

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030023937A1 (en) 2001-06-01 2003-01-30 Mcmanus Michael J. Method and apparatus for design of integrated circuits
JP2005167184A (ja) 2003-11-13 2005-06-23 Renesas Technology Corp 半導体集積回路装置
US20120286858A1 (en) 2011-05-13 2012-11-15 John Philip Biggs Integrated circuit, method of generating a layout of an integrated circuit using standard cells, and a standard cell library providing such standard cells
JP2014112745A (ja) 2014-03-27 2014-06-19 Renesas Electronics Corp 半導体装置

Also Published As

Publication number Publication date
US20180314785A1 (en) 2018-11-01
EP3619630A1 (en) 2020-03-11
JP2020518916A (ja) 2020-06-25
KR20200003004A (ko) 2020-01-08
KR102531028B1 (ko) 2023-05-10
US11347925B2 (en) 2022-05-31
WO2018204179A1 (en) 2018-11-08
CN110582767A (zh) 2019-12-17

Similar Documents

Publication Publication Date Title
JP7193474B2 (ja) Euvリソグラフィを用いたパワーグリッドのアーキテクチャ及び最適化
JP7231564B2 (ja) 標準セルレイアウトアーキテクチャ及び5nm以降の描画スタイル
JP7062767B2 (ja) セル面積を縮小し、チップレベルでのセル配置を改善するための金属0電源接地スタブ経路(metal zero power ground stub route)
JP7273246B2 (ja) Euvリソグラフィによる標準セル及び電力グリッドアーキテクチャ
US10438937B1 (en) Metal zero contact via redundancy on output nodes and inset power rail architecture
JP7343395B2 (ja) 垂直ゲートオールアラウンドライブラリアーキテクチャ
US11652050B2 (en) Inset power post and strap architecture with reduced voltage droop
KR20240057441A (ko) 감소된 접촉 게이트 폴리 피치 및 이중 높이 셀들을 활용하는 감소된 전압 드룹을 위한 표준 셀 설계 아키텍처
CN110582767B (zh) 利用euv光刻的电网架构和优化

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20210322

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20220531

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220831

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20221206

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20221208

R150 Certificate of patent or registration of utility model

Ref document number: 7193474

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150