JP7108623B2 - 高温環境において高周波電力を測定するための電圧-電流プローブ、及び電圧-電流プローブを較正する方法 - Google Patents

高温環境において高周波電力を測定するための電圧-電流プローブ、及び電圧-電流プローブを較正する方法 Download PDF

Info

Publication number
JP7108623B2
JP7108623B2 JP2019543803A JP2019543803A JP7108623B2 JP 7108623 B2 JP7108623 B2 JP 7108623B2 JP 2019543803 A JP2019543803 A JP 2019543803A JP 2019543803 A JP2019543803 A JP 2019543803A JP 7108623 B2 JP7108623 B2 JP 7108623B2
Authority
JP
Japan
Prior art keywords
voltage
processing chamber
plasma processing
current
chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2019543803A
Other languages
English (en)
Other versions
JP2020510819A (ja
Inventor
チョン ジョン イェー,
ジェイ ディー., ザ セカンド ピンソン,
フアン カルロス ロチャ-アルヴァレス,
アブドゥル アジズ カジャ,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2020510819A publication Critical patent/JP2020510819A/ja
Application granted granted Critical
Publication of JP7108623B2 publication Critical patent/JP7108623B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R15/00Details of measuring arrangements of the types provided for in groups G01R17/00 - G01R29/00, G01R33/00 - G01R33/26 or G01R35/00
    • G01R15/14Adaptations providing voltage or current isolation, e.g. for high-voltage or high-current networks
    • G01R15/18Adaptations providing voltage or current isolation, e.g. for high-voltage or high-current networks using inductive devices, e.g. transformers
    • G01R15/181Adaptations providing voltage or current isolation, e.g. for high-voltage or high-current networks using inductive devices, e.g. transformers using coils without a magnetic core, e.g. Rogowski coils
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R15/00Details of measuring arrangements of the types provided for in groups G01R17/00 - G01R29/00, G01R33/00 - G01R33/26 or G01R35/00
    • G01R15/14Adaptations providing voltage or current isolation, e.g. for high-voltage or high-current networks
    • G01R15/18Adaptations providing voltage or current isolation, e.g. for high-voltage or high-current networks using inductive devices, e.g. transformers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F4/00Processes for removing metallic material from surfaces, not provided for in group C23F1/00 or C23F3/00
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R15/00Details of measuring arrangements of the types provided for in groups G01R17/00 - G01R29/00, G01R33/00 - G01R33/26 or G01R35/00
    • G01R15/14Adaptations providing voltage or current isolation, e.g. for high-voltage or high-current networks
    • G01R15/142Arrangements for simultaneous measurements of several parameters employing techniques covered by groups G01R15/14 - G01R15/26
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R15/00Details of measuring arrangements of the types provided for in groups G01R17/00 - G01R29/00, G01R33/00 - G01R33/26 or G01R35/00
    • G01R15/14Adaptations providing voltage or current isolation, e.g. for high-voltage or high-current networks
    • G01R15/144Measuring arrangements for voltage not covered by other subgroups of G01R15/14
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R15/00Details of measuring arrangements of the types provided for in groups G01R17/00 - G01R29/00, G01R33/00 - G01R33/26 or G01R35/00
    • G01R15/14Adaptations providing voltage or current isolation, e.g. for high-voltage or high-current networks
    • G01R15/16Adaptations providing voltage or current isolation, e.g. for high-voltage or high-current networks using capacitive devices
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R35/00Testing or calibrating of apparatus covered by the other groups of this subclass
    • G01R35/04Testing or calibrating of apparatus covered by the other groups of this subclass of instruments for measuring time integral of power or current
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32559Protection means, e.g. coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/3299Feedback systems
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Power Engineering (AREA)
  • Plasma Technology (AREA)
  • Chemical Vapour Deposition (AREA)
  • Measuring Instrument Details And Bridges, And Automatic Balancing Devices (AREA)
  • Drying Of Semiconductors (AREA)

Description

[0001]本明細書に記載された実施形態は、概して、高周波(RF)プラズマ処理システム、より具体的には、高温環境においてRF電力を測定するための電圧-電流プローブ、及び電圧-電流プローブを較正する方法に関する。
[0002]RF電源、伝送線、及び負荷を含む高周波(RF)システムでは、伝送線インピーダンスをソースインピーダンスと負荷インピーダンスの両方に整合させることにより、伝送線を介した電力伝送を最大化することができる。これらのインピーダンスを厳密に整合させないと、RF電源が供給するRF電力が、伝送線において定在波の形態でRF電源に向けて反射される。結果として、最大RF電力を負荷に伝送することができず、反射した電力は事実上失われる。反射により失われる電力量は、概して、ソースインピーダンスと負荷インピーダンスとの間の不整合の関数である。
[0003]従来においては、RF電源と負荷との間にインピーダンス整合システム又は装置を組み込むことより、反射による損失が減る。しかしながら、RFプラズマ処理チャンバでは、チャンバ内の非線形性により、RF電源とプラズマチャンバとの間に著しいインピーダンス不整合が依然として存在する。したがって、RF電源によって供給される出力のすべてが、通常、RFプラズマ処理チャンバの処理領域に達するわけではない。さらに、伝送線及びインピーダンス不整合システム自体における損失が、RFプラズマ処理チャンバの処理領域に実際に到達する出力電力をさらに減少させる。結果的に、チャンバ内の特定のエッチング又は堆積処理の間にRF電力を定量化して、処理をより良く制御するためには、RFプラズマ処理チャンバの処理領域に入るRFエネルギーの電圧及び電流を測定するように電圧-電流(V/I)プローブが利用されることがある。
[0004]RF伝送線に沿った任意の点における電圧及び電流の正確な測定は、位置にかなり左右されるので、理想的には、V/Iブローブは、プラズマ処理チャンバ上の電力入力点の近くに配置される。特に、反射によって引き起こされたRF伝送線内の定在波の存在によって、結果的に伝送線に沿って位置が変動するピーク電圧及びピーク電流がもたらされる。しかしながら、高温用途では、従来のV/Iブローブは、プラズマチャンバ上に配置されるように適合されていない。例えば、典型的なプラズマ化学気相堆積(PECVD)チャンバは、およそ600℃から700℃の処理温度を有し得、チャンバ本体は、およそ100℃から200℃の温度に達し得る。これに対して、概してFR-4などの有機材料含有基板から形成される従来のV/Iブローブは、約70℃を上回る温度で熱的に劣化し得る。したがって、高温用途では、V/Iブローブは処理チャンバから一定の距離で配置されるが、これにより、インピーダンス不整合システム及び/又はRF電源に与えられる電圧及び電流の測定値の精度が著しく下がる。RF整合デバイス及び/又はRF電力供給部の内部に測定要素を位置付けする従来のV/I型プローブ構成は、信号対雑音比の問題にも陥りやすい。さらに、従来のV/Iブローブにおいて、実際に供給されたRF電力信号に対する測定RF値は、他の外部構成要素に対するプローブの位置に基づいて、チャンバごとに変動し得る。このことはすべて、従来のV/Iブローブが処理チャンバに供給されるRF電力又は位相における小さな変動を検出し得ることを妨げる恐れがある。
[0005]したがって、当該分野では、RFプラズマ処理システム内で利用される電圧及び電流のより正確な測定を促進するシステム及び方法が必要とされている。
[0006]本明細書に記載された1つ又は複数の実施形態は、高温環境において高周波電力を測定するための電圧-電流プローブ、及び電圧-電流プローブを較正する方法を提供する。
[0007]一実施形態では、電圧-電流センサーアセンブリは、非有機の電気絶縁材料からなる平面体、平面体において形成された測定用開口、測定用開口の周りに配置された電圧ピックアップであって、第1の電圧測定回路に電気的に連結された電圧ピックアップ、及び測定用開口の周りに配置された電流ピックアップであって、第1の電流測定回路に電気的に連結された電流ピックアップを含む。
[0008]別の実施形態では、プラズマ処理チャンバは、チャンバ本体、チャンバ本体内に配置された放電電極、チャンバ本体の大気面に設置され、非有機の電気絶縁材料からなる平面体を備えた電圧-電流センサーであって、第1の電圧測定回路及び第2の電流測定回路を含む、電圧-電流センサー、及び電圧-電流センサーの測定用開口を通して送り込まれ、高周波電力を放電電極に電気的に連結する高周波伝送線を含む。
[0009]別の実施形態では、非一過性のコンピュータ可読媒体は、指令を記憶し、指令がプロセッサによって実行されると、指令は、プロセッサに次のステップを実行させる。RF電力を、第1の大きさで生成させ、センサーの平面体において形成された測定用開口を通して送り込まれた伝送線を介して、プラズマ処理チャンバに伝送させるステップ、測定用開口の周りに配置された電圧ピックアップから第1の電圧信号を受信し、測定用開口の周りに配置された電流ピックアップから第2の電圧信号を受信するステップであって、第1の電圧信号及び第2の電圧信号が、伝送線を介して伝送されているRF電力に応答して生成される、受信するステップ、第1の電圧信号、第2の電圧信号、第1の電圧信号に適用される第1の較正係数、及び第2の電圧信号に適用される第2の較正係数に基づいて、プラズマ処理チャンバに結合した実際のRF電力を決定するステップであって、第1の較正係数は、伝送線を介して、伝送線に整合するダミー負荷に伝送されている第2の大きさのRF電力に応答して生成された、電圧ピックアップからの第3の電圧信号に基づき、第2の較正係数は、伝送線を介して、ダミー負荷に伝送されている第2の大きさのRF電力に応答して生成された、電流ピックアップからの第4の電圧信号に基づく、決定するステップ、プラズマ処理チャンバに結合した実際のRF電力が、ターゲットRF電力の所定の範囲外にあることを特定するステップ、及び実際のRF電力が、所定の範囲外であると特定したことに応答して、RF電力を、第3の大きさで生成させ、伝送線を介して、プラズマ処理チャンバに伝送させるステップ。
[0010]本開示の上述の特徴を詳細に理解することができるように、上記で簡単に要約された本開示のより具体的な説明は、実施形態を参照することによって得ることができる。そのうちの幾つかの実施形態は添付の図面で例示されている。しかし、本開示は他の等しく有効な実施形態も許容し得ることから、添付の図面はこの開示の典型的な実施形態のみを例示しており、したがって、本開示の範囲を限定すると見なすべきではないことに留意されたい。
本開示の様々な実施形態に係る、高周波(RF)プラズマ処理システムを示すブロック図である。 本開示の様々な実施形態に従って構成された、図1のRFプラズマ処理システムのプラズマ処理チャンバの概略断面図である。 本開示の様々な実施形態に係る、図1のRFプラズマ処理システム内のV/Iセンサーの概略平面図である。 図3AのセクションA-Aに沿って切り取られた、図1のRFプラズマ処理システム内のV/Iセンサーの概略断面図である。 本開示の様々な実施形態に係る、図3A及び図3BのV/IセンサーのV/Iブローブの機能概略図である。 本開示の様々な実施形態に係る、V/Iセンサーを較正するためのプロセスステップのフロー図を提示する。 本開示の様々な実施形態に係る、プラズマ処置チャンバに印加されたRF電力を制御するためのプロセスステップのフロー図を提示する。
[0018]理解を容易にするために、可能な場合には、複数の図に共通する同一の要素を指し示すのに、同一の参照番号を使用した。一実施形態の要素及び特徴は、追加の記述がなくても、他の実施形態に有益に組み込むことができると考えられている。
[0019]以下の記載では、本開示の実施形態のより完全な理解をもたらすために、多数の具体的な詳細が提示されている。しかしながら、当業者には、これらの具体的な詳細のうちの1つ又は複数がなくても、本開示の実施形態のうちの1つ又は複数を実施することが可能であることが明白であろう。他の例では、本開示の実施形態のうちの1つ又は複数を不明瞭にしないため、周知の機能は説明されていない。
[0020]図1は、本発明の1つ又は複数の態様の係る、高周波(RF)プラズマ処理システム100を示すブロック図である。RFプラズマシステム100は、プラズマ処理チャンバ120と、第1のRF整合器131及び伝送線132を介して、プラズマ処理チャンバ120に連結された第1のRF発生器130と、第2のRF整合器141及び伝送線142を介して、プラズマ処理チャンバ120に連結された第2のRF発生器140とを含む。RFプラズマシステム100は、制御回路150(ここで「コントローラ150」とも呼ばれる)と、電圧-電流(V/I)センサー151及び152とをさらに含む。
[0021]プラズマ処理チャンバ120は、任意の技術的に実現可能なプラズマ堆積チャンバ又はエッチングチャンバであってもよい。このチャンバには、プラズマ化学気相堆積(PECVD)システム、物理的気相堆積システム、エピタキシャル層堆積システム、プラズマエッチングシステム、及び同等物を含む、内部でプラズマを生成するための容量結合プラズマシステム又は誘導結合プラズマシステムが含まれる。第1のRF発生器130は、RF電力をプラズマ処理チャンバ120の1つ又は複数の放電電極に供給することにより、プラズマ処理チャンバ120内のプラズマ生成を促進するように構成された、任意の技術的に実現可能なRF電力発生器であり得る。第1のRF発生器130は、1つのRF周波数、又は複数のRF周波数でRF電力を供給し得る。例えば、幾つかの実施形態では、第1のRF発生器130は、電力を13.56MHzのRF周波数で分配マニホールド(シャワーヘッドとも呼ばれる)又はプラズマ処理チャンバ120内の放電電極として使用するのに適切な他の構成要素に供給するように構成され得る。同様に、第2のRF発生器140は、1つのRF周波数、又は複数のRF周波数でプラズマ処理チャンバ120内のプラズマ生成を促進するように構成された任意の技術的に実現可能なRF電力発生器であり得る。例えば、幾つかの実施形態では、第2のRF発生器140は、電力を360kHzなどのより低いRF周波数で基板支持体(サセプタとも呼ばれる)又はプラズマ処理チャンバ120内の放電電極として使用するのに適切な他の構成要素に供給するように構成され得る。
[0022]図示されているように、第1のRF発生器130は、第1のRF整合器131及び伝送線132を通して、RF電力、すなわち、電力をプラズマ処理チャンバ120内のRF負荷に伝送する。V/Iセンサー151は、信号用リード153を介して、RF電力に関連付けられた電圧によって誘発された電圧、及びRF電力に関連付けられた電流によって誘発された電圧を制御回路150に伝送することにより、RF電力の電流、電圧、及び位相の測定を可能にする。V/Iセンサー151は、プラズマ処理チャンバ120の外部表面に又はプラズマ処理チャンバ120の近くに位置付けされた、伝送線132の接続点221、222に配置されているため、制御回路150によって、伝送線132における定在波成分の効果を修正することは概して必要ではない。同様に、第2のRF発生器140は、第2のRF整合器141及び伝送線142を通して、RF電力をプラズマ処理チャンバ120内のRF負荷に伝送する。V/Iセンサー152は、伝送線142を介して、プラズマ処理チャンバ120に結合したRF電力の電流、電圧、及び位相の測定を可能にする。特に、V/Iセンサー152は、信号用リード154を介して、RF電力に関連付けられた電圧によって誘発された電圧、及びRF電力に関連付けられた電流によって誘発された電圧を制御回路150に伝送する。V/Iセンサー152は、プラズマ処理チャンバ120の外部表面に又はプラズマ処理チャンバ120の近くに位置付けされた、伝送線142の接続点247に配置されているため、制御回路150によって、伝送線142における定在波成分の効果を修正することは概して必要ではない。
[0023]プラズマ処理チャンバ120がペデスタル又は基板支持体ヒータと共に構成されている実施形態では、RFプラズマシステム100は、導電リード161及び162を介して、プラズマ処理チャンバ120内の加熱要素(図1に図示せず)に連結されたヒータ電源160をさらに含む。このような実施形態では、RFプラズマシステム100は、プラズマ処理チャンバ120内の加熱要素とヒータ電源160との間に電気的に配置されたRFフィルター170をさらに含む。ペデスタル又は基板支持体の内部に配置された加熱要素は、必ずしも名目上はプラズマ処理チャンバ120のRFシステムの一部ではないが、 このような加熱要素は、典型的に、多くの巻き線を有するコイル状導電構成要素を含み、結果的に、プラズマ処理チャンバ120の処理領域(図1に図示せず)の内部に供給されたRFエネルギーに結合することができる。したがって、RFフィルター170は、電子システム又は装置であり、ヒータコイルとヒータ電源160との間に形成される導電路の一部を設ける一方で、プラズマ処理チャンバ120の内部に供給されたRFエネルギーからヒータ電源160を保護するように構成されている。RFフィルター170は、典型的に、プラズマ処理チャンバ120の外部、すなわち、大気面の近くに又はそれに接触して配置されている。結果的に、RFフィルター170は、プラズマ処理チャンバ120の操作の間、100℃から200℃の間の温度に達し得る。このような実施形態では、V/Iセンサー152のプラズマ処理チャンバ120への近接度を最大化又は増大させるために、V/Iセンサー152は、RFフィルター170を収容する筐体内の表面に配置され得る。代替的に、V/Iセンサー152は、RFフィルター170とプラズマ処理チャンバ120の表面との間に配置され得る。
[0024]制御回路150は、ロジックを含む。このロジックは、V/Iセンサー151から信号を受信し、伝送線132を介してプラズマ処理チャンバ120内に入る、結合したRF電力の電流、電圧、位相、及び大きさを決定するように構成される。幾つかの実施形態では、このようなロジックは、大きさ及び位相の検出回路として実装される。第1のRF発生器130が、伝送線132を介して、RF電力をプラズマ処理チャンバ120内の複数の接続点221、222に伝送する実施形態では、制御回路150は、ロジックを含み、このロジックは、V/Iセンサー151から複数の信号を受信することにより、このような各伝送線132を介してプラズマ処理チャンバ120に入る、結合したRF電力の電流、電圧、位相、及び大きさを決定する。同様に、制御回路150は、ロジックを含む。このロジックは、V/Iセンサー152から信号を受信し、伝送線142を介してプラズマ処理チャンバ120に入る、結合したRF電力の電流、電圧、位相、及び大きさを決定するように構成される。
[0025]プラズマ処理チャンバ120がペデスタル又は基板支持体ヒータと共に構成されている実施形態では、V/Iセンサー152は、ペデスタル内の加熱要素又は基板支持体ヒータから導電リード161及び162を通過するRF電力によって誘発された信号電圧を、信号用リード154を介して、制御回路150に伝送するように構成されている。V/Iセンサー152は、導電リード161及び162を通過して外部接地(図示せず)に至る、ペデスタルに供給されたRF電力の一部に関連付けられる電流の流れから誘発された電圧信号を、信号用リード154を介して、制御回路150に伝送するようにさらに構成されている。このような実施形態では、制御回路150は、信号用リード154を介して伝送された電圧に基づいて、導電リード161及び162を通過するRF電力の電流、電圧、位相、及び大きさを決定するようにさらに構成されている。したがって、導電リード161及び162並びにヒータ電源160を介して失われるRF電力は、V/Iセンサー152によって与えられる電流及び電圧の測定値に基づいて定量化され得る。結果的に、(あるチャンバから次のチャンバへの)RFフィルター170を含む電気回路の電気挙動におけるインスタンス間の変動を定量化して補正することができ、それにより、プラズマ処理チャンバ120の様々なインスタンスで実行される処理におけるチャンバ間の変動が避けられる。例えば、このような実施形態では、プラズマ処理チャンバ120内で実行される処理は、第2のRF発生器140によって供給されたRF電力の測定のみではなく、導電リード161及び162を介して失われたRF電力の補正により、伝送線142を介して処理チャンバの処理領域285に供給される実際の又は実際に近いRF電力に基づいて行われ得る。
[0026]幾つかの実施形態では、V/Iセンサー152は、処理チャンバの領域(接地された又は遮蔽された処理チャンバ要素(例えば、ヒータベロー(heater bellow)、ヒータの中央シャフト)によって少なくとも部分的に囲まれた基板支持体内の領域285など)内に位置付けされ、V/Iセンサー152の構成要素が様々な外部ノイズ源から隔離される。幾つかの構成では、V/Iセンサー152のすべての構成要素とV/Iセンサーが配置された処理チャンバの領域285を囲む構成要素との間で最小固定間隙(例えば、1~10mm)が維持される。結果的に、V/Iセンサー152によって行われる電気測定のインスタンス間の変動が減少し、これにより、プラズマ処理チャンバ120の様々なインスタンスで実行される処理のチャンバ間の変動が回避される。一実施例では、V/Iセンサー152A又は152Bは、サセプタ208の内部領域285内に配置される。
[0027]図1に示す実施形態では、RFプラズマシステム100内で単一のコントローラ150が示されている。他の実施形態では、異なるコントローラ150が、RFプラズマシステム100内に含まれた各RF発生器に組み込まれ得る。他の実施形態では、制御回路150の機能性は、RFプラズマシステム100のための中央システムコントローラ内に組み込まれ得る。いずれの場合でも、制御回路150の機能性は、ソフトウェア、ハードウェア、及び/又はファームウェアを介することを含めて、任意の技術的に実現可能な実装態様を含み得る。
[0028]図2は、本開示の様々な実施形態に従って構成された、プラズマ処理システム120の概略断面図である。例として、図2のプラズマ処理チャンバ120の実施形態は、PECVDシステムに関連して説明されるが、任意の他のプラズマ処理チャンバ(他のプラズマ堆積チャンバ又はプラズマエッチングチャンバを含む)が諸実施形態の範囲内に入り得る。プラズマ処理チャンバ120は、壁202、底部204、及びチャンバリッド224を含み、これらは、共にサセプタ208及び処理領域246を囲む。プラズマ処理チャンバ120は、真空ポンプ214、ガス源218、第1のRF発生器130及び第1のRF整合器131、第2のRF発生器140及び第2のRF整合器141、並びにRFフィルター170をさらに含み、これらはそれぞれ、プラズマ処理チャンバ120の外側に連結されている。
[0029]さらに、プラズマ処理チャンバ120は、プラズマ処理チャンバ120の大気面に直接連結されているか又はプラズマ処理チャンバ120の大気面に設置された1つ又は複数のV/Iセンサー151及び152を含む。図2に示す実施形態では、プラズマ処理チャンバ120は、その上面に連結又は設置されたV/Iセンサー151を含み、それにより、1つ又は複数の伝送線132を通過する電圧及び電流を処理領域246及び基板210の近くで正確に測定することができる。さらに、プラズマ処理チャンバ120は、その下面に連結又は設置されたV/Iセンサー152を含み、それにより、伝送線142を通過する電圧及び電流を処理領域246及び基板210の近くで正確に測定することができる。幾つかの実施形態では、V/Iセンサー152は、プラズマ処理チャンバ120の底部204上に若しくはその近くに設置されるか、又はプラズマ処理チャンバ120の底部204に直接連結される。代替的に、V/Iセンサー152は、プラズマ処理チャンバ120の内部の大気領域246内(移動可能なサセプタ208に連結されたベローズ250の内部など)に設置されてもよい。このような実施形態では、V/Iセンサー152は、サセプタ208の表面に直接連結され得る。代替的に、V/Iセンサー152は、ブラケット又は他の支持構造体を介して、サセプタ208に設置され得る。いずれの場合でも、V/Iセンサー152は、基板210及び処理領域246から数センチメートル以内に配置される。幾つかの構成では、V/Iセンサー152AなどのV/Iセンサー152は、(例えば電極又は電極接続部などの)サセプタ208の導電部に連結された金属ロッド242との間の界面に形成された、接続点247から数センチメートル以内に配置される。接続点247は、典型的に、伝送線142の一部の中のノード(例えば、波が最小振幅値を有する、定在波に沿った点)であるので、V/Iセンサー152を、接続点247において、又は接続点247から少なくとも数センチメートル以内に位置付けすることは有用であり得る。
[0030]V/Iセンサー151及び152は、プラズマ処理チャンバ120内に配置されず、その代わりに、基板210の処理中に大気に曝されるその表面に直接連結され得る。代替的又は追加的に、V/Iセンサー151及び152は、このようなプラズマ処理チャンバ120の大気面に直接連結されたブラケット又はその他の支持構造体に設置され得る。
[0031]壁202及び底部204は、アルミニウム又はステンレス鋼などの導電性材料を含み得る。プラズマ処理チャンバ120内には、シャドウフレーム238が配置されている。シャドウフレーム238は、処理中に基板210によって覆われていないサセプタ208の表面に望ましくない堆積が生じることを防止する又は減少させるように構成されている。シャドウフレーム238は、プラズマ処理チャンバ120がアイドル状態のとき、レッジ240の上に置かれ、1つ又は複数のストラップ243によって、壁202の内表面に連結され得る。1つ又は複数の壁202を通るようにスリットバルブ開口206が存在し得る。スリットバルブ開口206は、基板210をプラズマ処理チャンバ120に挿入し、基板210をプラズマ処理チャンバ120から取り出すことを容易にするよう構成されている。スリットバルブ開口206を密封するように構成されたスリットバルブは、プラズマ処理チャンバ120の内側又は外側のいずれかの側に配置されてもよい。明瞭性のために、スリットバルブは図2に示されていない。
[0032]真空ポンプ214は、プラズマ処理チャンバ120に連結され、その中の真空レベルを調節するように構成されている。図示されているように、バルブ216は、プラズマ処理チャンバ120と真空ポンプ214との間に連結され得る。真空ポンプ214は、基板処理の前にプラズマ処理チャンバ120を排気し、処理中、バルブ216を通して、処理チャンバから処理ガスを除去する。バルブ216は、プラズマ処理チャンバ120の排気率の調節を促進するよう調節可能であり得る。バルブ216を通しての排気率、及びガス源218から入って来るガス流量によって、プラズマ処理チャンバ120内のチャンバ圧力及び処理ガスの滞留時間が決定される。
[0033]サセプタ208は、プラズマ処理チャンバ120によって処理されている間の基板210(図2の基板210など)を支持するための、任意の技術的に実現可能な装置を含み得る。幾つかの実施形態では、サセプタ208は、サセプタ208を上昇且つ下降させるように構成されたシャフト212上に配置される。一実施形態では、シャフト212及びサセプタ208は、導電性材料(タングステン、銅、モリブデン、アルミニウム、又はステンレス鋼など)から少なくとも部分的に形成されるか、又はこれを含み得る。プラズマ処理チャンバ120が、容量結合プラズマチャンバである実施形態では、サセプタ208は、電極(図示せず)を含むように構成され得る。このような実施形態では、金属ロッド242は、サセプタ208内に配置された組み込み型電極に電気的に連結され、接続点247を通して、RF電力を組み込み型電極に供給するように構成されている。このようにして、組み込み型電極及び金属ロッド242は、伝送線142を介して、第2のRF発生器140に電気的に連結されている。
[0034]幾つかの実施形態では、サセプタ208は、処理中に基板210を加熱するための1つ又は複数の加熱要素209を含む。このような実施形態では、加熱要素209は、導電リード161及び162を介して、ヒータ電源160に連結されている。導電リード161及び162は、シャフト212内に配置され得る。導電リード161及び162は、それぞれ、V/Iセンサー151の対応する測定用開口(図1に図示せず)を通過し、それにより、RF電流及び電圧が測定され得る。
[0035]ガス源218は、チャンバリッド224を通過するチューブ223を介して、プラズマ処理チャンバ120に連結される。チューブ223は、バッキング板226に連結され、処理ガスが、バッキング板226を通過して、バッキング板226とガス分配シャワーヘッド228との間のプレナム248に入ることを可能にする。ガス分配シャワーヘッド228は、サスペンション234によってバッキング板226の隣に保持され得、それにより、ガス分配シャワーヘッド228、バッキング板226、及びサスペンション234が、共に、ガスボックスと呼ばれることがあるアセンブリを形成する。したがって、動作中、ガス源218からプラズマ処理チャンバ120内に導入された処理ガスは、プレナム248を充填して、その後、処理領域246に均一に入るために、ガス分配シャワーヘッド228に形成されたガス通路230を通過する。代替的な実施形態では、ガス分配シャワーヘッド228に加えて又はその代わりに、壁202に取り付けられた注入口及び/又はノズル(図示せず)を通して、処理ガスが処理領域246内に導入され得る。
[0036]第1のRF発生器130は、1つ又は複数の伝送線132を介して、連結点221及び222でバッキング板226に連結されている。したがって、連結点221及び222は、バッキング板226へのRF電力フィード点として機能する。代替的に、第1のRF発生器130は、単一の連結点でバッキング板226に連結され得る。伝送線132は、同軸ケーブルを含み得、幾つかの実施形態では、これらの同軸ケーブルの外側の追加の遮蔽部と共に構成されて、RF電力が接地に過剰に漏洩することを防ぐ。一実施形態では、第1のRF発生器130は、約13.56MHzの周波数でRF電流を生成することが可能なRF発生器を含む。別の実施形態では、第1のRF発生器130は、VHF電力(例えば、約40MHzから200MHz以上の周波数のVHF電力)を生成することが可能なVHF発生器を含む。図示されているように、伝送線132は、それぞれ、V/Iセンサー151の対応する測定用開口を通過する。
[0037]幾つかの実施形態では、第1のRF発生器130は、第1のRF整合器131を通して、処理領域246に隣接して配置された放電電極に高周波電力を供給する。このような放電電極には、ガス分配シャワーヘッド228(図2に示すように)などの処理ガス分配要素、又はガス注入ノズルのアレイが含まれ得る。これらを通して、処理ガスが処理領域246内に導入される。放電電極、例えば、ガス分配シャワーヘッド228は、基板210の表面に実質的に平行に配向され得、プラズマ源出力を、基板210とガス分配シャワーヘッド228との間に配置された処理領域246に容量結合する。処理中、サセプタ208、シャドウフレーム238、及び基板210は、上昇させられて、シャワーヘッド228の下面の近くに位置付け(例えば、1~30mm以内)され、それにより、少なくとも部分的に囲まれた処理領域246が形成される。
[0038]幾つかの実施形態では、プラズマ処理チャンバ120は、第1のRF発生器130の出力レベル、ガス源218を介して処理領域246に方向付けられる様々な処理ガスの流量、バルブ216の調節等を含む、プラズマ処理チャンバ120の動作を制御するように構成された機械対応型コントローラ(例えば、制御回路150)をさらに含み得る。概して、このようなコントローラは、1つ又は複数のプロセッサ、メモリ、及びプラズマ処理チャンバ120の動作の制御に適切な指令を含む。代替的に、幾つかの実施形態では、マルチチャンバ処理システムの動作を制御するように構成されたシステムコントローラは、代わりに、プラズマ処理チャンバ120、並びに1つ又は複数の他の処理チャンバの動作を制御するように構成され得る。
[0039]PECVD処理などのプラズマ処理は、概して、プラズマ処理チャンバ120内で行われ、基板210をサセプタ208上に置き、1つ又は複数の前駆体ガスをガス源218から処理領域246内に導入することによって行われる。連結点221及び222を介して、RF電力をガス分配シャワーヘッド228に印加するか、且つ/又はRF電力をサセプタ208に印加することにより、処理領域246内の前駆体ガス又は複数の前駆体ガスがプラズマ状態に活性化(例えば、励起)される。このプラズマの種類は、電子加熱機構が主にガス分配シャワーヘッド228及び接地された電極(例えば、サセプタ208又は壁202)の近くの容量性プラズマシースを介しているため、典型的に、容量結合プラズマ(CCP)と呼ばれる。励起されたガスは、反応して、基板210の表面に材料の層を形成する。
[0040]概して、RF電流は、それを駆動する源に戻る最短経路を探す。したがって、プラズマ処理の間、駆動されたRF電流は、第1のRF発生器130から移動して、処理領域246内に生成されたプラズマを通り、壁202などのRF戻り経路に至る。サセプタ208が第2のRF発生器140に連結された実施形態では、RF電流は、第2のRF発生器140から移動して、ロッド242、組み込み型電極、及び処理領域246内に配置されたプラズマを通り、壁202及び底部204などのRF戻り経路に至る。しかしながら、サセプタ208が加熱要素209を含む実施形態では、加熱要素209が、プラズマ処理チャンバ120に供給されたRFエネルギーに結合することがあり、サセプタ208に供給されたRFエネルギーの一部が第2のRF発生器140に戻らない場合がある。その代わり、第2のRF発生器140によって供給されるRFエネルギーの一部が、導電リード161及び162を介して、ヒータ電源160に流れることがある。フィルター170は、導電リード161及び162に沿ったRFエネルギーの流れを減らすように構成されているが、フィルター170の効率が完全であることは稀である。結果として、フィルター170があるにも関わらず、RFエネルギーの大部分がヒータ電源160へと流れ得る。したがって、反射による電力損失に加えて、導電リード161及び162を通るRF電力の損失により、処理領域246に実際に供給されるRFエネルギーの大きさに影響が及び得る。さらに、フィルター170の性能が、インスタンス間で変動するので、導電リード161及び162を通るRF電力の影響は、プラズマ処理チャンバ120の各インスタンスで変動し得る。したがって、プラズマ処理チャンバ120の2つの異なるインスタンスで名目上同一の処理が行われると、処理領域246に実際に供給されるRF電力を正確に測定することができない限り、膜厚や均一性等の処理結果が予測不能な変動の悪影響を受ける場合がある。
[0041]RF用途用の従来のV/Iセンサーは、概して、70℃以上で熱的に損傷し得る有機基板(FR-4など)を含む。結果として、PECVDチャンバなどの高温用途のためには、従来のV/Iセンサーは、典型的に、処理チャンバから幾らかの距離をおいて配置される。したがって、このようなセンサーによって行われ、電気回路内のRF整合構成要素によって使用される測定は、外挿法に頼っており、RF測定の位置依存性を補正する。このRF測定は、感知された電気測定値内のこの誘発されたエラーにより不完全に整合された伝送線に沿って、処理チャンバから一定距離で行われる。このような外挿は、プラズマ処理チャンバ120の構造的な細部にかなり依存しており、実験的に実証することが非常に困難であり、ある処理について実証されたとしても、処理の化学作用及びチャンバ動作条件に応じて、他の処理では典型的に変化する。さらに、伝送線132及び142に存在する定在波の非線形的な性質により、理想化された伝送線モデルに基づく従来の外挿法は、基板210と遠隔測定点との間で維持されるRF電力損失を正確に説明することができず、したがって、このような電力損失を過小評価又は過大評価し得る。
[0042]実施形態によれば、V/Iセンサー151及び/又は152は、処理領域246に供給されるRF電力の電圧、電流、及び位相のより正確な測定を可能にする。特に、V/Iセンサー151及び/又は152は、高温環境に対して構成されており、したがって、プラズマ処理チャンバ120で高温処理が行われているときでも、プラズマ処理チャンバ120の近傍の配置に適合する。このような一実施形態が、図3A及び図3Bで示される。
[0043]図3Aは、本開示の様々な実施形態に係る、V/Iセンサー152の概略平面図である。図3Bは、図3AのセクションA-Aに沿って切り取られた、V/Iセンサー152の概略断面図である。V/Iセンサー152は、高温PECVD処理の間、プラズマ処理チャンバ120の近くの又はそれと接触する位置などの高温環境におけるRF電流及び電圧を正確に測定するように構成されている。図3A及び図3Bに示す実施形態では、V/Iセンサー152は、金属ロッド242、並びに導電リード161及び162におけるRF電流及び電圧を測定するように構成されている。一実施形態では、V/Iセンサー152は、金属ロッド242の遠位端、並びに導電リード161及び162の遠位端に供給されるRF電圧及び電流を測定するように構成されている。幾つかの実施形態では、V/Iセンサー152は、第1のV/Iブローブ310、第2のV/Iブローブ320、第3のV/Iブローブ310、並びに第1のV/Iブローブ310、第2のV/Iブローブ320、及び第3のV/Iブローブ330を囲みそれらを互いから電気的に絶縁する接地面302を有する平面体301を含む。
[0044]平面体301は、セラミック、雲母、フェライト、又は同様の材料などの、電気絶縁材料を含む非有機材料からなる。例えば、適切なセラミック材料には、酸化アルミニウム、及び窒化アルミニウムが含まれる。従来のV/Iセンサーに一般的に利用される有機基板(FR-4など)に比べて、上述の非有機の電気絶縁材料は耐温性が非常に高い。したがって、平面体301及びV/Iセンサー152は、プラズマ処理チャンバ120の大気面又は外面と直接接触して配置されるように、且つ/又は、プラズマ処理チャンバ120の大気面又は外面と近接して設置されるように、適合されている。結果として、V/Iセンサー152は、フィルター170用のフィルターボックスハウジングの内部など、プラズマ処理チャンバ120の処理領域246に近接して配置され得るか、又は、底部204に連結若しくは設置される。しかしながら、幾つかの適温用途では、平面体301は、Kapton(登録商標)などのポリイミドから形成されてもよい。
[0045]第1のV/Iブローブ310は、電圧ピックアップ311及び電流ピックアップ312を含み、これらはそれぞれ測定用開口315の周りに配置される。測定用開口315は、サセプタ208の内部でRF電力を組み込み型電極に供給する、RF電力の伝送に適切な導電構造体(伝送線142又は金属ロッド242の導電体など)を収容するように構成されている。したがって、V/Iブローブ310は、プラズマ処理チャンバ120に近接する位置で、プラズマ処理チャンバ120に伝送されているRF電力の正確な電圧及び電流の測定を行うように構成されている。
[0046]図4Bに示す電圧ピックアップ311は、例えば、伝送線142又は金属ロッド242を介して、平面体301に形成された測定用開口315を通過するRF電力によって誘発された電圧信号を生成するように構成されている。電圧ピックアップ311によって生成された電圧信号は、測定用開口315内に位置付けされた導電性素子の一部を通過するRF電力の電圧に実質的に比例する。幾つかの実施形態では、電圧ピックアップ311は、導電性リング311Aとして構成され、測定用開口315の周りに配置されるか、又はその表面に形成される。導電性リング311Aは、典型的に、接地された素子320によって囲まれる。導電性リング311A及び接地された素子320は、両方とも、図4Bに示すRF電圧測定回路455などの電気回路内に接続されて、測定用開口315内に位置付けされたRF導電性素子の一部を通過するRF電力の電圧を測定するために共に使用される。接地された素子320は、概して、V/Iセンサー152の表面上又は表面内に配置された1つ又は複数の接地された層302A及び302Bを含み、複数の相互接続ビア302Cによって電気的に共に連結されている。1つ又は複数の接地された層302A及び302B、並びに複数の相互接続ビア302Cは、導電性材料(例えば、Al、Ag、Au、Mo、Sn、又はCuを含む金属)から形成されてもよく、第1のV/Iブローブ310、第2のV/Iブローブ320、及び第3のV/Iブローブ330を互いから、且つ他の外部構成要素から電気的に遮蔽するように使用される。幾つかの構成では、複数の相互接続ビア302Cが、V/Iブローブ310、320、及び330のそれぞれの周りに位置付けされており、各V/Iブローブ内の構成要素間のクロストークを最小化する遮蔽部を形成する。幾つかの実施形態では、接地された素子320は、信号用リード154などの、同軸伝送線(図示せず)の外側遮蔽導電体402に接続され得る。
[0047]図4Aに示す電流ピックアップ312は、図4Aに示すRF電流測定回路454などの電気回路に使用により、測定用開口315内に位置付けされた導電性素子の一部を通過するRF電力によって誘発された電圧信号を生成するように構成されている。磁束によって誘発された電圧信号は、電流ピックアップ312内で誘発され、測定用開口315内に位置付けされた導電性素子の一部を通過するRF電流に実質的に比例する。幾つかの実施形態では、電流ピックアップ312は、環状コイルとして、又はそれに近似して構成される。例えば、図3A及び図3Bに示す実施形態では、電流ピックアップ312は、平面体301の上面301A上の実質的に平坦な回路トレース313、平面体301の底面301B上の実質的に平坦な回路トレース314、及び平面体301を通って形成された導電性ビア316を含む。各導電性ビア316は、回路トレース313を対応する回路トレース314と接続することにより、共に直列相互接続された回路トレース313、回路トレース314、及び導電性ビア316は、連続導電ループ構造を形成する。
[0048]図3A及び図3Bに示すV/Iセンサー152の構成は、電圧ピックアップ311と電流ピックアップ312との間のクロストークを最小化するか、又は減少させることに留意されたい。特に、電圧ピックアップ311及び電流ピックアップ312が、単一の平面、すなわち、平面体301(例えば、X-Y面)によって画定された平面に位置付けされ、この平面が、測定用開口315を通過するRF電力の方向に対して直交であるので、測定用開口315内に配置された伝送線内のRF電圧は、電流ピックアップ312内で電圧をわずかしか誘発しないか、又は全く誘発せず、測定用開口315内に配置された伝送線内に流れるRF電流は、電圧ピックアップ311内に電圧をわずかしか誘発しないか、又は全く誘発しない。結果的に、伝送線の電圧測定及び電流測定は、互いに相互排他的であると見なしてよく、したがって、これらの感知要素間のクロストークは非常に小さいと考えられている。つまり、測定用開口315を通過するRF電流からの寄与を含む電圧ピックアップ311からの電圧信号によって引き起こされる大きな測定エラーがなく、測定用開口315を通過するRF電圧からの寄与を含む電流ピックアップ312からの電流信号によって引き起こされる大きな測定エラーがない。したがって、測定された電圧、測定された電流、電圧ピックアップ311と電流ピックアップ312との間の位相差のコサインの積は、測定点における瞬間的な伝送線の電力に実質的に比例する。幾つかの実施形態では、V/Iブローブ310における電圧ピックアップ311及び電流ピックアップ312の大きさは、V/Iブローブ310に形成された開口315を通過する導電性素子の一部又はV/Iブローブ310に形成された開口315を通過する生成フィールドの大きさ又は断面領域に比例して寸法形成される。
[0049]第2のV/Iブローブ320と第3のV/Iブローブ330は、第1のV/Iブローブ310と構成及び動作が実質的に類似し得、V/Iセンサー152に含まれ、プラズマ処理チャンバ120に関連付けられた追加のRF伝送線(導電リード161及び162など)に対して電圧及び電流の測定をもたらす。導電リード161及び162は、RF伝送線として機能するように意図されていないが、それにも関わらず、プラズマ処理チャンバ120の幾つかの実施形態では、そのように機能し得ることに留意されたい。
[0050]第2のV/Iブローブ320は、電圧ピックアップ321及び電流ピックアップ322を含み、これらはそれぞれ測定用開口325の周りに配置される。測定用開口325は、プラズマ処理チャンバ120に関連付けられた特定のRF導電リード(導電リード161など)を収容するように構成されている。この特定のRF導電リードは、RF発生源と、プラズマ処理チャンバ120に連結された接地との間に連結されていない。幾つかの実施形態では、V/Iブローブ320における電圧ピックアップ321及び電流ピックアップ322の大きさは、V/Iブローブ320に形成された開口325を通過する導電性素子の一部又はV/Iブローブ320に形成された開口325を通過する生成フィールドの大きさ又は断面領域に比例して寸法形成される。
[0051]同様に、第3のV/Iブローブ330は、電圧ピックアップ331及び電流ピックアップ332を含み、これらはそれぞれ測定用開口335の周りに配置される。測定用開口335は、プラズマ処理チャンバ120に関連付けられた別のRF導電リード(導電リード162など)を収容するように構成されている。この別のRF導電リードは、RF発生源と、プラズマ処理チャンバに連結された接地との間に連結されていない。幾つかの実施形態では、V/Iブローブ330における電圧ピックアップ331及び電流ピックアップ332の大きさは、V/Iブローブ330に形成された測定用開口335を通過する導電性素子の一部又はV/Iブローブ330に形成された測定用開口335を通過する生成フィールドの大きさ又は断面領域に比例して寸法形成される。第2のV/Iブローブ320及び第3のV/Iブローブ330は、導電リード161及び162を通したRF電力損失の測定を可能にするので、単に、金属ロッド242又は伝送線142の上流部分を介してプラズマ処理チャンバ120に供給されたRF電力を測定することより、処理領域246に結合した実際のRF電力をより正確に判断することができる。したがって、フィルター170の効率におけるチャンバ間の変動は、様々なV/Iブローブ及びチャンバRF整合要素を使用することにより、検出、定量化、及び補正され得る。
[0052]図4A及び図4Bは、本開示の様々な実施形態に係る、V/Iセンサー152の第1のV/Iブローブ310の機能概略図である。図示されているように、複数の信号用リード154(図1)のうちの信号用リード154Aは、電圧ピックアップ311に連結され、複数の信号用リード154のうちの別の信号用リード154Bは、電流ピックアップ312に連結される。幾つかの実施形態では、これらの信号用リード154A及び154Bは、それぞれ、中央導電体401と外側遮蔽導電体402との間に50ohm抵抗を有する1つ又は複数の同軸ケーブルの一部として構成されている。
[0053]幾つかの実施形態では、プラズマ処理チャンバ120の最適な又は改善された動作のために、それに関連付けられた各V/Iセンサーを較正することができる。このような実施形態では、V/Iセンサーの電圧ピックアップによって生成された特定の信号電圧は、V/Iセンサーの測定用開口を通過する特定の既知のRF電圧に正確に関連付けられ得る。同様に、V/Iセンサーの電流ピックアップ312によって生成された特定の信号電圧は、V/Iセンサーの測定用開口を通過する特定の既知のRF電流に正確に関連付けられ得る。このようにして、電圧ピックアップのための較正係数を生成することができ、電流ピックアップ312のための別の較正係数を生成することができる。後続の動作では、電圧ピックアップによって生成され、適切な較正係数によって乗算された信号電圧は、どのRF電圧(例えば、ピーク又はRMS)が、任意の瞬間に測定用開口を通過しているかを正確に指し示すことができる。同様に、電流ピックアップ312によって生成され、適切な較正係数によって乗算された信号電圧は、どのRF電流(例えば、ピーク又はRMS)が、任意の瞬間に測定用開口を通過しているかを正確に指し示すことができる。このような一実施形態が、図5と併せて以下で説明される。
[0054]図5は、本開示の様々な実施形態に係る、V/Iセンサーを較正するためのプロセスのフロー図を提示する。このプロセスは、図1から4のRFプラズマシステム100に関連して説明されるが、当業者であれば、このプロセスが、他の種類のRFプラズマシステムを用いて実行されてもよいことを理解するであろう。方法500のための制御アルゴリズムは、コントローラ150、すなわち、プラズマ処理チャンバ120の動作を制御するように構成された機械対応型コントローラ、又は、較正処理の間にRFプラズマシステム100に連結されている別個の演算デバイスの中に存在する。これらの制御アルゴリズムは、全体的に又は部分的に、ソフトウェア若しくはファームウェアで実装されたロジックとして、及び/又はハードウェアで実装されたロジック回路として実装され得る。
[0055]処理の前に、RF整合器及び既知のインピーダンスの適切な伝送線を介して、適切なRF発生器が、既知のインピーダンスのダミー負荷に連結される。より具体的には、整合したRFシステム、すなわち、反射のないRFシステムを形成するために、RF発生器、ダミー負荷、及びRF整合器が選択される。例えば、一実施形態では、第2のRF発生器140は、第2のRF整合器142及び伝送線142を介して、50ohmダミー負荷に連結される。さらに、処理の前に、伝送線は、較正されるV/Iセンサー(V/Iセンサー152など)の測定用開口を通して送り込まれる。
[0056]方法500は、ブロック501で開始する。ここでは、RF電力が、第2のRF発生器140によって特定のRF周波数で生成される。例えば、一実施形態では、第2のRF発生器140は、27MHzで2500W生成する。幾つかの実施形態では、ブロック501で生成されたRF電力の大きさは、特定の周波数で第2のRF発生器140によって利用されることが予期される最大RF電力又はRMS RF電力に等しい。
[0057]ブロック502では、伝送線141が送り込まれるV/Iブローブ、例えば、第1のV/Iブローブ310は、第1の電圧信号及び第2の電圧信号を生成する。電圧ピックアップ311は、第1のV/Iブローブ310の測定用開口315で見つかるRF電圧に比例する第1の電圧信号を生成する。電流ピックアップ312は、第1のV/Iブローブ310の測定用開口315を通過するRF電流に比例する第2の電圧信号を生成する。制御回路150は、次いで、これらの受信した測定信号をメモリ内に記憶し得る。
[0058]ブロック503では、第1の電圧信号、及び測定用開口315を通過する既知のRF電圧に基づいて、電圧ピックアップ311のための較正係数が決定される。方法500で利用される整合したRFシステム内に反射がないため、RF電圧は、RF電力とシステムの抵抗値との積の平方根に等しいことに留意されたい。RF電力とシステムの抵抗値の両方が既知であるので、RF電圧は既知である。したがって、ブロック501から503を少なくとも2度完成させた後、RF電圧の既知の大きさに対するV/Iブローブ電圧の曲線を形成することができる。較正曲線が直線状となり、インターセプトが0ボルトになるので、係数は、形成された曲線のスロープに等しくなり、V/Iブローブ電圧のための較正係数として使用される。
[0059]さらにブロック503では、第2の電圧信号、及び測定用開口315を通過する既知のRF電圧に基づいて、電圧ピックアップ312のための較正係数が決定される。方法500で利用される整合したRFシステム内に反射がないため、電流は、システムの抵抗値に対するRF電力の比率の平方根に等しいので、RF電流も既知である。RF電力とシステムの抵抗値の両方が既知であるので、RF電流は既知である。したがって、ブロック501から503を少なくとも2度完成させた後、RF電流の既知の大きさに対するV/Iブローブ電流測定(例えば、電圧信号)の曲線を形成することができる。較正曲線が直線状となり、インターセプトが0ボルトになるので、係数は、形成された曲線のスロープに等しくなり、V/Iブローブ電流測定のための較正係数として使用される。
[0060]幾つかの実施形態では、各V/Iブローブにおける電圧ピックアップ311のための決定された較正係数及び/又は電流ピックアップ312のための較正係数は、制御回路150のメモリ内に記憶される。これらの較正係数は、V/Iブローブによって測定されたRF電流及び電圧値を調節するために制御回路の一部によって使用され、その後、処理チャンバ内で使用されるRF整合要素及び/又はRF電力供給要素によって使用される。
[0061]幾つかの実施形態では、RF発生器は、RFプラズマシステム内で利用されて、混合された動作周波数をもたらし得る。例えば、一部の状況では、2つのRF周波数が、同時にPECVDプラズマを駆動して、処理中の基板210の近くのプラズマ密度及びイオンエネルギーを制御する。さらに、特定の膜属性を最適化するために、パルス動作が利用され得る。いずれの場合でも、RFシステム内に1つより多くの周波数が存在するとき、V/Iセンサーの電流ピックアップ312及び電圧ピックアップによって生成される信号は、大きさ及び位相検出回路に達する前に、典型的に、各動作周波数で帯域フィルター(図示せず)を通して供給される。このような帯域フィルターを挿入することにより、電流ピックアップ312によって生成された信号と電圧ピックアップによって生成された信号との間に、大きさの差(減衰)及び位相差が導入され得る。これらの信号は、各RF発生器によって供給されるRF電力を調節し、且つ/又は各RF源の対応するRF整合要素に関連付けられたRF整合パラメータを調節するために使用され得る。
[0062]幾つかの実施形態では、RF発生器がRFプラズマシステム内で利用されて、混合した動作周波数が与えられると、方法500の較正処理は、処理チャンバ内で使用される各RF周波数の種々のRF電力レベルで利用され、駆動された各RF周波数のための正確な較正係数を生成し得る。特に、方法500の較正処理は、各動作周波数のための較正係数を生成するために各動作周波数で利用されてもよく、それにより、上述の帯域フィルターによって引き起こされた生成信号の減衰のための較正が可能になる。さらに、方法500において利用されるRFシステムは、反射のない整合したRFシステムであるので、第1の電圧信号と第2の電圧信号との間で検出された任意の位相差は、実際はRFシステムの位相オフセットである。この位相オフセットは、測定回路内に含まれる帯域フィルターによって引き起こされて、測定回路によって検出される。RFシステムの複数の周波数動作については、それぞれの駆動されたRF周波数で、概して、異なるシステム位相オフセットが利用され、このような各位相オフセットは、方法500の種々の実装形態で判断される。したがって、特定の周波数において方法500で測定された位相差は、システム位相オフセットであると見なしてよい。このシステム位相オフセットは、各RF発生器によって供給されたRF電力を制御するために且つ/又は各RF源の対応するRF整合要素に関連付けられたRF整合パラメータを調節するために使用され得るV/Iブローブによって検出されたRF電力パラメータの修正のために利用するため、この特定の動作周波数に利用され得る。
[0063]図6は、本開示の様々な実施形態に係る、プラズマ処置チャンバ120に印加されたRF電力を制御するためのプロセスのフロー図を提示する。このプロセスは、図1から4のRFプラズマシステム100に関連して説明されるが、当業者であれば、このプロセスが、他の種類のRFプラズマシステムを用いて実行されてもよいことを理解するであろう。当該方法のための制御アルゴリズムは、コントローラ150、又はプラズマ処理チャンバ120の動作を制御するように構成された機械対応型制御回路の中に存在する。これらの制御アルゴリズムは、全体的に又は部分的に、ソフトウェア若しくはファームウェアで実装されたロジックとして、及び/又はハードウェアで実装されたロジック回路として実装され得る。
[0064]処理の前に、例えば、方法500を通して、RFプラズマシステム100に関連付けられた各V/Iブローブに対して、適切な較正係数が決定される。例えば、V/Iセンサー152については、電圧ピックアップ311、電流ピックアップ312、電圧ピックアップ321、電流ピックアップ322、電圧ピックアップ331、及び電流ピックアップ332のそれぞれに対して適切な較正係数が決定される。さらに、第2のRF発生器140が混合周波数を生成するように構成された実施形態では、RFプラズマシステム100の測定回路からのシステム位相オフセットも各周波数について決定される。
[0065]方法600は、ブロック601で開始する。ここでは、RF電力が、第2のRF発生器140によって特定のRF周波数及び出力の大きさで生成される。ブロック601で生成されたRF電力の大きさは、処理領域246に結合するターゲットRF電力となるように意図されている。概して、ターゲットRF電力は、プラズマ処理チャンバ120で実行される特定に処理に関連付けられる。したがって、異なる処理では、ブロック601で異なるターゲットRF電力が利用され得る。
[0066]ブロック602では、V/Iセンサー152は、少なくとも第1の電圧信号及び第2の電圧信号を生成する。電圧ピックアップ311が第1の電圧信号を生成し、電流ピックアップ312が第2の電圧信号を生成する。第1の電圧信号は、V/Iブローブ310の測定用開口315を通過するRF電圧に比例し、第2の電圧信号は、V/Iブローブ310の測定用開口315を通過するRF電流に比例する。V/Iセンサー152が複数のプローブを含む実施形態では、V/Iセンサーは、追加の電圧信号(例えば、導電リード161及び162に関連付けられたRF電圧及び電流を測定する電圧信号)を生成する。
[0067]ブロック603では、プラズマ処理チャンバ120内で処理領域246に供給される実際のRF電力が決定される。幾つかの実施形態では、供給された実際のRF電力を決定することは、第1の較正係数を第1の電圧信号に適用し、第2の較正係数を第2の電圧信号に適用することを含む。上述のように、第1及び第2の較正係数は、通常、方法500などの以前実行された較正処理で決定される。
[0068]処理領域246に供給される実際のRF電力を決定する処理の間、制御回路150は、メモリから第1の較正係数及び第2の較正係数を取得することができ、次いで、適切な較正係数を適切なV/Iブローブから回収された適切な測定信号値に乗算して、修正された測定信号値を決定する。次いで、この修正された測定信号値は、各RF発生器によって供給されたRF電力を制御し、且つ/又は各RF源の対応するRF整合要素に関連付けられたRF整合パラメータを調節するために使用され得る。例えば、幾つかの実施形態では、第1の電圧信号は、第1の較正係数によって乗算されて、V/Iセンサー152を通過する実際の電圧を正確に示す測定された電圧値が生成されて、第2の電圧信号は、第2の較正係数によって乗算され、V/Iセンサー152を通過する実際の電流を正確に示す測定された電流値が生成される。処理領域246に結合した実際のRF電力は、測定された電圧値及び測定された電流値に基づいて演算され得る。次いで、処理領域246に結合する実際のRF電力は、制御回路150内で実行されるソフトウェアによって使用することができ、それにより、処理チャンバ内で実行されている処理及び/又は制御回路150に連結され且つユーザーがアクセス可能なグラフィカルユーザインターフェース(例えば、モニター又はディスプレイ)に表示されている処理がより正確に制御される。
[0069]幾つかの実施形態では、処理領域246に結合した実際のRF電力は、測定された電圧値と測定された電流値との間の測定された位相差にさらに基づく。特に、このような実施形態では、測定点における瞬間的な伝送線の電力は、測定された電圧値、測定された電流値、電圧ピックアップ311と電流ピックアップ312との間の測定された位相差のコサインの積である。次いで、測定された位相差は、制御回路150内で実行されるソフトウェアによって使用することができ、それにより、処理チャンバ内で実行されている処理及び/又は制御回路150に連結され且つユーザーがアクセス可能なグラフィカルユーザインターフェース(例えば、モニター又はディスプレイ)に表示されている処理がより正確に制御される。
[0070]混合周波数が第2のRF発生器140によって生成される実施形態では、以前決定されたシステム位相オフセットが、(電圧ピックアップ311からの)第1の電圧信号と(電流ピックアップ312からの)第2の電圧信号との間の測定された位相差から最初に減算される。このようにして、電圧ピックアップ311と電流ピックアップ312トの間の実際の位相差は、ブロック603で処理領域246に結合した実際のRF電力を計算する前に決定され得る。
[0071]幾つかの実施形態では、処理領域246に結合した実際のRF電力も処理能力245に供給されていない損失したRF電力に基づく。例えば、このような実施形態では、処理領域246に供給される実際のRF電力も、導電リード161及び162内で測定されたRF電力に基づく。
[0072]ブロック604では、処理領域246に結合した実際のRF電力が処理領域246に結合したターゲットRF電力の所定の範囲内であるか否かについて、特定が行われる。範囲内である場合、電流出力の大きさは変わらず、方法600は、ブロック601に進む。範囲内ではない場合、方法は、ブロック605に進む。
[0073]ブロック605では、処理領域246に結合した実際のRF電力が、処理領域246に結合したターゲットRF電力に接近するか又は等しくなるように、電流出力の大きさが調節される。次いで、方法600は、ブロック601に戻る。
[0074]方法600の実装形態は、高温環境におけるRF電圧、電流、位相、及び結合したRF電力の改善された測定及び制御を可能にする。プラズマ処理チャンバ120の処理領域に近い位置でRF電圧及び電流を測定することができるので、結合したRF電力をより正確に判断することができ、それにより、プラズマ処理チャンバ120の性能が改善され、プラズマ処理のチャンバ間の変動が減少する。
[0075]本明細書に開示された幾つかの実施形態では、V/Iセンサーは、非有機の電気絶縁材料からなる平面体301、平面体301に形成された測定用開口、測定用開口の周りに配置された電圧ピックアップ、及び測定用開口の周りに配置された電流ピックアップを含む。V/Iセンサーの平面構成及び材料組成により、V/Iセンサーは、プラズマ処理チャンバ120の高温表面の近くに、又はさらにそれに接触するように配置され得る。上述のように、幾つかの実施形態では、V/Iセンサーを通過するRF電圧に比例する電圧信号は、V/Iセンサーの電圧ピックアップ部分に由来し、V/Iセンサーを通過するRF電流に比例する電圧信号は、V/Iセンサーの電流ピックアップ部分に由来し、感知された信号の性質の故に、センサー間に有効な測定相互作用が生じず、したがって、測定値に誘発されるエラーが最小限となる。したがって、これらの係数の1つ又は複数に基づいて、本明細書に記載された実施形態の1つ又は複数によってもたらされるRF電圧及び電流の測定は、概して、従来配置されたV/Iセンサーより正確である。
[0076]本実施形態の態様は、システム、方法、又はコンピュータプログラム製品として具現化され得る。したがって、本開示の態様は、全体的にハードウェアの実施形態、全体的にソフトウェアの実施形態(ファームウェア、常駐ソフトウェア、マイクロコード等)、又はソフトウェア態様と、ここですべて一般的に「回路」、「モジュール」、若しくは「システム」と呼ばれ得るハードウェア態様とを組み合わせた実施形態の形態を取りうる。さらに、本開示の態様は、コンピュータ可読媒体プログラムコードが具現化された1つ又は複数のコンピュータ可読媒体内に具現化されたコンピュータプログラム製品の形態を取り得る。
[0077]1つ又は複数のコンピュータ可読媒体のいずれの組み合わせも利用され得る。コンピュータ可読媒体は、コンピュータ可読信号媒体又はコンピュータ可読記憶媒体であってもよい。コンピュータ可読記憶媒体は、例えば、電子、磁気、光学、電磁、赤外線、若しくは半導体のシステム、装置、若しくはデバイス、又はこれらの任意の適切な組み合わせであり得るが、これらに限定されない。コンピュータ可読記憶媒体のより具体的な実施例(非網羅的リスト)には、1つ又は複数のワイヤを有する電気接続、携帯型コンピュータディスケット、ハードディスク、ランダムアクセスメモリ(RAM)、読取専用メモリ(ROM)、消去可能でプログラム可能な読取専用メモリ(EPROM又はフラッシュメモリ)、光ファイバ、ポータブルコンパクトディスク読取専用メモリ(CD-ROM)、光学記憶デバイス、磁気記憶デバイス、又はこれらの任意の適切な組み合わせが含まれる。本明細書の文脈では、コンピュータ可読記憶媒体は、指令実行システム、装置、又はデバイスによって、又はこれらに関連して使用するプログラムを含有又は記憶し得る任意の有形媒体であり得る。
[0078]以上の記述は本開示の実施形態を対象としているが、本開示の基本的な範囲から逸脱することなく、本開示の他の実施形態及び追加の実施形態を考案してもよい。本開示の範囲は、下記の特許請求の範囲によって決定される。
さらに、本開示は、以下の条項に係る実施形態を含む。
[条項1]
電圧-電流センサーアセンブリであって、
非有機の電気絶縁材料からなる平面体、
前記平面体において形成された測定用開口、
前記測定用開口の周りに配置された電圧ピックアップであって、第1の電圧測定回路に電気的に連結された電圧ピックアップ、及び
前記測定用開口の周りに配置された電流ピックアップであって、第1の電流測定回路に電気的に連結された電流ピックアップ
を備えている電圧-電流センサーアセンブリ。
[条項2]
前記電圧ピックアップが、前記測定用開口の周りに形成された導電性リングを含み、前記電流ピックアップが、前記平面体内に形成され且つ前記測定用開口の周りに配置された環状コイルを含む、条項1に記載の電圧-電流センサーアセンブリ。
[条項3]
前記環状コイルが、複数の直列相互接続ループを含み、各ループが、
前記平面体の第1の表面に形成された第1の導電体、
前記平面体の第2の表面に形成された第2の導電体、
前記平面体を通って形成され、前記第1の導電体及び前記第2の導電体に接触する第1の導電性ビア、並びに
前記平面体を通って形成され、前記第2の導電体に接触する第2の導電性ビア
を備えている、条項2に記載の電圧-電流センサーアセンブリ。
[条項4]
前記平面体において形成された追加の測定用開口、
前記測定用開口の周りに配置された追加の導電性リングであって、第2の電圧測定回路に電気的に連結されている、追加の導電性リング、及び
前記平面体内に組み込まれ、前記追加の測定用開口の周りに配置された追加の環状コイルであって、第2の電流測定回路に電気的に連結されている、追加の環状コイル
をさらに備えている、条項1に記載の電圧-電流センサーアセンブリ。
[条項5]
前記平面体において形成された前記測定用開口が、導電性素子を受け入れるように構成され、RF源によってRF電力が前記導電性素子に供給されるとき、前記導電性素子が、RF電力を、プラズマ処理チャンバの処理領域と電気的に通じているRF電極又はRFコイルに供給するように構成され、前記追加の測定用開口が、RF発生源と前記プラズマ処理チャンバに連結された接地との間に連結されていない導電リードを受け入れるように構成されている、条項4に記載の電圧-電流センサーアセンブリ。
[条項6]
プラズマ処理チャンバであって、
チャンバ本体、
前記チャンバ本体内に配置された放電電極、
前記チャンバ本体の大気面に設置され、非有機の電気絶縁材料からなる平面体を備えた電圧-電流センサーであって、第1の電圧測定回路及び第2の電流測定回路を含む、電圧-電流センサー、及び
前記電圧-電流センサーの測定用開口を通して送り込まれ、高周波電力を前記放電電極に電気的に連結する高周波伝送線
を備えているプラズマ処理チャンバ。
[条項7]
前記放電電極が、基板支持体又はガス分配プレートを備えている、条項6に記載のプラズマ処理チャンバ。
[条項8]
前記電圧-電流センサーが、
前記測定用開口の周りに配置された導電性リングであって、前記第1の電圧測定回路に電気的に連結されている、導電性リング、及び
前記平面体内に組み込まれ、前記測定用開口の周りに配置された環状コイルであって、前記第1の電流測定回路に電気的に連結されている、環状コイル
をさらに備えている、条項6に記載のプラズマ処理チャンバ。
[条項9]
前記放電電極が、加熱コイルと、前記加熱コイルに電気的に連結された電気リードとを含む基板支持体を備え、前記電圧-電流センサーが、
前記平面体において形成された追加の測定用開口、
前記追加の測定用開口の周りに配置された追加の導電性リングであって、第2の電圧測定回路に電気的に連結されている、追加の導電性リング、及び
前記平面体内に組み込まれ、前記追加の測定用開口の周りに配置された追加の環状コイルであって、第2の電流測定回路に電気的に連結されている、追加の環状コイル
をさらに備え、前記電気リードが、前記追加の測定用開口を通して送り込まれる、条項8に記載のプラズマ処理チャンバ。
[条項10]
前記プラズマ処理チャンバが、前記基板支持体と前記加熱コイルのためのヒータ電源との間に電気的に位置付けされた高周波フィルターをさらに備えている、条項9に記載のプラズマ処理チャンバ。
[条項11]
前記大気表面が、
前記高周波フィルターの表面、及び
基板支持体の表面
のうちの1つを含み、前記電圧-電流センサーが、前記基板支持体内に配置されている、条項13に記載のプラズマ処理チャンバ。
[条項12]
前記電圧-電流センサーが、1つ又は複数の接地された又は遮蔽された処理チャンバ要素によって外部ノイズ源から少なくとも部分的に隔離された前記プラズマ処理チャンバの領域内に位置付けされている、条項6に記載のプラズマ処理チャンバ。
[条項13]
前記放電電極が、接続点において前記高周波伝送線に連結された基板支持体を備え、
前記接続点が、前記放電電極に電気的に連結された前記高周波電力内の定在波に沿った点に対応し、前記定在波が、前記点において最小振幅を有し、
前記電圧-電流センサーが、前記接続点において又は前記接続点に近接して位置付けされている、条項6に記載のプラズマ処理チャンバ。
[条項14]
指令を記憶する非一過性のコンピュータ可読媒体であって、前記指令が、プロセッサによって実行されると、前記プロセッサに、
RF電力を、第1の大きさで生成させ、センサーの平面体において形成された測定用開口を通して送り込まれた伝送線を介して、プラズマ処理チャンバに伝送させるステップであって、前記センサーが、前記プラズマ処理チャンバに装着されるか又は直接連結される、伝送させるステップ、
前記測定用開口の周りに配置された電圧ピックアップから第1の電圧信号を受信し、前記測定用開口の周りに配置された電流ピックアップから第2の電圧信号を受信するステップであって、前記第1の電圧信号及び前記第2の電圧信号が、前記伝送線を介して伝送されている前記RF電力に応答して生成される、受信するステップ、
前記第1の電圧信号、前記第2の電圧信号、前記第1の電圧信号に適用される第1の較正係数、及び前記第2の電圧信号に適用される第2の較正係数に基づいて、前記プラズマ処理チャンバに結合した実際のRF電力を決定するステップ、
前記プラズマ処理チャンバに結合した前記実際のRF電力が、ターゲットRF電力の所定の範囲外にあることを特定するステップ、及び
前記実際のRF電力が、前記所定の範囲外であると特定したことに応答して、RF電力を、第3の大きさで生成させ、前記伝送線を介して、前記プラズマ処理チャンバに伝送させるステップ
を実行させる、非一過性のコンピュータ可読媒体。
[条項15]
前記第1の較正係数は、前記伝送線を介して、前記伝送線に整合するダミー負荷に伝送されている第2の大きさのRF電力に応答して生成された、前記電圧ピックアップからの第3の電圧信号に基づき、前記第2の較正係数は、前記伝送線を介して、前記ダミー負荷に伝送されている前記第2の大きさの前記RF電力に応答して生成された、前記電流ピックアップからの第4の電圧信号に基づく、条項14に記載の非一過性のコンピュータ可読媒体。

Claims (8)

  1. プラズマ処理チャンバであって、
    チャンバ本体、
    前記チャンバ本体内に配置された放電電極であって、加熱コイルと、前記加熱コイルに電気的に連結された電気リードとを含む基板支持体を備える、放電電極、
    前記チャンバ本体の大気面に設置され、非有機の電気絶縁材料からなる平面体を備えた電圧-電流センサーであって、第1の電圧測定回路及び第1の電流測定回路を含む、電圧-電流センサー、及び
    前記電圧-電流センサーの測定用開口を通して送り込まれ、高周波電力を前記放電電極に電気的に連結する高周波伝送線
    を備え、
    前記電圧-電流センサーが、
    前記測定用開口の周りに配置された導電性リングであって、前記第1の電圧測定回路に電気的に連結されている、導電性リング、
    前記平面体内に組み込まれ、前記測定用開口の周りに配置された環状コイルであって、前記第1の電流測定回路に電気的に連結されている、環状コイル、
    前記平面体において形成された追加の測定用開口、
    前記追加の測定用開口の周りに配置された追加の導電性リングであって、第2の電圧測定回路に電気的に連結されている、追加の導電性リング、及び
    前記平面体内に組み込まれ、前記追加の測定用開口の周りに配置された追加の環状コイルであって、第2の電流測定回路に電気的に連結されている、追加の環状コイル
    をさらに備え、
    前記電気リードが、前記追加の測定用開口を通して送り込まれる、プラズマ処理チャンバ。
  2. 前記プラズマ処理チャンバが、前記基板支持体と前記加熱コイルのためのヒータ電源との間に電気的に位置付けされた高周波フィルターをさらに備えている、請求項1に記載のプラズマ処理チャンバ。
  3. プラズマ処理チャンバであって、
    チャンバ本体、
    前記チャンバ本体の大気面に設置され、非有機の電気絶縁材料からなる平面体を備えた電圧-電流センサー、及び
    2つの電力供給線であって、それぞれ、前記チャンバ本体の外部に配置された電源からの電力を、前記チャンバ本体の内部に配置された要素に電気的に連結する、2つの電力供給線
    を備え、
    前記電圧-電流センサーが、
    前記平面体において形成された測定用開口、
    前記測定用開口の周りに配置された導電性リングであって、第1の電圧測定回路に電気的に連結されている、導電性リング、
    前記平面体内に組み込まれ、前記測定用開口の周りに配置された環状コイルであって、第1の電流測定回路に電気的に連結されている、環状コイル、
    前記平面体において形成された追加の測定用開口、
    前記追加の測定用開口の周りに配置された追加の導電性リングであって、第2の電圧測定回路に電気的に連結されている、追加の導電性リング、及び
    前記平面体内に組み込まれ、前記追加の測定用開口の周りに配置された追加の環状コイルであって、第2の電流測定回路に電気的に連結されている、追加の環状コイル
    を備え、
    前記2つの電力供給線の一方が、前記測定用開口を通して前記チャンバ本体内に送り込まれ、前記2つの電力供給線の他方が、前記追加の測定用開口を通して前記チャンバ本体内に送り込まれ
    前記2つの電力供給線の一方が、前記チャンバ本体の外部に配置された高周波電源からの高周波電力を、前記チャンバ本体の内部に配置された放電電極に電気的に連結する高周波伝送線であり、前記2つの電力供給線の他方が、前記チャンバ本体の外部に配置されたヒータ電源からの電力を、前記チャンバ本体の内部の基板支持体内に配置された加熱要素に電気的に連結する電気リードである、プラズマ処理チャンバ。
  4. 前記電圧-電流センサーが、1つ又は複数の接地された又は遮蔽された処理チャンバ要素によって外部ノイズ源から少なくとも部分的に隔離された前記プラズマ処理チャンバの領域内に位置付けされている、請求項1からのいずれか一項に記載のプラズマ処理チャンバ。
  5. プラズマ処理チャンバであって、
    チャンバ本体、
    前記チャンバ本体の大気面に設置され、非有機の電気絶縁材料からなる平面体を備えた電圧-電流センサー、及び
    2つの電力供給線であって、それぞれ、前記チャンバ本体の外部に配置された電源からの電力を、前記チャンバ本体の内部に配置された要素に電気的に連結する、2つの電力供給線
    を備え、
    前記電圧-電流センサーが、
    前記平面体において形成された測定用開口、
    前記測定用開口の周りに配置された導電性リングであって、第1の電圧測定回路に電気的に連結されている、導電性リング、
    前記平面体内に組み込まれ、前記測定用開口の周りに配置された環状コイルであって、第1の電流測定回路に電気的に連結されている、環状コイル、
    前記平面体において形成された追加の測定用開口、
    前記追加の測定用開口の周りに配置された追加の導電性リングであって、第2の電圧測定回路に電気的に連結されている、追加の導電性リング、及び
    前記平面体内に組み込まれ、前記追加の測定用開口の周りに配置された追加の環状コイルであって、第2の電流測定回路に電気的に連結されている、追加の環状コイル
    を備え、
    前記2つの電力供給線の一方が、前記測定用開口を通して前記チャンバ本体内に送り込まれ、前記2つの電力供給線の他方が、前記追加の測定用開口を通して前記チャンバ本体内に送り込まれ
    前記電圧-電流センサーが、1つ又は複数の接地された又は遮蔽された処理チャンバ要素によって外部ノイズ源から少なくとも部分的に隔離された前記プラズマ処理チャンバの領域内に位置付けされている、プラズマ処理チャンバ。
  6. 前記2つの電力供給線が、前記チャンバ本体の外部に配置された同一の高周波電源からの高周波電力を、前記チャンバ本体の内部に配置された同一の要素の異なる連結点に電気的に連結する2つの高周波伝送線である、請求項に記載のプラズマ処理チャンバ。
  7. 前記同一の要素が、バッキング板とガス分配プレートとを備えた放電電極である、請求項に記載のプラズマ処理チャンバ。
  8. プラズマ処理チャンバであって、
    チャンバ本体、
    前記チャンバ本体内に配置された放電電極、
    前記チャンバ本体の大気面に設置され、非有機の電気絶縁材料からなる平面体を備えた電圧-電流センサーであって、第1の電圧測定回路及び第1の電流測定回路を含む、電圧-電流センサー、及び
    前記電圧-電流センサーの測定用開口を通して送り込まれ、高周波電力を前記放電電極に電気的に連結する高周波伝送線
    を備え、
    前記放電電極が、接続点において前記高周波伝送線に連結された基板支持体を備え、
    前記接続点が、前記放電電極に電気的に連結された前記高周波電力内の定在波に沿った点に対応し、前記定在波が、前記点において最小振幅を有し、
    前記電圧-電流センサーが、前記接続点において又は前記接続点に近接して位置付けされている、プラズマ処理チャンバ。
JP2019543803A 2017-02-16 2018-01-25 高温環境において高周波電力を測定するための電圧-電流プローブ、及び電圧-電流プローブを較正する方法 Active JP7108623B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201762460060P 2017-02-16 2017-02-16
US62/460,060 2017-02-16
PCT/US2018/015252 WO2018151920A1 (en) 2017-02-16 2018-01-25 Voltage-current probe for measuring radio-frequency electrical power in a high-temperature environment and method of calibrating the same

Publications (2)

Publication Number Publication Date
JP2020510819A JP2020510819A (ja) 2020-04-09
JP7108623B2 true JP7108623B2 (ja) 2022-07-28

Family

ID=63104558

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2019543803A Active JP7108623B2 (ja) 2017-02-16 2018-01-25 高温環境において高周波電力を測定するための電圧-電流プローブ、及び電圧-電流プローブを較正する方法

Country Status (6)

Country Link
US (1) US10663491B2 (ja)
JP (1) JP7108623B2 (ja)
KR (1) KR102544625B1 (ja)
CN (1) CN110291408B (ja)
TW (1) TWI759417B (ja)
WO (1) WO2018151920A1 (ja)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP3726231A1 (en) * 2019-04-17 2020-10-21 Volkswagen Aktiengesellschaft Electronic component and system with integrated self-test functionality
US11570879B2 (en) 2019-08-19 2023-01-31 Applied Materials, Inc. Methods and apparatus for controlling RF parameters at multiple frequencies
US11984298B2 (en) 2019-12-02 2024-05-14 Lam Research Corporation Impedance transformation in radio-frequency-assisted plasma generation
US11994542B2 (en) * 2020-03-27 2024-05-28 Lam Research Corporation RF signal parameter measurement in an integrated circuit fabrication chamber
US11817296B2 (en) * 2020-06-26 2023-11-14 Tokyo Electron Limited RF voltage and current (V-I) sensors and measurement methods

Citations (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003050254A (ja) 2001-08-08 2003-02-21 Toshiba Corp 電流検出器
JP2003315373A (ja) 2002-04-18 2003-11-06 Toshiba Corp 電流検出装置及び半導体装置
JP2005203709A (ja) 2004-01-19 2005-07-28 Tokyo Electron Ltd プラズマ処理装置
JP2007529911A (ja) 2004-03-26 2007-10-25 ハリス コーポレイション セラミック基板内の埋込み式トロイダル変圧器
JP2009058449A (ja) 2007-08-31 2009-03-19 Daihen Corp 遮蔽部を有するプリント基板、電流・電圧検出用プリント基板および電流・電圧検出器
JP2009231683A (ja) 2008-03-25 2009-10-08 Tokyo Electron Ltd プラズマ処理装置及び給電棒
JP2010135422A (ja) 2008-12-02 2010-06-17 Tokyo Electron Ltd プラズマ処理装置及びプラズマ処理装置の運転方法
JP2010232392A (ja) 2009-03-26 2010-10-14 Panasonic Electric Works Co Ltd 零相変流器及び漏電検出装置
US20110159211A1 (en) 2009-12-31 2011-06-30 Applied Materials, Inc. Shadow ring for modifying wafer edge and bevel deposition
US20110192349A1 (en) 2010-01-12 2011-08-11 Hammond Iv Edward P Phase-Modulated RF Power for Plasma Chamber Electrode
JP2012124184A (ja) 2012-03-28 2012-06-28 Masayoshi Murata プラズマ表面処理方法及びプラズマ表面処理装置
JP2012518253A (ja) 2009-02-13 2012-08-09 アプライド マテリアルズ インコーポレイテッド プラズマチャンバ電極のためのrf母線およびrf帰還母線
JP2012253347A (ja) 2011-05-31 2012-12-20 Semes Co Ltd 基板処理装置
US20150136325A1 (en) 2013-11-19 2015-05-21 Applied Materials, Inc. Plasma processing using multiple radio frequency power feeds for improved uniformity
JP2015208161A (ja) 2014-04-22 2015-11-19 パナソニックIpマネジメント株式会社 電流計測器、分電盤用電流計測器及びそれを用いた分電盤
JP2016046524A (ja) 2014-08-22 2016-04-04 ラム リサーチ コーポレーションLam Research Corporation シャワーヘッドの能動加熱および/またはペデスタルの冷却によって低温aldシステム内で安定した蒸着速度制御を行うための方法および装置

Family Cites Families (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5565737A (en) * 1995-06-07 1996-10-15 Eni - A Division Of Astec America, Inc. Aliasing sampler for plasma probe detection
US6042686A (en) * 1995-06-30 2000-03-28 Lam Research Corporation Power segmented electrode
US5834931A (en) * 1996-10-31 1998-11-10 Sematech, Inc. RF current sensor
US6449568B1 (en) * 1998-02-27 2002-09-10 Eni Technology, Inc. Voltage-current sensor with high matching directivity
US6677711B2 (en) * 2001-06-07 2004-01-13 Lam Research Corporation Plasma processor method and apparatus
EP1889279B1 (en) * 2005-06-10 2009-08-26 Bird Technologies Group Inc. System and method for analyzing power flow in semiconductor plasma generation systems
JP4916807B2 (ja) * 2006-01-30 2012-04-18 株式会社ダイヘン 電圧検出用プリント基板及びそれを用いた電圧検出器
CN101405607A (zh) * 2006-03-20 2009-04-08 英富康公司 用于微电子等离子体处理工具的高性能微型射频传感器
US7538562B2 (en) * 2006-03-20 2009-05-26 Inficon, Inc. High performance miniature RF sensor for use in microelectronics plasma processing tools
US8055203B2 (en) * 2007-03-14 2011-11-08 Mks Instruments, Inc. Multipoint voltage and current probe system
US7649363B2 (en) * 2007-06-28 2010-01-19 Lam Research Corporation Method and apparatus for a voltage/current probe test arrangements
WO2009023135A1 (en) * 2007-08-15 2009-02-19 Applied Materials, Inc. Apparatus for wafer level arc detection at an rf bias impedance match to the pedestal electrode
US8040141B2 (en) * 2008-04-10 2011-10-18 Mks Instruments, Inc. Orthogonal radio frequency voltage/current sensor with high dynamic range
US8849585B2 (en) 2008-06-26 2014-09-30 Lam Research Corporation Methods for automatically characterizing a plasma
CN101626656B (zh) * 2008-07-11 2012-10-31 北京北方微电子基地设备工艺研究中心有限责任公司 射频匹配方法及等离子体处理设备
KR101099663B1 (ko) * 2009-09-03 2011-12-29 주식회사 플라즈마트 전기적 특성을 측정하기 위한 센서
IES20090733A2 (en) * 2009-09-22 2011-03-30 Donal O'sullivan Sensor for measuring plasma parameters
US8587321B2 (en) * 2010-09-24 2013-11-19 Applied Materials, Inc. System and method for current-based plasma excursion detection
KR101303040B1 (ko) * 2012-02-28 2013-09-03 주식회사 뉴파워 프라즈마 플라즈마 챔버의 아크 검출 방법 및 장치
US9174128B2 (en) * 2012-04-26 2015-11-03 Zynga Inc. Dynamic quests in game
US9291649B2 (en) * 2012-08-16 2016-03-22 Mks Instruments, Inc. On the enhancements of planar based RF sensor technology
JP6078419B2 (ja) 2013-02-12 2017-02-08 株式会社日立ハイテクノロジーズ プラズマ処理装置の制御方法、プラズマ処理方法及びプラズマ処理装置
US10125422B2 (en) * 2013-03-27 2018-11-13 Applied Materials, Inc. High impedance RF filter for heater with impedance tuning device
KR20140137172A (ko) 2013-05-22 2014-12-02 최대규 자기 관리 기능을 갖는 원격 플라즈마 시스템 및 이의 자기 관리 방법
US20150364300A1 (en) * 2014-06-16 2015-12-17 Lam Research Corporation Determining presence of conductive film on dielectric surface of reaction chamber
US9544987B2 (en) * 2014-06-30 2017-01-10 Advanced Energy Industries, Inc. Frequency tuning for pulsed radio frequency plasma processing
KR101632603B1 (ko) 2014-09-30 2016-06-24 세메스 주식회사 전류 측정 센서 및 플라즈마 기판 처리 장치
US9595424B2 (en) * 2015-03-02 2017-03-14 Lam Research Corporation Impedance matching circuit for operation with a kilohertz RF generator and a megahertz RF generator to control plasma processes
US9704692B2 (en) 2015-07-01 2017-07-11 Lam Research Corporation System for instantaneous radiofrequency power measurement and associated methods
US9673025B2 (en) 2015-07-27 2017-06-06 Lam Research Corporation Electrostatic chuck including embedded faraday cage for RF delivery and associated methods for operation, monitoring, and control

Patent Citations (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003050254A (ja) 2001-08-08 2003-02-21 Toshiba Corp 電流検出器
JP2003315373A (ja) 2002-04-18 2003-11-06 Toshiba Corp 電流検出装置及び半導体装置
JP2005203709A (ja) 2004-01-19 2005-07-28 Tokyo Electron Ltd プラズマ処理装置
JP2007529911A (ja) 2004-03-26 2007-10-25 ハリス コーポレイション セラミック基板内の埋込み式トロイダル変圧器
JP2009058449A (ja) 2007-08-31 2009-03-19 Daihen Corp 遮蔽部を有するプリント基板、電流・電圧検出用プリント基板および電流・電圧検出器
JP2009231683A (ja) 2008-03-25 2009-10-08 Tokyo Electron Ltd プラズマ処理装置及び給電棒
JP2010135422A (ja) 2008-12-02 2010-06-17 Tokyo Electron Ltd プラズマ処理装置及びプラズマ処理装置の運転方法
JP2012518253A (ja) 2009-02-13 2012-08-09 アプライド マテリアルズ インコーポレイテッド プラズマチャンバ電極のためのrf母線およびrf帰還母線
JP2010232392A (ja) 2009-03-26 2010-10-14 Panasonic Electric Works Co Ltd 零相変流器及び漏電検出装置
US20110159211A1 (en) 2009-12-31 2011-06-30 Applied Materials, Inc. Shadow ring for modifying wafer edge and bevel deposition
US20110192349A1 (en) 2010-01-12 2011-08-11 Hammond Iv Edward P Phase-Modulated RF Power for Plasma Chamber Electrode
JP2012253347A (ja) 2011-05-31 2012-12-20 Semes Co Ltd 基板処理装置
JP2012124184A (ja) 2012-03-28 2012-06-28 Masayoshi Murata プラズマ表面処理方法及びプラズマ表面処理装置
US20150136325A1 (en) 2013-11-19 2015-05-21 Applied Materials, Inc. Plasma processing using multiple radio frequency power feeds for improved uniformity
JP2015208161A (ja) 2014-04-22 2015-11-19 パナソニックIpマネジメント株式会社 電流計測器、分電盤用電流計測器及びそれを用いた分電盤
JP2016046524A (ja) 2014-08-22 2016-04-04 ラム リサーチ コーポレーションLam Research Corporation シャワーヘッドの能動加熱および/またはペデスタルの冷却によって低温aldシステム内で安定した蒸着速度制御を行うための方法および装置

Also Published As

Publication number Publication date
WO2018151920A1 (en) 2018-08-23
JP2020510819A (ja) 2020-04-09
KR20190109559A (ko) 2019-09-25
KR102544625B1 (ko) 2023-06-15
TWI759417B (zh) 2022-04-01
TW201840989A (zh) 2018-11-16
CN110291408A (zh) 2019-09-27
US20180231587A1 (en) 2018-08-16
US10663491B2 (en) 2020-05-26
CN110291408B (zh) 2022-12-13

Similar Documents

Publication Publication Date Title
JP7108623B2 (ja) 高温環境において高周波電力を測定するための電圧-電流プローブ、及び電圧-電流プローブを較正する方法
JP4852140B2 (ja) 高周波電力供給装置およびプラズマ発生装置
TWI239794B (en) Plasma processing apparatus and method
JP6279544B2 (ja) プラズマ処理システムにおいてrf電流路を選択的に修正するための方法及び装置
US11956883B2 (en) Methods and apparatus for controlling RF parameters at multiple frequencies
US11443927B2 (en) Plasma treatment device
TW201703187A (zh) 多電極基板支撐組件與相位控制系統
KR101290676B1 (ko) 플라즈마 처리장치 및 플라즈마 처리방법
US10109463B2 (en) Microwave automatic matcher and plasma processing apparatus
US20100236917A1 (en) Plasma generating apparatus and plasma generating method
KR101632603B1 (ko) 전류 측정 센서 및 플라즈마 기판 처리 장치
JP7026578B2 (ja) プラズマプローブ装置及びプラズマ処理装置
JP4554380B2 (ja) プラズマ生成装置及びプラズマ生成方法
JP2018508994A (ja) Rfリターンを改善した基板支持体
JP2021026855A (ja) プラズマ処理装置及び制御方法
JP2003224112A (ja) プラズマ処理装置及びプラズマ処理方法
JP2003223999A (ja) プラズマ処理装置
CN118231217A (zh) 用于在多个频率下控制rf参数的方法及装置
KR20220052283A (ko) 플라즈마 처리 장치

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20191010

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20200929

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20201006

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210104

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20210406

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210705

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20210824

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20211124

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220217

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20220628

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20220715

R150 Certificate of patent or registration of utility model

Ref document number: 7108623

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150