JP6840138B2 - 処理のためのウエハ加熱用ダイオードレーザー - Google Patents

処理のためのウエハ加熱用ダイオードレーザー Download PDF

Info

Publication number
JP6840138B2
JP6840138B2 JP2018517773A JP2018517773A JP6840138B2 JP 6840138 B2 JP6840138 B2 JP 6840138B2 JP 2018517773 A JP2018517773 A JP 2018517773A JP 2018517773 A JP2018517773 A JP 2018517773A JP 6840138 B2 JP6840138 B2 JP 6840138B2
Authority
JP
Japan
Prior art keywords
dome
substrate
processing chamber
high energy
heating source
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2018517773A
Other languages
English (en)
Other versions
JP2018535545A (ja
Inventor
シューベルト エス. チュー,
シューベルト エス. チュー,
ダグラス イー. ホルムグレン,
ダグラス イー. ホルムグレン,
カルティーク シャー,
カルティーク シャー,
パラムラリ ガジェンドラ,
パラムラリ ガジェンドラ,
ニィ オー. ミオ,
ニィ オー. ミオ,
プリーサム ラオ,
プリーサム ラオ,
ケヴィン ジョセフ バウティスタ,
ケヴィン ジョセフ バウティスタ,
ジーユエン イェー,
ジーユエン イェー,
マーティン エー. ヒルケン,
マーティン エー. ヒルケン,
エロール アントニオ シー. サンチェス,
エロール アントニオ シー. サンチェス,
リチャード オー. コリンズ,
リチャード オー. コリンズ,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2018535545A publication Critical patent/JP2018535545A/ja
Application granted granted Critical
Publication of JP6840138B2 publication Critical patent/JP6840138B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/268Bombardment with radiation with high-energy radiation using electromagnetic radiation, e.g. laser radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Toxicology (AREA)
  • Health & Medical Sciences (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Optics & Photonics (AREA)
  • Electromagnetism (AREA)
  • Ceramic Engineering (AREA)

Description

[0001] 本開示の実施形態は、一般的には、半導体処理の装置及び方法に関し、より具体的には熱処理チャンバに関する。
関連技術の説明
[0002] 半導体基板は、集積回路用デバイスやマイクロデバイスの製造等を含めて、多様な用途に応じて処理される。処理中、基板は処理チャンバ内のサセプタ上に配置される。サセプタは中心軸の周りに回転可能な支持体シャフトによって支持される。基板の上下に配設された複数の加熱ランプなどの熱源を正確に制御することによって、極めて厳密な許容誤差の範囲内で基板を加熱することができる。基板の温度は、基板の上に堆積する材料の均一性に影響を及ぼしうる。
[0003] 基板の加熱を正確に制御しても、基板上の一部の場所には谷(堆積の低下)が形成されることが観測された。そのため、半導体処理では熱処理チャンバの改良が必要になっている。
[0004] 本開示の実施形態は、一般的には、半導体処理の装置及び方法に関し、より具体的には熱処理チャンバに関する。一実施形態では、処理チャンバは、第1のドームと、第2のドームと、第1のドームと第2のドームとの間に配設される基板支持体と、第1のドームの上に配設される第1の複数の加熱素子であって、第1のドームが第1の複数の加熱素子と基板支持体との間に配設される第1の複数の加熱素子と、第1の複数の加熱素子の上に配設される高エネルギー放射源アセンブリであって、少なくとも100Wの総出力を有する高エネルギー放射源を備える高エネルギー放射源アセンブリと、を含む。
[0005] 別の実施形態では、処理チャンバは、第1のドームと、第2のドームと、第1のドームと第2のドームとの間に配設される基板支持体と、第1のドームの上に配設される第1の複数の加熱素子であって、第1のドームが第1の複数の加熱素子と基板支持体との間に配設される第1の複数の加熱素子と、第1の複数の加熱素子の上に配設される支持部材であって、第1の複数の加熱素子が第1のドームと支持部材との間に配設される支持部材と、支持部材の上に配設された第1の高エネルギー放射源アセンブリであって、高エネルギー放射源及び高エネルギー放射源アセンブリを支持部材に結合するためのブラケットを備える高エネルギー放射源アセンブリと、を含む。
[0006] 別の実施形態では、処理チャンバは、第1のドームと、第2のドームと、第1のドームと第2のドームとの間に配設される基板支持体と、第1のドームの上に配設される複数の加熱素子であって、第1のドームが複数の加熱素子と基板支持体との間に配設される複数の加熱素子と、第1の複数の加熱素子の上に配設される支持部材であって、第1の複数の加熱素子が第1のドームと支持部材との間に配設される支持部材と、支持部材の上に形成された軌道の上に移動可能に配設される高エネルギー放射源アセンブリであって、高エネルギー放射源を備える高エネルギー放射源アセンブリと、を含む。
[0007] 上述の本開示の特徴を詳細に理解しうるように、上記で簡単に要約された本開示のより具体的な説明が、実施形態を参照することによって得られ、一部の実施形態は、付随する図面に例示されている。しかしながら、本開示は他の等しく有効な実施形態も許容しうることから、付随する図面はこの開示の典型的な実施形態のみを例示しており、したがって、本開示の範囲を限定すると見なすべきではないことに、留意されたい。
一実施形態による処理チャンバの概略的な側面断面図である。 別の実施形態による処理チャンバの概略的な側面断面図である。 別の実施形態による処理チャンバの概略的な側面断面図である。 本書に記載の実施形態による高エネルギー放射源の概略的な斜視図である。 一実施形態による図4の高エネルギー放射源アセンブリの概略的な側面断面図である。 一実施形態による高エネルギー放射源アセンブリの概略的な斜視図である。 一実施形態による図6の高エネルギー放射源アセンブリの概略的な側面断面図である。 一実施形態による図1の処理チャンバの概略的な上面図である。 別の実施形態による図1の処理チャンバの概略断面図である。 本書に記載の実施形態による、基板を処理する方法の工程を示す。 基板の原点からの距離対基板の抵抗力の試験結果を示すデータプロットである。
[0019] 理解を容易にするために、可能な場合には、図に共通する同一要素を指し示すのに同一の参照番号を使用した。一実施形態で開示される要素は、具体的な記述がなくとも、他の実施形態で有益に利用できることが企図されている。
[0020] 本開示の実施形態は、一般的には、半導体処理の装置及び方法に関し、より具体的には熱処理チャンバに関する。熱処理チャンバは、基板支持体、基板支持体の上に配設された第1の複数の加熱素子、並びに、第1の複数の加熱素子の上に配設された一又は複数の高エネルギー放射源アセンブリを含みうる。一又は複数の高エネルギー放射源アセンブリは、処理中に基板支持体の上に配置された基板の低温領域を局所的に加熱するために利用される。基板の局所的な加熱は、温度プロファイルを改善し、その結果、堆積の均一性を改善する。
[0021] 本書に記載のように、「基板」又は「基板表面」は一般的に処理が行われる任意の基板表面を表わす。例えば、基板表面は、用途次第で、ケイ素、酸化ケイ素、ドープされたケイ素、シリコンゲルマニウム、ヒ化ガリウム、ガラス、サファイア、並びに金属、窒化金属、金属合金、及び他の導電性又は半導性の材料などの他の任意の材料を含みうる。基板又は基板表面はまた、二酸化ケイ素、窒化ケイ素、有機ケイ酸塩、及び炭素がドープされた酸化ケイ素又は窒化物材料含みうる。基板自体は特定の大きさ又は形状に限定されない。本書に記載の実施形態は、一般的に200mm又は300mmの円形の基板を参照しているが、本書に記載の実施形態による多角形、正方形、長方形、曲面状の、或いはその他の非円形の加工物が利用されてもよい。
[0022] 図1は、一実施形態による処理チャンバ100の概略的な側面断面図である。処理チャンバ100は、エピタキシャルプロセスなどの任意の熱処理を実行する処理チャンバであってもよい。エピタキシャルプロセスの処理チャンバが示され、説明されているが、本開示の考え方は、加熱素子が処理チャンバの上部、底部、又はその両方に提供されるかどうかにかかわらず、例えば、熱アニール、熱洗浄、熱化学気相堆積、熱酸化及び熱窒化などの処理のために基板を加熱する熱サイクルを制御することができる他の処理チャンバにも適用可能であることが企図されている。
[0023] 処理チャンバ100は、基板110の堆積面122上での材料の堆積を含む、一又は複数の基板の処理に使用されてもよい。処理チャンバ100は、第1のドーム112、第2のドーム114、並びに、第1のドーム112と第2のドーム114との間に配設される基板支持体102を含みうる。基板支持体102は、基板110を支持するためのサセプタ124、及びサセプタ124を支持するためのサセプタ支持体126を含みうる。第1のドーム112及び第2のドーム114は、石英などの光学的に透明な材料から作られうる。基板110はローディングポート128を経由して処理チャンバ100に運び込まれ、サセプタ124上に配置される。サセプタ124はSiCをコーティングしたグラファイトから作られうる。サセプタ支持体126はモーター(図示せず)によって回転されてもよく、その結果として、サセプタ124と基板110を回転する。
[0024] 処理チャンバ100は更に、基板110の下から基板110を加熱するように第2のドーム114の下に配設される放射加熱ランプなど、第1の複数の加熱素子106を含みうる。処理チャンバ100はまた、基板110の上から基板110を加熱するように第1のドーム112の上に配設される放射加熱ランプなど、第2の複数の加熱素子104を含みうる。一実施形態では、第1の複数の加熱素子104及び第2の複数の加熱素子106は、それぞれ第1のドーム112及び第2のドーム114を介して、基板に赤外線放射熱を提供する。第1のドーム112及び第2のドーム114は、赤外線放射の少なくとも95%を透過すると規定されているように、赤外線放射に対して透明である。
[0025] 実施形態では、処理チャンバ100はまた、処理チャンバ100内及び基板110の表面122の温度を測定する光学式高温計など、一又は複数の温度センサ130を含みうる。一又は複数の温度センサ130は、リッド116の上に配設される支持部材132の上に配設されうる。リフレクタ118は、基板110及び第1のドーム112から放射される赤外線を基板110に戻すように反射するため、第1のドームの外側に配置されうる。高エネルギー放射源アセンブリ、例えば、レーザー源アセンブリのような一又は複数の高エネルギー放射源アセンブリ108は、支持部材132の上に配設されうる。一又は複数の高エネルギー放射源アセンブリ108は、焦点が絞られた高エネルギー放射ビーム、例えば、レーザービームのような一又は複数の高エネルギー放射ビーム134を生成し、基板110の局所的な加熱を行うため、基板110の表面122上にビームスポットを形成しうる。一又は複数の高エネルギー放射ビーム134は、リフレクタ118の環状部分136に形成された開口部120を通過することができ、また、第1のドーム112は高エネルギー放射ビームに対して透明になりうる(高エネルギー放射ビーム134の放射の少なくとも95%を透過する)。一実施形態では、一又は複数のビーム134のうちの1つの高エネルギー放射ビーム134がレンズ(図3)から基板110の表面122まで移動する距離D1は約400mmで、一又は複数のビーム134のうちの1つの高エネルギー放射ビーム134が第1のドーム112から基板110の表面122まで移動する距離は約76mmである。
[0026] エピタキシャル堆積などの工程中、基板110は所定の温度、例えば摂氏約750度未満まで加熱されうる。基板110の加熱を正確に制御しているにもかかわらず、基板110上の一又は複数の領域は、基板110の残りの部分よりも摂氏2〜5度低くなるなど、温度が不均一になることがある。温度が均一でないと膜厚は不均一になり、基板上に堆積する膜では、一又は複数の領域で膜厚の不均一は1%以上になりうる。温度の均一性を改善し、その結果として膜厚の不均一を改善するため、一又は複数の高エネルギー放射源アセンブリ108が使用され、基板110上の一又は複数の領域が局所的に加熱される。工程中、基板110は回転しているため、一又は複数の高エネルギー放射源アセンブリ108は、基板110のある半径の環状領域の上に存在しうる。一実施形態では、環状領域は半径約50mmとなる。幾つかの実施形態では、一又は複数の高エネルギー放射源アセンブリ108は、支持部材132上に移動可能に配設されてよく、例えば、支持部材132の半径方向に沿って配設された軌道(図8)上に移動可能に配設されてよく、また操作中、一又は複数の高エネルギー放射源アセンブリ108は、基板110上の任意の低温領域を局所的に加熱するため、軌道に沿って移動可能であってよい。幾つかの実施形態では、基板110上の複数の低温領域を同時に加熱するため、複数の高エネルギー放射源アセンブリ108(図8及び図9)が利用される。
[0027] 図2及び図3は、別の実施形態による処理チャンバ200の概略断面図である。処理チャンバ200は、エピタキシャル堆積チャンバ、急速熱処理チャンバ、又は他の熱処理チャンバとして使用されうる。処理チャンバ200は、基板202の上面202での材料の堆積、基板202の加熱、基板202のエッチング、或いはこれらの組み合わせを含む一又は複数の基板の処理に使用されてもよい。処理チャンバ200は、チャンバ壁103、放射加熱ランプ204のアレイ、その他の構成要素として、処理チャンバ200内に配置される基板支持体206の背面104を含んでもよい。図2及び図3に示したように、放射加熱ランプ204のアレイはサセプタ206の下に配設されうる。図3に示したように、放射加熱ランプ204のアレイは、サセプタ206の上、及び/又は下に配設されうる。放射加熱ランプ204は、約10KWから約60KWの範囲内の総ランプ出力を提供しうる。放射加熱ランプ204は、基板202を摂氏約500度から摂氏約900度の範囲内の温度まで加熱しうる。サセプタ206は図示したように円板状の基板支持体であってよく、或いは、基板の端面から基板を支持し、基板202の背面を放射加熱ランプ204からの熱に曝露する、リング状の基板支持体(図示せず)を含みうる。サセプタ206は、ランプ204からの放射エネルギーを吸収し、放射エネルギーを基板202に伝導し、それによって基板202を加熱するため、シリコンカーバイド又はシリコンカーバイドをコーティングしたグラファイトから形成されてもよい。
[0028] サセプタ206は、ドームになりうる第1の透過性部材208とドームになりうる第2の透過性部材210との間の処理チャンバ200の内部に配置される。第1の透過性部材208及び第2の透過性部材210は、第1の透過性部材208と第2の透過性部材210との間に配設されるベースリング212に沿って、一般的に処理チャンバ200の内部領域211を画定する。第1の透過性部材208及び/又は第2の透過性部材210の各々は、凸状及び/又は凹状になりうる。幾つかの実施形態では、第1の透過性部材208及び/又は第2の透過性部材210は透明になりうる。第1の透過性部材208は、チャンバ壁103とサセプタ206との間に配設される。幾つかの実施形態では、放射加熱ランプ204のアレイは、処理チャンバ200の内部領域211の外側、及び/又は第1の透過性部材208の上方、例えば、第1の透過性部材208とリフレクタ254(以下に述べる)との間に画定される領域149に配設されうる。基板202は、ベースリング212内に形成されるローディングポート(図示せず)を介して、処理チャンバ200内に持ち込まれ、サセプタ206上に位置決めされてもよい。処理ガス吸気口214及びガス排気口216は、ベースリング212内に配設されうる。
[0029] サセプタ206は、モーションアセンブリ220に連結されるシャフト又はステム218を含む。モーションアセンブリ220は、内部領域211内でステム218及び/又はサセプタ206の移動及び/又は調整を行う、一又は複数のアクチュエータ及び/又は調整デバイスを含む。例えば、モーションアセンブリ220は、サセプタ206を処理チャンバ200の縦軸の周りに回転するロータリアクチュエータ222を含みうる。縦軸Aは、処理チャンバ200のX−Y平面の中心を含みうる。モーションアセンブリ220は、サセプタ206をZ方向に昇降する垂直アクチュエータ224を含みうる。モーションアセンブリ220は、内部領域211内でサセプタ206の面配向を調整するために使用される、傾斜調整デバイス226を含みうる。モーションアセンブリ220はまた、内部領域211内で隣り合うステム218及び/又はサセプタ206の位置決めを調整するために利用される、横方向調整デバイス228を含みうる。横方向調整デバイス228と傾斜調整デバイス226を含む実施形態では、横方向調整デバイス228は、ステム218及び/又はサセプタ206のX及び/又はY方向での位置決めを調整するために利用され、一方、傾斜調整デバイス226は、ステム218及び/又はサセプタ206の角配向(α)を調整する。一実施形態では、モーションアセンブリ220は、ピボット機構230を含む。第2の透過性部材210はベースリング212によって処理チャンバ200に取り付けられているため、ピボット機構230は、モーションアセンブリ220がステム218及び/又はサセプタ206を少なくとも第2の透過性部材210上での応力を低減する角配向(α)で動かすことができるように利用される。
[0030] サセプタ206は上昇した処理位置で示されているが、上述のようにモーションアセンブリ220によって垂直に昇降されうる。リフトピン232が第2の透過性部材210に接触するように、サセプタ206は移送位置(処理位置の下方)まで下降させることができる。リフトピン232は孔207を通ってサセプタ206内へ延在し、サセプタ206が下降すると、リフトピン232はサセプタ206から基板202を持ち上げる。ロボット(図示せず)は次いで、処理チャンバ200に入って基板140に係合し、ローディングポートを介して処理チャンバから基板を取り出しうる。新しい基板202はロボットによってリフトピン232の上に装填され、サセプタ206は次いで、基板202を配置するため、基板のデバイス面250を上に向けたまま、処理位置まで作動されうる。リフトピン232は、処理位置にあるサセプタ206によってリフトピン232が開口部内に一時停止されうるように、拡大されたヘッドを含む。一実施形態では、第2の透過性部材210に連結されたスタンドオフ234は、リフトピン232が接触する平らな面を提供するために利用される。スタンドオフは、処理チャンバ200のX−Y平面に平行な一又は複数の表面を提供し、その端部が第2の透過性部材210の湾曲面に接触することが可能な場合に起こりうるリフトピン232の結合を防止するために使用されうる。スタンドオフ234は、ランプ204からのエネルギーが通過することができるように、石英などの光学的に透明な材料で作られうる。
[0031] サセプタ206は、処理位置に配置されている間に、処理チャンバ200の内部空間を、基板支持体206上方の処理ガス領域236と基板支持体206下方のパージガス領域238とに分割する。サセプタ206は、処理中に、処理チャンバ200内の熱及び処理ガス流の空間的な偏りの影響を最小限に抑えるため、回転式アクチュエータ222によって回転され、これにより基板202の一様な処理を促進する。サセプタ206は、約5RPMから約100RPMの間、例えば、約10RPMから約50RPMの間で回転しうる。サセプタ206は、一般的にサセプタ206の中央に位置し、基板の移送中、及び場合によっては基板202の処理中に、サセプタ206、基板202の垂直方向(Z方向)の運動を促進するステムによって支持される。
[0032] 一般的に、第1の透明な部材208の中央部分及び第2の透明な部材210の底部は、石英などの光学的に透明な材料から形成される。第1の透過性部材208の厚み及び湾曲の程度は、処理チャンバ内に一様な流れに対して、より平坦な幾何形状をもたらすように選択されうる。
[0033] 放射加熱ランプ204のアレイなど、一又は複数のランプは、第2の透過性部材210に隣接して下方に、ステム218の周囲に特定の方法で配設されうる。処理ガスが通過する際に、基板202のさまざまな領域の温度を制御するため、放射加熱ランプ204はゾーン内で独立に制御されてもよく、これによって、基板202の上面への材料の堆積を促進する。ここでは詳細に説明されていないが、堆積した材料は、ケイ素、ドープされたケイ素、ゲルマニウム、ドープされたゲルマニウム、シリコンゲルマニウム、ドープされたシリコンゲルマニウム、ヒ化ガリウム、窒化ガリウム、又は窒化アルミニウム・ガリウムを含みうる。
[0034] 放射加熱ランプ204は、基板202を摂氏約200度から摂氏約1,600度の範囲内の温度まで加熱するように構成されたランプバルブ241として表現される放射熱源を含むこともある。各ランプバルブ241は、プリント基板(PCB)252などの配電盤に連結可能で、電力は配電盤を経由して各ランプバルブ241に供給される。所望であれば、ランプの配置を変えるため、スタンドオフはランプバルブ241を配電盤に連結するために使用されうる。一実施形態では、放射加熱ランプ204はランプヘッド245内に位置決めされ、例えば、放射加熱ランプ204間に位置するチャネル249内に導入される冷却用流体によって処理中又は処理後に冷却されてもよい。
[0035] 円形シールド246はオプションにより、サセプタ206の周囲に配設されてもよく、チャンバ本体248の側壁に連結されてもよい。シールド246は、ランプ204から基板202のデバイス側250への熱/光ノイズの漏れを防止するか、又は最小限に抑制すると同時に、処理ガスの予備加熱ゾーンを提供する。シールド246は、CVD SiC、SiCでコーティングされた焼結グラファイト、成長SiC、不透明石英、コーティングされた石英、又は、処理ガス及びパージングガスによる化学分解に対して耐性のある、同様に好適な任意の材料から作製されうる。幾つかの実施形態では、シールド246はベースリング212の上に配設されるライナー263に連結されている。
[0036] 基板温度は、サセプタ206の底部の温度を測定するように構成されたセンサによって測定される。センサは、ランプヘッド245内に形成されたポートに配設される高温計(図示せず)であってもよい。追加的に、又は代替的に、高温計などの一又は複数のセンサ253は、基板202のデバイス面250の温度を測定するように配向されてもよい。リフレクタ254は、基板202から放射される赤外線を反射し、エネルギーの向きを変えて基板202に戻すため、第1の透過性部材208の外側に配置されてもよい。リフレクタ254は、固定リング256を使用して第1の透過性部材208に固定されてもよい。リフレクタ254は、チャンバ壁103に隣接して配設されうる。幾つかの実施形態では、リフレクタは、チャンバ壁103に連結されてもよい。リフレクタ254は、アルミニウムまたはステンレス鋼といった金属でできていてもよい。基板202のデバイス面250からの放射を受容するため、センサ253はリフレクタ254を通って配設されうる。
[0037] 処理ガス供給源251から供給される処理ガスは、ベースリング212の側壁に形成された処理ガス注入口214を通じて、処理ガス領域236内に導入される。処理ガス注入口214は、処理ガスを、概して半径方向内向きに方向付けるよう構成される。そのため、幾つかの実施形態では、処理ガス注入口214はクロスフローガスインジェクタであってもよい。クロスフローガスインジェクタは、処理ガスがサセプタ206及び/又は基板202の面に交差する向きになるように位置決めされる。フィルム形成プロセス中、サセプタ206は、処理ガス注入口214に隣接し、かつ、処理ガス注入口214とほぼ同じ高さにある処理位置に配置されてよく、これにより、処理ガスはサセプタ206及び/又は基板202の上面を横切って、流路273にほぼ沿って流れることができる。処理ガスは、処理ガス領域236から(流路275に沿って)、処理チャンバ200の、処理ガス注入口214とは反対側に位置するガス排出口216を通って排出される。ガス排出口216を通る処理ガスの除去は、そこに連結された真空ポンプ257によって促進されうる。
[0038] パージガス源262から供給されるパージガスは、ベースリング212の側壁に形成されたパージガス注入口ポート264を通ってパージガス領域238に導入される。パージガス注入口264は、処理ガス注入口214よりも下方の高さに配置される。円形シールド246が使用される場合、円形シールド246は処理ガス注入口214とパージガス注入口264との間に配置されてもよい。いずれの場合においても、パージガス注入口264は、パージガスを概して半径方向内向きに方向付けるよう構成される。パージガス注入口264は、パージガスを上方に向けるように構成されてもよい。膜生成プロセス中、サセプタ206は、パージガスがサセプタ206の背面を横切って、流路265にほぼ沿って流れるような位置に配置される。パージガスは、処理チャンバ200のパージガス注入口264とは反対側に配置されるガス排出口216を通って(流路266に沿って)パージガス領域238を出て処理チャンバの外へと排出される。
[0039] 処理チャンバ200は更に、焦点が絞られた高エネルギー放射源アセンブリ、例えば、レーザーシステムアセンブリなど、高エネルギー放射源アセンブリ270を含む。高エネルギー放射源アセンブリ270は、処理チャンバ200に結合されうる。幾つかの実施形態では、処理チャンバ200は、複数の高エネルギー放射源アセンブリ270、例えば、処理チャンバ200に連結された2つ以上のアセンブリ270を含みうる。
[0040] 図4は、図2及び図3の高エネルギー放射源アセンブリ270の斜視図を概略的に示している。高エネルギー放射源アセンブリ270は、放射源ヘッド272、放射源274、及びファイバケーブル276を含む。放射源274は、ファイバケーブル276を介して、放射源ヘッド272に動作可能に結合されうる。幾つかの実施形態では、高エネルギー放射源アセンブリ270は、ダイオードレーザーシステムアセンブリなどのレーザーシステムアセンブリであってよい。ファイバケーブル276は、少なくとも1つのダイオードを含みうる。幾つかの実施形態では、ファイバケーブル276は複数のダイオードを含んでもよい。更には、幾つかの実施形態では、高エネルギー放射源アセンブリ270は、放射源274に動作可能に結合された複数の放射源ヘッド272を含みうる。複数の放射源ヘッド272は、一又は複数のファイバケーブル276を介して、放射源274に動作可能に結合されうる。幾つかの実施形態では、放射源ヘッド272は、図2に示したように、上方ランプモジュールに隣接した、及び/又はリフトピン232に隣接した処理チャンバ200に連結されてもよい。放射源ヘッド272は、レーザービームなどの高エネルギー放射ビームの位置が制御されるように、ファイバケーブル276の端部を固定位置に固定されうる。
[0041] 高エネルギー放射源アセンブリ270は更に、取り付けブラケット278を含みうる。取り付けブラケット278は、処理チャンバ278に連結されうる。放射源ヘッド272は、取り付けブラケット278に連結されうる。高エネルギー放射源アセンブリ270は更に、カバープレート280、リフレクタ254、及びリフレクタ部材284を含みうる。
[0042] リフレクタ254は、チャンバ壁103及び/又は取り付けブラケット278に連結されうる。幾つかの実施形態では、リフレクタ254は円板であってもよいが、リフレクタ254は任意の適切な形状になりうることが企図されている。リフレクタ部材284は円形であってもよい。リフレクタ部材284は、外壁294、第1の端面295、及び第2の端面296を有しうる。外壁294、第1の端面295、及び/又は第2の端面296は、円形状、リング形状、或いは他の適切な形状であってよい。第1の端面295はリフレクタ部材284の第1端部297に配設されてよく、また、第2の端面296はリフレクタ部材284の第2端部298に配設されてよく、第1の端面は第2の端面296に対向している。第1の端面295と第2の端面296は、外壁294に対してほぼ垂直になりうる。第2の端面296は、光がリフレクタ部材284を通過できるように開けられた、少なくとも1つの孔199を含みうる。放射源ヘッド272は、放射源ヘッド272からの光が孔199を通過して、基板202及び/又はサセプタ206に向かうように位置決めされうる。リフレクタ部材284は、リフレクタ254に連結されうる。幾つかの実施形態では、リフレクタ部材284は、リフレクタ部材の第1の端面295に連結されうる。幾つかの実施形態では、リフレクタ部材284は、ねじ結合、ボルト結合及び/又は他の任意の適切な結合手段によって、リフレクタ部材284に連結されうる。
[0043] カバープレート280は、円形のカバープレート280又は円板状のカバープレート280であってもよいが、カバープレート280は任意の適切な形状になりうることが企図されている。カバープレート280は、リフレクタ254の直径より小さな直径を有しうる。カバープレート280は、取り付けブラケット278とリフレクタ254との間に配置されうる。カバープレート280は、リフレクタ254に連結されてもよい。幾つかの実施形態では、カバープレート280は、ボルト結合、ねじ結合及び/又は他の任意の適切な結合手段によって、リフレクタ部材284に連結されうる。
[0044] 高エネルギー放射源アセンブリ270は、サセプタ206の中心領域Cから約90mmから約130mmの間、例えば、約100mmから約120mmの間のサセプタ206の領域に、高エネルギー放射ビームを配向するように位置決めされる。
[0045] 図5は、図4の高エネルギー放射源アセンブリ270の拡大図を概略的に示している。示されるように、取り付けブラケット278は、カバープレート280に連結されうる。幾つかの実施形態では、取り付けブラケット278は、ボルト機構によってカバープレート280に連結されうる。図5に示したように、取り付けブラケット278は、ボルトを含みうる締結機構504によって、取り付けブラケット278の底面からカバープレート280まで連結される。幾つかの実施形態では、取り付けブラケット278は、C字形取り付けブラケット278又はL字形取り付けブラケット278であってもよいが、取り付けブラケット278は任意の適切な形状になりうることが企図されている。取り付けブラケット278は、カバープレート280の表面と平行に延在する第1のアーム286、及び/又はカバープレート280の表面と垂直に延在する第2のアーム288を含みうる。放射源ヘッド272は、取り付けブラケット278に連結されうる。幾つかの実施形態では、放射源ヘッド272がカバープレート280に対して持ち上げられるように、放射源ヘッド272は取り付けブラケット278の第1のアーム286に連結されうる。
[0046] 取り付けブラケット278の第1のアーム286が放射源ヘッド272に連結される実施形態では、取り付けブラケット278の第1のアーム286と取り付けブラケットの第2のアーム288とが垂直にならないように、第1のアームは傾斜されうる。取り付けブラケット278の第1のアーム286は、カバープレート280の表面282に対して、約−4度から約4度の角度で傾斜されうる。例えば、幾つかの実施形態では、放射源ヘッド272は、カバープレート280の表面282及び/又はサセプタ206の表面に対して、2度傾斜されうる。カバープレート280の表面282は、サセプタ206の水平軸Dに平行であってよい。幾つかの実施形態では、取り付けブラケット278の第1のアーム286は第1の中心軸Aを有し、取り付けブラケット278の第2のアーム288は第2の中心軸Bを有する。第1の中心軸Aは、第2の中心軸Bに直交していない。更には、幾つかの実施形態では、サセプタ206は水平軸Dを有する。取り付けブラケット278の第1のアーム286の第1の中心軸Aは、サセプタ206の水平軸Dに対して平行ではない。
[0047] 幾つかの実施形態では、取り付けブラケット278は第3のアーム189を含みうる。取り付けブラケット278の第3のアーム189は、中心軸Eを有しうる。第3のアーム189の中心軸Eは、カバープレート280の表面に対して平行になりうる。第3のアーム189は、カバープレート280に連結されうる。幾つかの実施形態では、第3のアーム189は、ボルト機構及び/又は他の任意の適切な結合機構によって、カバープレート280に連結されうる。取り付けブラケット278の第2のアーム288は、第2のアーム288が第3のアーム189の中心軸Eに対して垂直にならないように、傾斜されうる。幾つかの実施形態では、第2のアーム288の中心軸Bは、第3のアーム189の中心軸Eに垂直な軸から約−4度から約4度の間で傾斜されうる。第1のアーム286の中心軸Aは、第2のアームの中心軸Bに垂直になりうる。
[0048] 取り付けブラケット278の第1のアーム286及び放射源ヘッド272の傾斜は、レーザーヘッド272への光のバックショットを低減及び/又は防止しうる。更には、放射源ヘッド272の傾斜は、サセプタ206の中心領域Cから約90mmから約130mmの間、例えば、約100mmから約120mmの間のサセプタ206の領域に光を配向するため、高エネルギー放射源アセンブリ270を位置決めしうる。高エネルギー放射源アセンブリ270を位置決めすること、並びに、サセプタ206の中心軸Cから約90mmから約130mmの間のサセプタ206の領域に光を配向することにより、 少なくとも1つのリフトピン232に連結されるサセプタ206及び/又は基板202の領域の上に光を配向しうる。
[0049] 高エネルギー放射源アセンブリ270は更に、レンズホルダ290を含みうる。レンズホルダ290は取り付けブラケット278に連結されうる。幾つかの実施形態では、レンズホルダ290は取り付けブラケット278の第2のアーム288に連結されうる。レンズホルダ290は、ボルト結合、ねじ結合及び/又は他の任意の適切な結合機構によって、取り付けブラケット278に連結されうる。例えば、図5に示したように、レンズホルダ290は、ねじ502によって取り付けブラケット278に連結される。レンズホルダ290は、レンズホルダ290が放射源ヘッド272とカバープレート280との間に配設されるように、取り付けブラケット278から外に向かって延在しうる。レンズホルダ290は、放射源ヘッド272の傾斜角と同じ角度で、或いはほぼ同じ角度で傾斜されうる。これにより、レンズホルダ290の中心軸Fは、放射源ヘッド272の中心軸Gにほぼ平行になりうる。
[0050] レンズホルダ290は、レンズ292を含みうる。レンズ292は、テレセントリックレンズであってもよい。テレセントリックレンズ292では、レーザーヘッド272からの光がビームとして焦点を結ばないことがある。幾つかの実施形態では、テレセントリックレンズ292は光をコリメートしうる。幾つかの実施形態では、光がテレセントリックレンズ292に入射するときに焦点を有していても、テレセントリックレンズ292から離れるときには、焦点を結ばない、すなわち焦点が無限遠になることがある。幾つかの実施形態では、レンズ292は、放射源ヘッド272からの光の焦点を絞って、約2mmから約10mm、例えば、約4mmから約8mmの間の直径を有するビームにすることができる。幾つかの実施形態では、レンズホルダ290は、一又は複数の光学素子を含みうる。
[0051] 放射源274はオフサイトにあっても、オンサイトにあってもよい。放射源はエネルギー、例えば光を生成しうる。幾つかの実施形態では、放射源274はダイオードレーザー源になりうる。ダイオードは電気的に励起されうる。印加された電流によって生成された電子と正孔との再結合は、光学的ゲインを導入しうる。結晶の端部からの反射は、光共振器を形成しうるが、共振器は外部に存在しうる。幾つかの実施形態では、放射源274は、ファイバレーザー源であってもよい。ファイバレーザー源は、光がシングルモード光ファイバ内の全反射によってガイドされる固体レーザー又はレーザー増幅器であってもよい。光のガイドは長い利得領域を可能にしうる。更には、ファイバレーザーの導波特性は光ビームの熱変形を低減する。エルビウム及び/又はイットリビウムイオンはファイバレーザー内で活性種になりうる。放射源274は、約20ワットから約200ワットの間のレーザー出力を提供しうる。
[0052] 幾つかの実施形態では、放射源274はレーザーの光源になりうる。そのため、ある種の実施形態では、ファイバケーブル276は、レーザー光を処理チャンバ200内へ伝送する光パイプになりうる。加えて、幾つかの実施形態では、ファイバケーブル276はシングルパス増幅器になりうる。
[0053] 幾つかの実施形態では、放射源274はシードフォトンになりうる。そのため、ある種の実施形態では、ファイバケーブル276は少なくとも1つのダイオードを含むレーザー媒質(lasing medium)である。幾つかの実施形態では、ダイオードはファイバケーブル276の内部にあってもよい。ファイバケーブル276はオシレータになりうる。このように、放射源274は、ファイバケーブル276又は他のレーザー媒質内で、フォトンの誘導放出を開始するように構成されたシードフォトン源である。放射源274とファイバケーブル276は共にレーザーを含みうる。
[0054] 上述のように、処理チャンバ200内での新しい基板202の処理中、基板202はロボットによってリフトピン232上に装填され、次に、サセプタ206は、デバイス面250が上を向いた状態で基板202を配置するため、処理位置まで駆動されうる。リフトピン232は、処理位置にあるサセプタ206によってリフトピン232が開口部内に一時停止されうるように、拡大されたヘッドを含む。高エネルギー放射源アセンブリ270は、リフトピン232による低温スポットを取り除くため、局所的に加熱しうる。このように、高エネルギー放射源アセンブリ270は、約2mmから約20mmの間、例えば、約10mmの光ビームの焦点を結ぶことができる。幾つかの実施形態では、高エネルギー放射源アセンブリ270は、基板202が処理チャンバ200内で回転している間に、光ビームの焦点を結ぶことができる。温度上昇は抵抗を低減するため、レーザービームによる加熱は、注入基板202がアニールされるとき、抵抗(R)プロファイルの低下を引き起こしうる。
[0055] 基板の特定の領域、例えば、リフトピン232に連結された領域、及び/又はリフトピン232の近傍領域を局所的に加熱及び/又は調整するため、高エネルギー放射源アセンブリ270は、適切な場所に配向され、特定のタイミングで操作されうる。ある種の実施形態では、一例として、リフトピン232は約32RPMで動作し、約380mm/sの速度で動作する。1mm未満の精度を実現するため、タイミング制御は約2.5ms未満になるように計算される。幾つかの実施形態では、光の焦点調節は特定の時間間隔で実行されうる。他の実施形態では、必要に応じて、サセプタ206の速度と同等の速度のシャッターが利用されうる。幾つかの実施形態では、立ち上がり時間が6マイクロ秒のレーザーが利用されうる。
[0056] 幾つかの実施形態では、リフトピン232が基板202に接触する前に、高エネルギー放射源アセンブリ270がオンになり、0.5ms間機能するように、高エネルギー放射源アセンブリ270はパルス光ビームを提供しうる。他の時間間隔が利用されることも想定されている。幾つかの実施形態では、高エネルギー放射源アセンブリ270は、基板が高エネルギー放射源アセンブリ270の光を通過した後、0.5ms間オフにされてもよい。
[0057] 更に、複数の高エネルギー放射源アセンブリ270が処理チャンバ200内で利用されうることが企図されている。更には、幾つかの実施形態では、移動可能な軌道上の放射源ヘッド272は、基板202の適切な調整を確保するために使用されうる。移動可能な軌道により、放射源ヘッド272は基板202を横切って通過することが可能になり、所定のパターンで全体的な調整が可能になりうる。このような実施形態では、放射源ヘッド272は外周から半径方向内側に向かって移動しうるが、他の実施形態では原点から半径方向外側に向かって移動しうる。
[0058] 高エネルギー放射源アセンブリ270を適切な時点で(すなわち、基板202が許容しうる場所を通過するときに)起動するため、高エネルギー放射源アセンブリ270は、リフトピン232が焦点位置にほぼ一致する、及び/又は焦点位置にある、オンの位置で起動される。このように、リフトピン232の運動は高エネルギー放射源アセンブリ270に同期している。
[0059] 一実施形態では、リフトピン232の位置を示すため、サセプタ206上にフラグが立てられてもよい。フラグは、約0.1度から約1.0度までの幅を有することができる。フラグは機械加工されてもよく、及び/又はサセプタ206に装着或いは連結されてもよい。ある種の実施形態では、装着又は連結の許容誤差を緩和するため、フラグ信号の遅延が導入されうる。遅延は、高エネルギー放射源アセンブリ270の精度を改善するため調整され、その結果、リフトピン232の位置に関する光の精度を改善しうる。
[0060] 幾つかの実施形態では、フラグは帰還フラグ(homing flag)及び/又は光学センサになりうる。フラグは基板202の帰還位置(home location)に関する光学スイッチを起動しうる。ある種の実施形態では、フラグは機械加工されて、サセプタなどの回転アセンブリになるか、回転アセンブリに連結されうる。
[0061] 別の実施形態では、高エネルギー放射源アセンブリ270に同期するため、回転エンコーダが利用されうる。幾つかの実施形態では、エンコーダはレンジベースエンコーダであってもよい。以下で述べるように、エンコーダはコントローラ250によって制御されうる。エンコーダは、1mm未満の精度を実現するため、約0.03度又はそれ以上の分解能を有し、幾つかの実施形態では、例えば、2.5ms未満だけ引き寄せられうる。他の実施形態では、エンコーダは1msの割合で引き寄せられうる。
[0062] 別の実施形態では、サセプタ206上の特徴を検出し、高エネルギー放射源アセンブリ270をいつ照射するかを予測するため、画像処理が使用されうる。画像処理は、2.5ms未満の応答時間で完了されうる。このように、高エネルギー放射源アセンブリ270をいつ照射するかを予測及び/又は決定するため、コントローラ250によってアルゴリズムが決定及び制御されうる。
[0063] 上述の処理チャンバ200は、図2及び図3に示したように、コントローラ250などのプロセッサベースのシステムコントローラによって制御可能である。例えば、コントローラ250は、基板処理シーケンスの種々の工程中に、様々な前駆体、処理ガス及びガス源からのパージガスの流れを制御するように構成されうる。更なる実施例として、コントローラ250は、高エネルギー放射源アセンブリ270の照射を制御し、高エネルギー放射源アセンブリ270の発射のためのアルゴリズムを予測し、フラグ及び/又はフラグ信号の操作を制御し、及び/又は、コントローラの他の操作中に高エネルギー放射源アセンブリ270をエンコード又は同期するように構成されうる。コントローラ250は、基板処理の制御を容易にするように処理チャンバ200の様々なコンポーネントに連結された、電源、クロック、キャッシュ、入力/出力(I/O)回路等の、メモリ255及び大容量記憶デバイス、入力制御ユニット、並びにディスプレイユニット(図示せず)と共に動作可能なプログラマブル中央処理装置(CPU)252を含む。コントローラ250は、前駆体、処理ガス、及びパージガス流をモニターするセンサを含む、処理チャンバ200内のセンサを通して基板処理をモニターするハードウェアを更に含む。基板温度、チャンバ内気圧などのシステムパラメータを測定する他のセンサもコントローラ250に情報を提供しうる。
[0064] 上述の処理チャンバ200の制御を容易にするため、CPU252は、様々なチャンバ及びサブプロセッサを制御するように、プログラマブルロジックコントローラ(PLC)などの産業用設定で使用できる任意の形態の汎用コンピュータプロセッサの1つであってもよい。メモリ255はCPU252に接続されている。メモリ255は、非一時的であり、ランダムアクセスメモリ(RAM)、読取り専用メモリ(ROM)、フロッピーディスクドライブ、ハードディスク、又は任意の他の形態のローカル若しくは遠隔のデジタルストレージなど、容易に利用可能なメモリのうちの一又は複数であってもよい。支持回路258は、従来の方式でプロセッサを支持するためにCPU252に連結される。荷電種の生成、加熱、及びその他の処理は、典型的にソフトウェアルーチンとしてメモリ255内に記憶される。ソフトウェアルーチンは更に、CPU252によって制御されているハードウェアから遠隔に位置する第2のCPU(図示せず)によって記憶及び/又は実行されうる。
[0065] メモリ255は、命令を含むコンピュータ可読記憶媒体の形態をとっており、CPU252によって実行された際に処理チャンバ200の操作を促進させる。メモリ255内の命令は、本開示の方法を実装するプログラムなどのプログラム製品の形態をとっている。プログラムコードは、数々の異なるプログラミング言語のうちの任意の1つに適合しうる。一実施例では、本開示は、コンピュータシステムにおいて使用するためのコンピュータ可読記憶媒体上に記憶されたプログラム製品として実装されうる。プログラム製品の一又は複数のプログラムは、実施形態の機能(本書に記載された方法を含む)を規定する。例示的なコンピュータ可読記憶媒体は、限定するものではないが、(i)情報が永続的に記憶される書込み不能な記憶媒体(例えば、CD−ROMドライブ、フラッシュメモリ、ROMチップ、又は任意の種類の固体不揮発性半導体メモリによって読み出し可能なCD−ROMディスクなどのコンピュータ内の読出し専用メモリデバイス)、及び(ii)変更可能な情報が記憶される書き込み可能な記憶媒体(例えば、ディスケットドライブ又はハードディスクドライブ内のフロッピーディスク或いは任意の種類の固体ランダムアクセス半導体メモリ)を含む。本書に記載の方法の機能を指示するコンピュータ可読命令を伝える際には、このようなコンピュータ可読記憶媒体が本開示の実施形態となる。
[0066] 図6は、一実施形態による高エネルギー放射源アセンブリ108(図1)の斜視図である。図6に示したように、一又は複数のアセンブリ108のうちの1つの高エネルギー放射源アセンブリ108は、高エネルギー放射源602及び高エネルギー放射源アセンブリ108の構成要素を支持するためのブラケット610を含みうる。高エネルギー放射源アセンブリ108は更に、ファイバコネクタ606を固定するため、ブラケット610の上に配設されたケージプレート608を含む。一実施形態では、高エネルギー放射源602はファイバ604を介してファイバコネクタ606に結合されている。高エネルギー放射源602は、エピタキシャル堆積などの堆積処理中に、基板110(図1)の温度を摂氏2〜5度上げることができる出力で焦点が絞られた放射エネルギーのように、放射エネルギーを生成する任意の高エネルギー放射源であってよい。焦点が絞られた高エネルギーは、可視光範囲の波長を有しうる。一実施形態では、高エネルギー放射源602は、総出力電力が少なくとも100Wとなるように、各々が少なくとも50Wの出力電力と約810nmの波長を有する2つのレーザーダイオードを含むレーザー源である。一実施形態では、高エネルギー放射源602は、264Wの総出力電力を有する33個の並列チップ(各チップは8Wの出力電力を有する)を含む垂直キャビティ面発光レーザー(VCSEL)である。ファイバ604の長さは約15mになりうる。一実施形態では、高エネルギー放射ビームがファイバ604に連結されるのではなく、高エネルギー放射源602がブラケット610に配設される。
[0067] 高エネルギー放射源アセンブリ108は更に、非球面レンズなど、一又は複数のレンズを保持するための光学ホルダ612を含む(図7)。高エネルギー放射源アセンブリ108は、支持部材132にボルト固定される支持体ブロック614の上に配設されうる。代替的に、高エネルギー放射源アセンブリ108は、基板110の半径方向に沿って、軌道上に配設されうる(図8)。
[0068] 図7は、一実施形態による高エネルギー放射源アセンブリ108の拡大した概略的な側面断面図である。図7に示したように、ケージプレート608は、ファイバコネクタ606を固定するための2つの保持リング708、710を含みうる。光学ホルダ612は、非球面レンズなどのレンズ714を固定するため、保持リング712を含みうる。ブラケット610は、支持体ブロック614に連結された第1の部分702、第1の部分702に対して角Aで連結された第2の部分704、並びに、第2の部分704に対して角Bで連結された第3の部分を含みうる。光学ホルダ612は第2の部分704に連結され、ケージプレート608は第3の部分706に連結されうる。一実施形態では、角Bは約90度で、また、第2の部分704が基板110の表面122に実質的に垂直ではないように、角度Aは90度でない鋭角又は鈍角になる。一実施形態では、高エネルギー放射源602を損傷しうるファイバ604への後方反射を防止するため、レンズ714は第3の部分706に対して2度の傾斜を有するように、角Aは約92度で、角Bは約90度になっている。代替的に、角Aは約90度で、角Bは90度ではない鋭角又は鈍角になる。鋭角又は鈍角であるA又はBは、基板110上での一又は複数の高エネルギー放射ビーム134のビームスポットの位置を決定するために使用することができる。一実施形態では、第1の部分702、第2の部分704、及び第3の部分706は一体成形の材料で、角A、角Bは設定されていて調整可能ではない。別の実施形態では、第1の部分702、第2の部分704、及び第3の部分706は異なる材料片から作られていて、角A、角Bは調整可能である。
[0069] 一実施形態では、基板110上のビームスポットが約10mmの直径を有するように、高エネルギー放射ビーム、例えば、ファイバ604から出るレーザービームのような焦点が絞られた高エネルギー放射ビームは、レンズ714によって17倍に拡大されて基板110の上に再結像される約800マイクロメートルの直径を有する。一実施形態では、ファイバから出るレーザービームのダイバージェンスは0.17NAである。一又は複数のビーム134のうちの1つの焦点が絞られた高エネルギー放射ビーム134がファイバコネクタ606からレンズ714まで移動する距離D3は、約18mmである。第1のドーム112(図1)の透明な材料は、基板上にビームスポットのわずかなシフトを引き起こしうるが、これは角A又は角Bを調整することによって補償可能である。高エネルギー放射ビームのごく一部は、第1のドーム112によって反射されうる。一実施形態では、約7Wの電力が第1のドームによって反射され、高エネルギー放射ビーム134の総出力電力は約90Wである。
[0070] 図8は、一実施形態による図1の処理チャンバ100の概略上面図である。図8に示したように、処理チャンバ100は、支持部材132によって支持される一又は複数の温度センサ130を含む。軌道802は支持部材132上で半径方向に形成されてもよく、一又は複数の高エネルギー放射源アセンブリ108は軌道802上に移動可能に配設される。一又は複数の高エネルギー放射源アセンブリ108は、基板110の様々な領域を局所加熱するため、処理中又は処理間に移動されてもよい。図8に示したように、基板110の低温領域を同時に加熱するため、軌道802上には2つの高エネルギー放射源アセンブリ108が配設されている。幾つかの実施形態では、1つの高エネルギー放射源アセンブリ108が軌道802上に配設されている。幾つかの実施形態では、2つ以上の高エネルギー放射源アセンブリ108が軌道802上に配設されている。
[0071] 図9は、一実施形態による図1の処理チャンバ100の概略上面図である。図9に示したように、1つの高エネルギー放射源アセンブリ108が支持体ブロック614の上に配設され、第2の高エネルギー放射源アセンブリ108が支持体ブロック902の上に配設されている。支持体614、902は、基板110の半径方向の異なる領域を同時に加熱するため、支持部材132の半径方向の異なる位置に配設されうる。また、幾つかの実施形態では、1つの高エネルギー放射源アセンブリ108が利用され、幾つかの実施形態では、2つ以上の高エネルギー放射源アセンブリ108が利用される。
[0072] 図10は、基板を処理するための方法1000の工程を示している。幾つかの実施形態では、方法1000は、エピタキシャル堆積チャンバ内で基板を局所加熱しうる。
[0073] 工程1010では、基板は処理チャンバのサセプタ上に配設される。幾つかの実施形態では、処理チャンバはエピタキシ堆積チャンバであってよい。処理チャンバは処理チャンバ100又は処理チャンバ200であってよい。工程1020では、基板は回転される。
[0074] 工程1030では、基板の回転位置が検出される。基板の回転位置は、コントローラ及び/又はセンサによって受信されうるが、これらはカメラ又は均等物、或いは熱センサであってもよい。回転位置は、処理チャンバ内での基板の配置及び/又は位置決め、且つ/或いは、サセプタの配置及び/又は位置決めを示しうる。回転位置はまた、処理チャンバ内での基板及び/又はサセプタの速度及び/又はタイミングを示しうる。
[0075] 工程1040では、基板の回転位置が第1のターゲット位置に到達すると、レーザー源などの高エネルギー源の照射が開始される。レーザー源はダイオードレーザー源であってよい。高エネルギー源は、基板の中心領域から約100mmから約120mmの間の第1の場所で、処理チャンバに連結される。レーザー照射はダイオードレーザー源から開始されうる。レーザー照射は任意の長さの時間続きうるが、ある種の実施形態では、一定の照射及び/又はパルス照射になりうる。上述のように、レーザーの照射は基板のエリア、部分、又は特定の領域を加熱しうる。更には、ダイオードレーザー源からチャンバの第1の領域までの光を方向転換しうるダイオードレーザー源の照射によって、レーザー源からの光は第1の領域を調整及び/又は加熱することができる。幾つかの実施形態では、チャンバの第1の領域は、チャンバのリフトピンに連結された基板の領域を含みうる。このように、基板上の低温スポットを減らすため、光はリフトピンに連結された基板の領域を調整及び/又は加熱しうる。更に、他の種類のレーザー又はレーザー源、その中でも例えばファイバレーザーが利用されうることが企図されている。
[0076] 工程1050では、基板の回転位置が第2のターゲット位置に到達すると、高エネルギー源の照射は停止される。第2のターゲット位置は、サセプタの回転位置及び/又は基板の回転位置に基づいて受信されうる。第2のターゲット位置は、コントローラ及び/又はセンサによって受信されうる。第2のターゲット位置は、処理チャンバ内における、基板の配置及び/又は位置決め、これに加えて/或いは、サセプタの配置及び/又は位置決めを示しうる。第2のターゲット位置はまた、処理チャンバ内における、基板及び/又はサセプタの速度及び/又はタイミングを示しうる。方法1000は、基板及び/又はサセプタが処理チャンバ内で更に回転される際に反復されうる。
[0077] 試験が実行され、処理チャンバ内の基板の中心から約105mmから約120mmまでの位置において本書に記載の装置及び方法を利用することにより、基板は最適な状態で回転されるため、図11に示したように抵抗の下降は減少し、基板上の低温スポットは適切に補償される、という結果が示された。このように、基板に移行する大量のエネルギーを制御するため、高エネルギー放射源アセンブリを介して、スポット及び領域の局所的な加熱が行われる。基板のある特定の領域は、処理チャンバ内で基板が回転するにつれて調整され、高エネルギー放射源アセンブリによって、狭い出力帯域が局所加熱を実行するため、その結果、基板プロファイルの谷及び基板プロファイルの***は軽減される。
[0078] 本開示の利点として、基板に関連する低温スポットの数が減少することが挙げられる。基板内の温度の不均一を減らすことで更に、より均一な表面を有する基板が作り出される。基板の品質が向上するという点で、コスト削減も実現される。温度の均一性を極微調整するため、基板の正確な局所加熱も更なる利点として挙げられる。
[0079] 要約すると、本書に記載の実施形態は、処理中に基板を局所加熱するための高エネルギー放射源アセンブリを含むエピタキシャル堆積チャンバを提供する。リフトピンに隣接する場所など、基板の特定の場所を特定の時間間隔で局所加熱するため、エネルギーは、チャンバ内での基板の回転中に、約10mmの領域に集中されうる。高エネルギービームからのエネルギーは、注入基板のアニール時の抵抗プロファイルの下降をもたらしうる。高エネルギー放射源アセンブリは、サセプタの中心領域から約100mmから約120mmの範囲内のサセプタの領域にエネルギーを配向するように位置決めされたダイオードレーザーシステムであってもよい。
[0080] 以上の記述は本開示の実施形態を対象としているが、本開示の基本的な範囲から逸脱せずに本開示の他の実施形態及び更なる実施形態が考案されてよく、本開示の範囲は、以下の特許請求の範囲によって決定される。

Claims (14)

  1. 第1のドームと、
    第2のドームと、
    前記第1のドームと前記第2のドームとの間に配設された基板支持体と、
    前記基板支持体を通じて配設された複数のリフトピンと、
    前記第1のドームの上に配設された第1の複数の加熱素子であって、前記第1のドームが前記第1の複数の加熱素子と前記基板支持体との間に配設される第1の複数の加熱素子と、
    前記第1の複数の加熱素子の上に配設されたリッドと、
    前記リッドに配設されたカバープレートと、
    前記カバープレートの上に配設されたスポット加熱源アセンブリであって、前記基板支持体に向けられた放射スポット加熱源、及び放射源ヘッドからの1つ又は複数の放射ビームの焦点を絞って、前記基板支持体に隣接して約2mmから約20mmの直径を有するビームにするように構成されたレンズを備える、スポット加熱源アセンブリと、
    を備える処理チャンバ。
  2. 前記第2のドームの下方に配設された第2の複数の加熱素子を更に備え、前記第2のドームは前記基板支持体と前記第2の複数の加熱素子との間に配設される、請求項1に記載の処理チャンバ。
  3. 前記スポット加熱源アセンブリはビームスポット加熱源アセンブリであり、前記放射スポット加熱源はビームスポット加熱源であり、前記ビームスポット加熱源は放射ビームを、前記カバープレートの中心領域から半径約90mm〜約130mmの間の前記基板支持体の環状領域に向けて、前記基板の低温領域を局所的に加熱するように位置決めされる、請求項1に記載の処理チャンバ。
  4. 前記ビームスポット加熱源は2つのレーザーダイオードを備える、請求項3に記載の処理チャンバ。
  5. 前記ビームスポット加熱源は、垂直キャビティ面発光レーザーを含む、請求項3に記載の処理チャンバ。
  6. 第1のドームと、
    第2のドームと、
    前記第1のドームと前記第2のドームとの間に配設された基板支持体と、
    前記第1のドームの上に配設された第1の複数の加熱素子であって、前記第1のドームが前記第1の複数の加熱素子と前記基板支持体との間に配設される第1の複数の加熱素子と、
    前記第1の複数の加熱素子の上に配設された支持部材であって、前記第1の複数の加熱素子が前記第1のドームと前記支持部材との間に配設される支持部材と、
    前記支持部材の上に配設された第1の高エネルギー放射スポット加熱源アセンブリであって、
    高エネルギー放射スポット加熱源、及び
    前記高エネルギー放射スポット加熱源アセンブリを前記支持部材に連結するためのブラケット
    を備える第1の高エネルギー放射スポット加熱源アセンブリと
    を備え、前記ブラケットは、前記支持部材に連結された第1の部分、第2の部分及び第3の部分を備え、前記第1の部分と前記第2の部分は第1の角を形成し、前記第2の部分と前記第3の部分は第2の角を形成する、処理チャンバ。
  7. 前記第1の高エネルギー放射スポット加熱源アセンブリは更に、レンズ及び前記レンズを保持する光学ホルダを備え、前記光学ホルダは前記ブラケットに連結されている、請求項6に記載の処理チャンバ。
  8. 前記レンズは非球面レンズである、請求項7に記載の処理チャンバ。
  9. 前記第1の角は鋭角又は鈍角であり、前記第2の角は約90度である、請求項に記載の処理チャンバ。
  10. 前記第1の角は約92度である、請求項に記載の処理チャンバ。
  11. 前記第1の高エネルギー放射スポット加熱源アセンブリは更に、前記ブラケット上に配設されたケージプレート、前記ケージプレートによって固定されたファイバコネクタ、及び前記ファイバコネクタに連結されたファイバを備え、前記ファイバは前記高エネルギー放射スポット加熱源に連結される、請求項6に記載の処理チャンバ。
  12. 前記支持部材の上に配設された第2の高エネルギー放射スポット加熱源を更に備える、請求項6に記載の処理チャンバ。
  13. 第1のドームと、
    第2のドームと、
    前記第1のドームと前記第2のドームとの間に配設された基板支持体と、
    前記第1のドームの上に配設された複数の加熱素子であって、前記第1のドームが複数の加熱素子と前記基板支持体との間に配設される複数の加熱素子と、
    前記複数の加熱素子の上に配設された支持部材であって、前記複数の加熱素子が前記第1のドームと前記支持部材との間に配設される支持部材と、
    前記支持部材の上に形成された軌道上に移動可能に放射方向で配設される高エネルギー放射スポット加熱源アセンブリであって、高エネルギー放射スポット加熱源を有する高エネルギー放射スポット加熱源アセンブリと
    を備える処理チャンバ。
  14. 前記高エネルギー放射スポット加熱源アセンブリは更に、レンズ、前記レンズを保持する光学ホルダ、及びブラケットを備え、前記光学ホルダは前記ラケットに連結されており、前記ブラケットは前記支持部材に連結されている、請求項13に記載の処理チャンバ。
JP2018517773A 2015-10-09 2016-10-07 処理のためのウエハ加熱用ダイオードレーザー Active JP6840138B2 (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
IN5420/CHE/2015 2015-10-09
IN5420CH2015 2015-10-09
US201562262980P 2015-12-04 2015-12-04
US62/262,980 2015-12-04
PCT/US2016/056111 WO2017062852A1 (en) 2015-10-09 2016-10-07 Diode laser for wafer heating for epi processes

Publications (2)

Publication Number Publication Date
JP2018535545A JP2018535545A (ja) 2018-11-29
JP6840138B2 true JP6840138B2 (ja) 2021-03-10

Family

ID=58488724

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2018517773A Active JP6840138B2 (ja) 2015-10-09 2016-10-07 処理のためのウエハ加熱用ダイオードレーザー

Country Status (8)

Country Link
US (1) US11171023B2 (ja)
EP (2) EP3360155B1 (ja)
JP (1) JP6840138B2 (ja)
KR (2) KR102652337B1 (ja)
CN (3) CN114864450A (ja)
HU (1) HUE060525T2 (ja)
TW (1) TWI692047B (ja)
WO (1) WO2017062852A1 (ja)

Families Citing this family (325)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
TWI671792B (zh) 2016-12-19 2019-09-11 荷蘭商Asm知識產權私人控股有限公司 基板處理設備
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) * 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102462263B1 (ko) * 2017-10-30 2022-11-02 어플라이드 머티어리얼스, 인코포레이티드 Epi에서의 다중 구역 스폿 가열
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
JP7124098B2 (ja) 2018-02-14 2022-08-23 エーエスエム・アイピー・ホールディング・ベー・フェー 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
CN117038498A (zh) * 2018-02-23 2023-11-10 应用材料公司 通过脉冲或轮廓点加热执行的外延(epi)厚度调节
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
JP7039722B2 (ja) * 2018-03-20 2022-03-22 マトソン テクノロジー インコーポレイテッド 熱処理システムにおける局所加熱のための支持板
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI843623B (zh) 2018-05-08 2024-05-21 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11177144B2 (en) * 2018-06-04 2021-11-16 Applied Materials, Inc. Wafer spot heating with beam width modulation
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
JP7515411B2 (ja) 2018-06-27 2024-07-12 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR102686758B1 (ko) 2018-06-29 2024-07-18 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210089079A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 채널형 리프트 핀
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
JP7370293B2 (ja) * 2020-03-31 2023-10-27 本田技研工業株式会社 レーザ加工装置及びレーザ加工方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
JP2021177545A (ja) 2020-05-04 2021-11-11 エーエスエム・アイピー・ホールディング・ベー・フェー 基板を処理するための基板処理システム
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
US11842907B2 (en) * 2020-07-08 2023-12-12 Applied Materials, Inc. Spot heating by moving a beam with horizontal rotary motion
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
JP7528396B2 (ja) 2020-08-25 2024-08-06 国立大学法人東北大学 レーザ加熱処理装置
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
US12033874B2 (en) * 2020-09-03 2024-07-09 Applied Materials, Inc. EPI chamber with full wafer laser heating
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
US11359972B2 (en) 2020-09-15 2022-06-14 Applied Materials, Inc. Temperature calibration with band gap absorption method
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
KR20230070035A (ko) * 2021-02-11 2023-05-19 어플라이드 머티어리얼스, 인코포레이티드 챔버 내 저항성 가열 엘리먼트에 대한 챔버 본체 피드스루
CN112967958A (zh) * 2021-04-02 2021-06-15 盛吉盛(宁波)半导体科技有限公司 一种外延膜生长设备及分离方法
US20220322492A1 (en) * 2021-04-06 2022-10-06 Applied Materials, Inc. Epitaxial deposition chamber
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
US20220367216A1 (en) * 2021-05-11 2022-11-17 Applied Materials, Inc. Multi-zone lamp heating and temperature monitoring in epitaxy process chamber
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US20230341186A1 (en) * 2022-04-26 2023-10-26 Applied Materials, Inc. Air shrouds with integrated heat exchanger

Family Cites Families (44)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4573791A (en) * 1979-04-03 1986-03-04 Optimetrix Corporation Step-and-repeat projection alignment and exposure system
FR2532783A1 (fr) * 1982-09-07 1984-03-09 Vu Duy Phach Machine de traitement thermique pour semiconducteurs
DE4109956A1 (de) * 1991-03-26 1992-10-01 Siemens Ag Verfahren zum kurzzeittempern einer halbleiterscheibe durch bestrahlung
US5407119A (en) * 1992-12-10 1995-04-18 American Research Corporation Of Virginia Laser brazing for ceramic-to-metal joining
US5444217A (en) * 1993-01-21 1995-08-22 Moore Epitaxial Inc. Rapid thermal processing apparatus for processing semiconductor wafers
US5874711A (en) * 1997-04-17 1999-02-23 Ag Associates Apparatus and method for determining the temperature of a radiating surface
US6197117B1 (en) 1997-07-23 2001-03-06 Applied Materials, Inc. Wafer out-of-pocket detector and susceptor leveling tool
US6027244A (en) * 1997-07-24 2000-02-22 Steag Rtp Systems, Inc. Apparatus for determining the temperature of a semi-transparent radiating body
US6528397B1 (en) * 1997-12-17 2003-03-04 Matsushita Electric Industrial Co., Ltd. Semiconductor thin film, method of producing the same, apparatus for producing the same, semiconductor device and method of producing the same
US6771895B2 (en) * 1999-01-06 2004-08-03 Mattson Technology, Inc. Heating device for heating semiconductor wafers in thermal processing chambers
TW425635B (en) * 1999-08-23 2001-03-11 Promos Technologies Inc Rapid thermal processing method and its device
US6531681B1 (en) * 2000-03-27 2003-03-11 Ultratech Stepper, Inc. Apparatus having line source of radiant energy for exposing a substrate
US6970644B2 (en) * 2000-12-21 2005-11-29 Mattson Technology, Inc. Heating configuration for use in thermal processing chambers
US7154066B2 (en) * 2002-11-06 2006-12-26 Ultratech, Inc. Laser scanning apparatus and methods for thermal processing
JP2005129674A (ja) * 2003-10-23 2005-05-19 Canon Inc 走査露光装置およびデバイス製造方法
US7158221B2 (en) * 2003-12-23 2007-01-02 Applied Materials, Inc. Method and apparatus for performing limited area spectral analysis
US7129440B2 (en) * 2004-11-12 2006-10-31 Applied Materials, Inc. Single axis light pipe for homogenizing slow axis of illumination systems based on laser diodes
US7642205B2 (en) * 2005-04-08 2010-01-05 Mattson Technology, Inc. Rapid thermal processing using energy transfer layers
US7135392B1 (en) * 2005-07-20 2006-11-14 Applied Materials, Inc. Thermal flux laser annealing for ion implantation of semiconductor P-N junctions
US20080017117A1 (en) * 2006-07-18 2008-01-24 Jeffrey Campbell Substrate support with adjustable lift and rotation mount
US7674999B2 (en) * 2006-08-23 2010-03-09 Applied Materials, Inc. Fast axis beam profile shaping by collimation lenslets for high power laser diode based annealing system
US20090032828A1 (en) * 2007-08-03 2009-02-05 Philips Lumileds Lighting Company, Llc III-Nitride Device Grown on Edge-Dislocation Template
US8398777B2 (en) * 2008-05-02 2013-03-19 Applied Materials, Inc. System and method for pedestal adjustment
TWI395272B (zh) 2008-05-02 2013-05-01 Applied Materials Inc 用於旋轉基板之非徑向溫度控制系統
US8726837B2 (en) 2008-06-23 2014-05-20 Applied Materials, Inc. Semiconductor process chamber vision and monitoring system
US8254767B2 (en) * 2008-08-29 2012-08-28 Applied Materials, Inc. Method and apparatus for extended temperature pyrometry
US8314369B2 (en) * 2008-09-17 2012-11-20 Applied Materials, Inc. Managing thermal budget in annealing of substrates
ES1071023Y (es) 2009-05-25 2010-03-04 Veridentia S L Dispositivo con cierre electromecanico para la distribucion segura de hemocomponentes o farmacos
US20110185969A1 (en) 2009-08-21 2011-08-04 Varian Semiconductor Equipment Associates, Inc. Dual heating for precise wafer temperature control
US8999798B2 (en) * 2009-12-17 2015-04-07 Applied Materials, Inc. Methods for forming NMOS EPI layers
US9650726B2 (en) 2010-02-26 2017-05-16 Applied Materials, Inc. Methods and apparatus for deposition processes
JP5558985B2 (ja) * 2010-09-16 2014-07-23 大日本スクリーン製造株式会社 熱処理装置
TWI435391B (zh) * 2010-09-16 2014-04-21 Dainippon Screen Mfg 閃光熱處理裝置
US8958061B2 (en) * 2011-05-31 2015-02-17 Veeco Instruments Inc. Heated wafer carrier profiling
KR20130037688A (ko) * 2011-09-01 2013-04-16 비코 인스트루먼츠 인코포레이티드 열 특징부를 갖는 웨이퍼 캐리어
JP5964626B2 (ja) * 2012-03-22 2016-08-03 株式会社Screenホールディングス 熱処理装置
US9905444B2 (en) 2012-04-25 2018-02-27 Applied Materials, Inc. Optics for controlling light transmitted through a conical quartz dome
WO2014050979A1 (ja) 2012-09-26 2014-04-03 株式会社日立国際電気 半導体装置の製造方法、基板処理装置および記録媒体
JP6084479B2 (ja) * 2013-02-18 2017-02-22 株式会社Screenホールディングス 熱処理方法、熱処理装置およびサセプター
US9499909B2 (en) 2013-03-15 2016-11-22 Applied Materials, Inc. Methods for photo-excitation of precursors in epitaxial processes using a rotary scanning unit
US9443728B2 (en) * 2013-08-16 2016-09-13 Applied Materials, Inc. Accelerated relaxation of strain-relaxed epitaxial buffers by use of integrated or stand-alone thermal processing
US9263265B2 (en) * 2013-08-30 2016-02-16 Applied Materials, Inc. Crystallization of amorphous films and grain growth using combination of laser and rapid thermal annealing
KR102343226B1 (ko) * 2014-09-04 2021-12-23 삼성전자주식회사 스팟 히터 및 이를 이용한 웨이퍼 클리닝 장치
JP6985249B2 (ja) * 2015-07-29 2021-12-22 アプライド マテリアルズ インコーポレイテッドApplied Materials, Incorporated 回転基板レーザアニール

Also Published As

Publication number Publication date
US20170103907A1 (en) 2017-04-13
TWI692047B (zh) 2020-04-21
HUE060525T2 (hu) 2023-03-28
KR20240045360A (ko) 2024-04-05
CN108140597A (zh) 2018-06-08
CN108140597B (zh) 2022-08-05
KR102652337B1 (ko) 2024-03-29
JP2018535545A (ja) 2018-11-29
EP4138121A1 (en) 2023-02-22
TW201724320A (zh) 2017-07-01
WO2017062852A1 (en) 2017-04-13
EP3360155A1 (en) 2018-08-15
EP3360155A4 (en) 2019-06-12
US11171023B2 (en) 2021-11-09
KR20180054894A (ko) 2018-05-24
EP3360155B1 (en) 2022-10-05
CN115206844A (zh) 2022-10-18
CN114864450A (zh) 2022-08-05

Similar Documents

Publication Publication Date Title
JP6840138B2 (ja) 処理のためのウエハ加熱用ダイオードレーザー
US9029739B2 (en) Apparatus and methods for rapid thermal processing
US11177144B2 (en) Wafer spot heating with beam width modulation
KR102126119B1 (ko) 열처리 방법
US11842907B2 (en) Spot heating by moving a beam with horizontal rotary motion
JP6578297B2 (ja) 改良された熱処理チャンバ
JP2016225429A (ja) 熱処理装置
JP6138610B2 (ja) 熱処理装置
JP5964630B2 (ja) 熱処理装置
KR102009864B1 (ko) 기판 처리 장치
JP6814572B2 (ja) 熱処理装置
TWI724822B (zh) 用於epi製程之晶圓加熱的二極體雷射
JP6438326B2 (ja) 熱処理装置
JP2022053056A (ja) 加熱装置及び加熱方法
CN111630650A (zh) 通过脉冲或轮廓点加热执行的外延(epi)厚度调节
KR20210029671A (ko) 기판 처리 장치 및 기판 처리 방법
JP2012054257A (ja) 基板処理装置の調整方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20190925

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20200923

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20200917

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20201223

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20210119

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20210216

R150 Certificate of patent or registration of utility model

Ref document number: 6840138

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250