JP6534592B2 - Scan test circuit and scan test apparatus - Google Patents

Scan test circuit and scan test apparatus Download PDF

Info

Publication number
JP6534592B2
JP6534592B2 JP2015186035A JP2015186035A JP6534592B2 JP 6534592 B2 JP6534592 B2 JP 6534592B2 JP 2015186035 A JP2015186035 A JP 2015186035A JP 2015186035 A JP2015186035 A JP 2015186035A JP 6534592 B2 JP6534592 B2 JP 6534592B2
Authority
JP
Japan
Prior art keywords
scan test
signal
terminal
reset
output
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2015186035A
Other languages
Japanese (ja)
Other versions
JP2017059185A (en
Inventor
康幸 仁和
康幸 仁和
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Toshiba Information Systems Japan Corp
Original Assignee
Toshiba Information Systems Japan Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Toshiba Information Systems Japan Corp filed Critical Toshiba Information Systems Japan Corp
Priority to JP2015186035A priority Critical patent/JP6534592B2/en
Publication of JP2017059185A publication Critical patent/JP2017059185A/en
Application granted granted Critical
Publication of JP6534592B2 publication Critical patent/JP6534592B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Description

この発明は、LSIテストに用いることのできるスキャンテスト回路及びスキャンテスト装置に関するものである。   The present invention relates to a scan test circuit and a scan test apparatus that can be used for LSI testing.

従来、テスト容易化設計(Design for Test:DFT)の設計段階では、スキャンテスト回路構成として内製ツールのATPG(Automatic Test Pattern Generation)が用いられている。このATPGでは、非同期リセットのリセット動作をテスト回路にて抑止(除外)していた。   Conventionally, in the design stage of Design for Test (DFT), ATPG (Automatic Test Pattern Generation), which is an internally manufactured tool, is used as a scan test circuit configuration. In this ATPG, the reset operation of the asynchronous reset is suppressed (excluded) by the test circuit.

上記のように、FF(フリップフロップ)レジスタの非同期リセット動作を抑止しすることで、スキャンテストモードにおいて、スキャンシフト動作、システム動作によるキャプチャもFFレジスタ間のデータ経路のみを故障検出対象とすることでATPGのパターン生成を行い故障検出率の算出を行っていた。   As described above, in the scan test mode, the scan shift operation and the capture by the system operation also target only the data path between the FF registers as a failure detection target by suppressing the asynchronous reset operation of the FF (flip flop) register. In the above, ATPG pattern generation was performed to calculate the failure detection rate.

それ以降の改善によって、EDAベンダのATPGでは、外部操作のテスト端子を追加することでレジスタの非同期リセット動作を検出できるように構成されている。   Since further improvement, the ATPG of EDA vendor is configured to be able to detect the asynchronous reset operation of the register by adding the test terminal of the external operation.

この構成では、ATPGのパターン発生アルゴリズムは、スキャンシフトレジスタのシフト動作の検証を目的に、全てのレジスタにALL0とALL1の設定の他に、ALL1の設定後に非同期リセットを掛けることが可能となっている。この構成によって、FFレジスタ単体の動作を確認し、以後はスキャンシフトレジスタに色々なデータをセットしシステム動作のキャプチャ動作にて、レジスタ間の組み合わせ回路の検証やディレイ値の検証を行うことが可能となっている。   In this configuration, the ATPG pattern generation algorithm can perform asynchronous reset after setting ALL 1 in addition to setting ALL 0 and ALL 1 for all registers in order to verify the shift operation of the scan shift register. There is. With this configuration, it is possible to confirm the operation of the FF register alone, and thereafter set various data in the scan shift register and verify the combinational circuit between the registers and verify the delay value in the capture operation of the system operation. It has become.

しかしながら、非同期リセット経路を故障検出の対象とするために、シフト動作後のシステム動作にて非同期リセット経路の開放を行うと、FFレジスタの非同期リセット経路を貫通して、シフト動作にてセットした設定を次々とクリアしデータを破壊することになる。また、シフト動作にてセットしたリセット条件が他のレジスタ設定によって解除される恐れがあり、せっかくのリセット動作がハザードとなりかねないこととなる。   However, if the asynchronous reset path is released in the system operation after the shift operation in order to set the asynchronous reset path as a target of failure detection, the setting that is set by the shift operation is passed through the asynchronous reset path of the FF register. To clear the data one after another. In addition, there is a possibility that the reset condition set in the shift operation may be canceled by other register settings, which may cause a hazard.

このことがATPGツールのパターン生成の障害と考えられ、非同期リセットの経路の故障検出を100%出来ない理由となっている。つまり、テスト回路においては、レジスタ出力から非同期リセットの経路を除外するようにしており、効率用よくテストを行っているとは言いがたいものである。   This is considered to be a failure in pattern generation of the ATPG tool, which is the reason why failure detection of the asynchronous reset path can not be 100%. That is, in the test circuit, the path of the asynchronous reset is excluded from the register output, and it can not be said that testing is performed efficiently.

テストの対象でないロジック回路の出力信号のフリップフロップをリセットないしセットし、テストの対象であるロジック回路の入力信号を固定化するようにして、論理的にテストの対象であるロジック回路の入力信号を固定化する回路設計を避けるようにしたスキャンテスト回路が特許文献1に示されている。   The flip-flops of the output signal of the logic circuit not to be tested are reset or set, and the input signal of the logic circuit to be tested is fixed to logically input the signal of the logic circuit to be tested. Patent Document 1 discloses a scan test circuit designed to avoid circuit design to be fixed.

また、スキャンパステストと通常動作のモード切換を制御する制御信号を入力する制御端子と、フリップフロップの状態をセットリセットするセット端子とからの信号をそれぞれ入力し、前記制御信号がスキャンパスモードを示しているときには、前記セット端子に入力されたセット信号の伝達を抑止し、前記入力されたセット信号により、前記フリップフロップの状態がセットされないように制御する論理回路を備えているスキャンパス回路が特許文献2に示されている。   Also, signals from a control terminal for inputting control signals for controlling the mode switching of scan path test and normal operation, and a set terminal for setting and resetting the state of the flip flop are respectively inputted, and the control signals are for scan path mode. When it is shown, the scan path circuit includes a logic circuit that inhibits transmission of the set signal input to the set terminal and controls the state of the flip flop not to be set by the input set signal. It is shown in Patent Document 2.

更に、各スキャンチェーン上の先頭のフリップフロップに、該接続された各スキャンチェーン上の各フリップフロップにデータをセットするデータセット用の非同期セットリセット付きフリップフロップを接続し、該非同期セットリセット付きフリップフロップの非同期セット/リセット端子を、制御回路にて制御するように構成したスキャンテスト回路が特許文献3に示されている。   Furthermore, to the first flip-flop on each scan chain, a flip-flop with an asynchronous set reset for setting data to each flip-flop on each connected scan chain is connected, and the flip-flop with asynchronous set reset is connected Patent Document 3 discloses a scan test circuit configured to control an asynchronous set / reset terminal of a clock by a control circuit.

特開平10−111345号公報Japanese Patent Application Laid-Open No. 10-111345 特開2003−43108号公報JP 2003-43108 A 特開2005−331480号公報JP, 2005-331480, A

しかしながら、上記いずれの従来技術も、FFレジスタの非同期リセット経路を貫通して、シフト動作にてセットした設定を次々とクリアしデータを破壊することを防止し得る対策に関するものではなく、非同期リセットの経路の故障検出を適切に行い得る構成のものではなかった。   However, none of the above-described conventional techniques are concerned with measures that can prevent the data reset by successively clearing the setting set in the shift operation through the asynchronous reset path of the FF register, and the asynchronous reset It has not been configured to properly detect the failure of the route.

本発明は、上記のようなスキャンテスト回路の現状に鑑みてなされたもので、その目的は、FFレジスタの非同期リセット経路を貫通して、シフト動作にてセットした設定を次々とクリアしデータを破壊することのないスキャンテスト回路を提供することである。また、非同期リセットの経路の故障検出を適切に行い得る構成のスキャンテスト回路を提供することを目的とする。   The present invention has been made in view of the current state of the scan test circuit as described above, and its object is to penetrate the asynchronous reset path of the FF register and successively clear the setting set in the shift operation to successively carry out the data. It is an object of the present invention to provide a scan test circuit that does not break down. Another object of the present invention is to provide a scan test circuit having a configuration that can properly detect a fault in an asynchronous reset path.

本発明に係るスキャンテスト回路は、通常入力とスキャン入力のいずれかを選択するためのマルチプレクサと、前記マルチプレクサの出力を受けると共にリセット信号によりセット/リセット可能なフリップフロップと、ラッチイネーブル端子を有し、このラッチイネーブル端子にラッチイネーブル信号がアクティブレベルで与えられたときに前記フリップフロップの出力端子からの信号をラッチし、前記ラッチイネーブル信号がインアクティブレベルのときに前記フリップフロップの出力端子からの信号を素通りさせるLDラッチ回路であって、前記リセット信号が与えられないLDラッチ回路と、を具備し、前記フリップフロップをリセットする場合には、その前に、当該フリップフロップに接続された前記LDラッチ回路の前記ラッチイネーブル端子にラッチイネーブル信号をアクティブレベルとして与え、前記フリップフロップの出力端子からの信号をラッチ可能であることを特徴とする。
A scan test circuit according to the present invention has a multiplexer for selecting either a normal input or a scan input, a flip-flop that receives an output of the multiplexer and can be set / reset by a reset signal, and a latch enable terminal. When the latch enable signal is applied to the latch enable terminal at an active level, the signal from the output terminal of the flip flop is latched, and when the latch enable signal is at an inactive level, the signal from the output terminal of the flip flop is An LD latch circuit for passing a signal, wherein the LD latch circuit to which the reset signal is not applied is provided, and in the case of resetting the flip flop, the LD connected to the flip flop prior to the reset Said latch of the latch circuit Giving a latch enable signal as an active level to enable terminal, wherein the signal from the output terminal of the flip-flop is latchable.

本発明に係るスキャンテスト回路では、前記フリップフロップがリセット端子付きのフリップフロップであることを特徴とする。   In the scan test circuit according to the present invention, the flip flop is a flip flop with a reset terminal.

本発明に係るスキャンテスト回路では、前記フリップフロップがセット端子付きのフリップフロップであることを特徴とする。   In the scan test circuit according to the present invention, the flip flop is a flip flop with a set terminal.

本発明に係るスキャンテスト回路では、リセット信号と前記マルチプレクサの切換制御信号との論理和演算を行い、前記リセット端子へ出力を送出するオアゲートと、前記リセット信号と前記マルチプレクサの出力信号との論理積演算を行い、前記フリップフロップの入力端子へ出力を送出するアンドゲートとを具備することを特徴とする。   In the scan test circuit according to the present invention, a logical OR operation of a reset signal and a switching control signal of the multiplexer is performed, and an OR gate for sending an output to the reset terminal, a logical product of the reset signal and an output signal of the multiplexer. And an AND gate which performs an operation and sends an output to an input terminal of the flip flop.

本発明に係るスキャンテスト回路では、前記LDラッチ回路のQ端子の出力をスキャンテスト回路の出力とすることを特徴とする。   The scan test circuit according to the present invention is characterized in that the output of the Q terminal of the LD latch circuit is an output of the scan test circuit.

本発明に係るスキャンテスト装置は、請求項1乃至5のいずれかに記載のスキャンテスト回路を複数備え、前段のスキャンテスト回路における前記LDラッチ回路のQ端子の出力を後段のスキャンテスト回路における前記マルチプレクサのスキャン入力へ送るように構成したことを特徴とする。   A scan test apparatus according to the present invention comprises a plurality of scan test circuits according to any one of claims 1 to 5, and the output of the Q terminal of the LD latch circuit in the scan test circuit in the previous stage is It is characterized in that it is configured to send to the scan input of the multiplexer.

本発明によれば、シフト動作にてセットした設定を次々とクリアしデータを破壊することを防止できる。また、非同期リセットの経路の故障検出を適切に行い得る。   According to the present invention, it is possible to prevent the destruction of data by successively clearing the setting set by the shift operation. In addition, failure detection of the asynchronous reset path can be properly performed.

本発明の第1の実施形態に係るスキャンテスト回路の構成図。1 is a configuration diagram of a scan test circuit according to a first embodiment of the present invention. 本発明の第1の実施形態に係るスキャンテスト回路を複数用いて構成したスキャンテスト装置の構成図The block diagram of the scan test apparatus comprised using multiple scan test circuits which concern on the 1st Embodiment of this invention 本発明の第1の実施形態に係るスキャンテスト回路からLDラッチ回路を除いたスキャンテスト回路の構成図。FIG. 2 is a configuration diagram of a scan test circuit in which the LD latch circuit is removed from the scan test circuit according to the first embodiment of the present invention. 本発明の第2の実施形態に係るスキャンテスト回路の構成図。FIG. 5 is a configuration diagram of a scan test circuit according to a second embodiment of the present invention. 本発明の第2の実施形態に係るスキャンテスト回路からLDラッチ回路を除いたスキャンテスト回路の構成図。FIG. 6 is a configuration diagram of a scan test circuit in which an LD latch circuit is removed from a scan test circuit according to a second embodiment of the present invention. 本発明の第1の実施形態に係るスキャンテスト回路を用いて非同期リセットを行った場合のタイミングチャート。5 is a timing chart when asynchronous reset is performed using the scan test circuit according to the first embodiment of the present invention. 本発明の第3の実施形態に係るスキャンテスト回路の構成図。FIG. 7 is a configuration diagram of a scan test circuit according to a third embodiment of the present invention. 本発明の第3の実施形態に係るスキャンテスト回路を用いて非同期リセットを行った場合のタイミングチャート。The timing chart at the time of performing an asynchronous reset using the scan test circuit which concerns on the 3rd Embodiment of this invention.

以下添付図面を参照して、本発明に係るスキャンテスト回路及びスキャンテスト装置の実施形態を説明する。各図において、同一の構成要素には、同一の符号を付して重複する説明を省略する。   Hereinafter, embodiments of a scan test circuit and a scan test apparatus according to the present invention will be described with reference to the attached drawings. In each of the drawings, the same components are denoted by the same reference numerals and redundant description will be omitted.

図1に第1の実施形態に係るスキャンテスト回路100の構成図を示す。このスキャンテスト回路100は、マルチプレクサ10とフリップフロップ20とLDラッチ回路30とを備えている。マルチプレクサ10は、通常入力信号Dを受ける入力端子A0とスキャンイン入力信号TIを受ける入力端子A1とを備え、制御入力端子Sに与えられるスキャンテストイネーブル信号TEによって通常入力信号Dとスキャンイン入力信号TIのいずれかを選択して出力端子Zから出力する。   FIG. 1 shows a configuration diagram of a scan test circuit 100 according to the first embodiment. The scan test circuit 100 includes a multiplexer 10, a flip flop 20 and an LD latch circuit 30. Multiplexer 10 has an input terminal A0 for receiving normal input signal D and an input terminal A1 for receiving scan-in input signal TI, and a scan test enable signal TE applied to control input terminal S causes a normal input signal D and a scan-in input signal Select one of TI and output from output terminal Z.

フリップフロップ20は、リセット端子CDに与えられるリセット信号CDによりリセット可能なフリップフロップである。フリップフロップ20のデータ入力端子Dとマルチプレクサ10の出力端子Zが接続されている。フリップフロップ20のクロック端子CPに到来するクロック信号CKに同期してマルチプレクサ10の出力信号の出力信号を取り込む。   The flip flop 20 is a flip flop that can be reset by a reset signal CD applied to a reset terminal CD. The data input terminal D of the flip flop 20 and the output terminal Z of the multiplexer 10 are connected. The output signal of the output signal of the multiplexer 10 is taken in synchronization with the clock signal CK arriving at the clock terminal CP of the flip flop 20.

フリップフロップ20の出力端子Qは、LDラッチ回路30のデータ入力端子Dと接続されている。このLDラッチ回路30はトランスペアレントタイプなどと称されるラッチ回路であり、ラッチイネーブル端子GNを有し、ラッチイネーブル端子GNにラッチイネーブル信号TG(Hレベル)が与えられたときにフリップフロップ20の出力端子Qからの信号をラッチし、ラッチイネーブル信号TGがLレベルのときにフリップフロップ20の出力端子Qからの信号を素通りさせる。LDラッチ回路30の出力端子Qの出力信号Qと、出力信号Qを論理的に反転させた信号の出力端子QNの出力信号QNとが、このスキャンテスト回路100の出力信号となっている。   The output terminal Q of the flip flop 20 is connected to the data input terminal D of the LD latch circuit 30. The LD latch circuit 30 is a latch circuit of a transparent type or the like, has a latch enable terminal GN, and the output of the flip flop 20 when a latch enable signal TG (H level) is applied to the latch enable terminal GN. The signal from the terminal Q is latched, and the signal from the output terminal Q of the flip flop 20 is passed when the latch enable signal TG is at L level. The output signal Q of the output terminal Q of the LD latch circuit 30 and the output signal QN of the output terminal QN of a signal obtained by logically inverting the output signal Q are output signals of the scan test circuit 100.

図2のように組み合わせ回路200のスキャンテストを行うためには、上記構成のスキャンテスト回路100を複数用い、これらを図2のように縦続接続したシフトレジスタを構成することによりスキャンテスト装置1を得る。即ち、前段のスキャンテスト回路100におけるLDラッチ回路30のQ端子の出力を後段のスキャンテスト回路100におけるマルチプレクサ10のスキャンイン入力へ送るように接続する。   In order to perform the scan test of the combinational circuit 200 as shown in FIG. 2, a plurality of scan test circuits 100 of the above configuration are used, and the scan test apparatus 1 is configured by configuring a shift register in which these are cascaded as shown in FIG. obtain. That is, the output of the Q terminal of the LD latch circuit 30 in the scan test circuit 100 at the front stage is connected to be sent to the scan-in input of the multiplexer 10 in the scan test circuit 100 at the rear stage.

各スキャンテスト回路100のマルチプレクサ10の入力端子A0によって、組み合わせ回路200の出力を受ける。上記構成のスキャンテスト回路100では、スキャンテストイネーブル信号TEをHレベルとして、スキャンモードにし、スキャンイン入力信号TIからフリップフロップ20にスキャンイン入力信号TIによりスキャンテストパターンの設定を行う。   The output of the combinational circuit 200 is received by the input terminal A0 of the multiplexer 10 of each scan test circuit 100. In the scan test circuit 100 configured as described above, the scan test enable signal TE is set to the H level to set the scan test pattern from the scan in input signal TI to the flip flop 20 using the scan in input signal TI.

スキャンテストパターンは、第1段目のスキャンテスト回路100−1から入力し、フリップフロップ20のクロック端子CPにクロック信号CKを与えてシフトし、スキャンテスト回路100−1〜100−nのフリップフロップ20にスキャンテストパターンが設定されるようにする。   The scan test pattern is input from the scan test circuit 100-1 of the first stage, shifted by applying the clock signal CK to the clock terminal CP of the flip flop 20, and the flip flops of the scan test circuits 100-1 to 100-n. Set the scan test pattern to 20.

次に、キャプチャを行う。即ち、スキャンテストイネーブル信号TEをLレベルとして、システムモード(通常モード)にし、クロック信号CKにより組み合わせ回路200の出力をスキャンテスト回路100−1〜100−nのフリップフロップ20において受ける。   Next, capture. That is, the scan test enable signal TE is set to L level to set the system mode (normal mode), and the output of the combination circuit 200 is received by the flip-flops 20 of the scan test circuits 100-1 to 100-n by the clock signal CK.

次に、スキャンテストイネーブル信号TEをHレベルとして、スキャンモードにし、フリップフロップ20のクロック端子CPにクロック信号CKを与えてシフトし、スキャンテスト回路100−nの出力端子から結果をスキャンアウトして、期待値(故障のないパターン)と比較する。   Next, the scan test enable signal TE is set to the H level to enter the scan mode, the clock signal CK is applied to the clock terminal CP of the flip flop 20 for shifting, and the result is scanned out from the output terminal of the scan test circuit 100-n. , And expected value (pattern without failure).

例えば、上記のスキャンテストパターンを設定するときに、スキャンテスト回路100−1〜100−nの所要フリップフロップ20をリセットする場合には、その前に、当該フリップフロップ20に接続されたLDラッチ回路30のラッチイネーブル端子GNにラッチイネーブル信号TGをHレベルとして与え、フリップフロップ20の出力端子Qからの信号をラッチする。   For example, when resetting the required flip flops 20 of the scan test circuits 100-1 to 100-n when setting the above-mentioned scan test pattern, the LD latch circuit connected to the flip flops 20 before that is reset The latch enable signal TG is applied as an H level to the latch enable terminal GN of 30 and the signal from the output terminal Q of the flip flop 20 is latched.

上記のラッチが行われた後に、所要フリップフロップ20をリセットしても、所要フリップフロップ20がリセットされるが、当該スキャンテスト回路100ではリセット前に設定されていたスキャンテストパターンがLDラッチ回路30に保持され、スキャンテストパターンのクリアという事態を防止することができる。しかも、LDラッチ回路30によるラッチによって所要フリップフロップ20がリセットされても、次段にリセットが伝わることなく、内部リセット信号生成のハザード対策とすることができる。これにより、ユーザパターン生成の困難を回避し、テスト検証の効率改善を図ることができる。   Although the required flip flop 20 is reset even if the required flip flop 20 is reset after the above latch is performed, in the scan test circuit 100, the scan test pattern set before the reset is the LD latch circuit 30. And can prevent the situation of clearing the scan test pattern. In addition, even if the required flip-flop 20 is reset by the latch by the LD latch circuit 30, the reset can not be transmitted to the next stage, which can be a countermeasure against the hazard of the internal reset signal generation. This makes it possible to avoid the difficulty of user pattern generation and to improve the efficiency of test verification.

上記の効果は、本実施形態のスキャンテスト回路100から上記LDラッチ回路30を除去した構成の図3に示すスキャンテスト回路90では、フリップフロップ20がリセットされると、スキャンテスト回路90の出力信号Qがリセットされた出力信号となり、次段にリセットが伝わることから、内部リセット信号生成のハザードが生じかねないこととなる回路との対比から明らかである。   The above-described effect is obtained in the scan test circuit 90 shown in FIG. 3 in which the LD latch circuit 30 is removed from the scan test circuit 100 of this embodiment, the output signal of the scan test circuit 90 when the flip flop 20 is reset. Since Q is an output signal that has been reset and the reset is transmitted to the next stage, it is clear from the comparison with a circuit that may cause a hazard of internal reset signal generation.

図4に、第2の実施形態に係るスキャンテスト回路100Aの構成を示す。このスキャンテスト回路100Aは、第1の実施形態に係るスキャンテスト回路100のフリップフロップ20に代えてセット端子付きのフリップフロップ40を用いたものである。このフリップフロップ40は、セット端子SDを備えており、セット端子SDに与えられるリセット信号SDによりセット可能なフリップフロップである。   FIG. 4 shows the configuration of a scan test circuit 100A according to the second embodiment. The scan test circuit 100A uses a flip flop 40 with a set terminal in place of the flip flop 20 of the scan test circuit 100 according to the first embodiment. The flip flop 40 has a set terminal SD, and is a flip flop that can be set by a reset signal SD applied to the set terminal SD.

第2の実施形態に係るスキャンテスト回路100Aは、上記の構成以外は、第1の実施形態に係るスキャンテスト回路100と同じ構成を有している。この第2の実施形態に係るスキャンテスト回路100Aを複数用いて、図2と同様にスキャンテスト装置を得ることができる。   The scan test circuit 100A according to the second embodiment has the same configuration as the scan test circuit 100 according to the first embodiment except for the above configuration. A plurality of scan test circuits 100A according to the second embodiment can be used to obtain a scan test apparatus as in FIG.

このスキャンテスト装置においても、例えば、スキャンテストパターンの設定のときに、複数のスキャンテスト回路100Aの所要フリップフロップ40をセットする場合には、その前に、当該フリップフロップ40に接続されたLDラッチ回路30のラッチイネーブル端子GNにラッチイネーブル信号TGを与えて、フリップフロップ40の出力端子Qからの信号をラッチする。   Also in this scan test apparatus, for example, when setting the required flip flops 40 of the plurality of scan test circuits 100A at the time of setting the scan test pattern, the LD latch connected to the flip flops 40 before that. A latch enable signal TG is applied to the latch enable terminal GN of the circuit 30 to latch the signal from the output terminal Q of the flip flop 40.

上記のラッチが行われた後に、所要フリップフロップ40をセットしても、所要フリップフロップ40がセットされるが、当該スキャンテスト回路100Aではセット前に設定されていたスキャンテストパターンがLDラッチ回路30に保持され、スキャンテストパターンのクリアという事態を防止することができる。しかも、LDラッチ回路30によるラッチによって所要フリップフロップ40がセットされても、次段にセットが伝わることなく、内部セット信号生成のハザード対策とすることができる。これにより、ユーザパターン生成の困難を回避し、テスト検証の効率改善を図ることができる。   Although the required flip flop 40 is set even after the above latch is performed, the required flip flop 40 is set, but the scan test pattern set before the setting in the scan test circuit 100A is the LD latch circuit 30. And can prevent the situation of clearing the scan test pattern. Moreover, even if the required flip-flop 40 is set by the latch by the LD latch circuit 30, the set can not be transmitted to the next stage, and it is possible to take measures against the hazard of the internal set signal generation. This makes it possible to avoid the difficulty of user pattern generation and to improve the efficiency of test verification.

上記の効果は、この第2の実施形態のスキャンテスト回路100Aから上記LDラッチ回路30を除去した構成の図5に示すスキャンテスト回路110では、フリップフロップ40がセットされると、スキャンテスト回路110の出力信号Qがセットされた出力信号となり、次段にセットが伝わることになり、内部リセット信号生成のハザードが生じかねないこととなる回路との対比から明らかである。   The above-described effect is obtained in the scan test circuit 110 shown in FIG. 5 in which the LD latch circuit 30 is removed from the scan test circuit 100A of the second embodiment, when the flip flop 40 is set. It is clear from the comparison with the circuit that the output signal Q of is output to the set signal and the set is transmitted to the next stage, which may cause a hazard of the internal reset signal generation.

ところで、ATPGツールは、テスト容易化設計(DFT)に対応して回路情報を読み込み、スキャンインのデータパターンと、キャプチャ後のスキャンアウトの期待値を算出するものである。図1の第1の実施形態に係るスキャンテスト回路を用いて期待値を算出する場合に、各部の波形が図6に示すようになると、ATPGの計算において非同期リセットの優先順位を設定することが困難となることが予想される。このような場合には、図1に示す回路を、図7に示されるようなスキャンテスト回路に置き換えてATPGツールを実行する。   By the way, the ATPG tool reads circuit information corresponding to design for testability (DFT), and calculates a scan-in data pattern and an expected value of scan-out after capture. When calculating the expected value using the scan test circuit according to the first embodiment of FIG. 1, when the waveform of each part is as shown in FIG. 6, it is possible to set the priority of asynchronous reset in the calculation of ATPG. It is expected to be difficult. In such a case, the ATPG tool is executed by replacing the circuit shown in FIG. 1 with a scan test circuit as shown in FIG.

図7に示される第3の実施形態に係るスキャンテスト回路100Bは、第1の実施形態に係るスキャンテスト回路100に対し、オアゲート61とアンドゲート62を設ける。オアゲート61は、リセット信号とマルチプレクサ10の切換制御信号との論理和演算を行い、前記リセット端子へ出力を送出するように構成する。アンドゲート62は、前記リセット信号と前記マルチプレクサの出力信号との論理積演算を行い、前記フリップフロップの入力端子へ出力を送出するように構成する。   A scan test circuit 100B according to the third embodiment shown in FIG. 7 includes an OR gate 61 and an AND gate 62 with respect to the scan test circuit 100 according to the first embodiment. The OR gate 61 is configured to perform an OR operation of the reset signal and the switching control signal of the multiplexer 10 and to send an output to the reset terminal. The AND gate 62 is configured to perform an AND operation of the reset signal and the output signal of the multiplexer, and to send an output to the input terminal of the flip flop.

上記の如く構成した第3の実施形態に係るスキャンテスト回路100Bでは、フリップフロップ20をリセットする場合には、その前に、当該フリップフロップ20に接続されたLDラッチ回路30のラッチイネーブル端子GNにラッチイネーブル信号TGを与えて、フリップフロップ20の出力端子Qからの信号をラッチする。   In the scan test circuit 100B according to the third embodiment configured as described above, before resetting the flip flop 20, the latch enable terminal GN of the LD latch circuit 30 connected to the flip flop 20 is reset. The latch enable signal TG is applied to latch the signal from the output terminal Q of the flip flop 20.

上記ラッチイネーブル信号TGに同期して、スキャンテストイネーブル信号TEをLレベルとして、システムモード(通常モード)にし、キャプチャ動作のためのクロック信号CKを送るようにする(図8参照)。   In synchronization with the latch enable signal TG, the scan test enable signal TE is set to the L level to make the system mode (normal mode), and the clock signal CK for the capture operation is sent (see FIG. 8).

上記のラッチが行われた後に、リセット信号CDをイネーブルとする。この結果、オアゲート61からはLレベルの信号がリセット端子CDへ与えられ、アンドゲート62からはLレベルの信号がフリップフロップ20のデータ入力端子Dへ与えられているときに、キャプチャ動作のためのクロック信号CKが到来する。即ち、リセット信号CDによってアンドゲート62の出力を制御し、アンドゲート62の出力を前記フリップフロップの入力端子Dへ与え、キャプチャ動作のためのクロック信号CKで入力取り込みが行われる同期リセット処理と見立てることができる。これによって、ATPGの計算においてリセットの優先順位を設定することが可能となる。   After the above latch is performed, the reset signal CD is enabled. As a result, when the L level signal is applied from the OR gate 61 to the reset terminal CD and the L level signal from the AND gate 62 is applied to the data input terminal D of the flip flop 20, the capture operation is performed. The clock signal CK arrives. That is, the output of the AND gate 62 is controlled by the reset signal CD, the output of the AND gate 62 is given to the input terminal D of the flip flop, and it is assumed that synchronous reset processing is performed. be able to. This makes it possible to set the reset priority in the ATPG calculation.

上記各実施形態の、スキャンテストモード中での回路全体のリセット制御と、非同期リセットの経路のリセット制御について説明する。スキャンテストモード中での回路全体のリセット制御は従来例と同様の構成制御によって行う。また、非同期リセットの経路のリセット制御を行うために新たに非同期リセットの経路のリセット制御用のテスト端子を加える構成を採用することができる。または、従来の回路全体のリセット制御用テスト端子を流用し、全体のリセット制御を諦めて、非同期リセットの経路のリセット制御とするように構成しても良い。更に、リセット制御を切り分け、スキャンシフト中は回路全体のリセット制御とし、システムモード時(キャプチャ時)は非同期リセットの経路のリセット制御とする構成を採用しても良い。   The reset control of the entire circuit in the scan test mode and the reset control of the path of the asynchronous reset in each of the above embodiments will be described. Reset control of the entire circuit in the scan test mode is performed by the same configuration control as that of the conventional example. In addition, a configuration can be adopted in which a test terminal for reset control of the asynchronous reset path is newly added to perform reset control of the asynchronous reset path. Alternatively, a test terminal for reset control of the entire conventional circuit may be diverted, and the entire reset control may be integrated to perform reset control of the path of asynchronous reset. Furthermore, a configuration may be adopted in which reset control is separated, and reset control of the entire circuit is performed during scan shift, and reset control of an asynchronous reset path is performed in the system mode (at the time of capture).

10 マルチプレクサ
20 フリップフロップ
30 LDラッチ回路
40 フリップフロップ
61 オアゲート
62 アンドゲート
90、100、100A、100B スキャンテスト回路
DESCRIPTION OF REFERENCE NUMERALS 10 multiplexer 20 flip flop 30 LD latch circuit 40 flip flop 61 OR gate 62 and gates 90, 100, 100 A, 100 B scan test circuit

Claims (6)

通常入力とスキャン入力のいずれかを選択するためのマルチプレクサと、
前記マルチプレクサの出力を受けると共にリセット信号によりセット/リセット可能なフリップフロップと、
ラッチイネーブル端子を有し、このラッチイネーブル端子にラッチイネーブル信号がアクティブレベルで与えられたときに前記フリップフロップの出力端子からの信号をラッチし、前記ラッチイネーブル信号がインアクティブレベルのときに前記フリップフロップの出力端子からの信号を素通りさせるLDラッチ回路であって、前記リセット信号が与えられないLDラッチ回路と、
を具備し、
前記フリップフロップをリセットする場合には、その前に、当該フリップフロップに接続された前記LDラッチ回路の前記ラッチイネーブル端子にラッチイネーブル信号をアクティブレベルとして与え、前記フリップフロップの出力端子からの信号をラッチ可能であることを特徴とするスキャンテスト回路。
A multiplexer for selecting either normal input or scan input,
A flip-flop that receives the output of the multiplexer and can be set / reset by a reset signal ;
It has a latch enable terminal, and latches the signal from the output terminal of the flip flop when the latch enable signal is applied at the active level to the latch enable terminal, and the flip flop when the latch enable signal is at the inactive level. An LD latch circuit for passing a signal from the output terminal of the flash memory, wherein the reset signal is not given ,
Equipped with
Before resetting the flip-flop, a latch enable signal is applied as an active level to the latch enable terminal of the LD latch circuit connected to the flip-flop, and a signal from the output terminal of the flip-flop is output. scan test circuit, which is a latchable.
前記フリップフロップがリセット端子付きのフリップフロップであることを特徴とする請求項1に記載のスキャンテスト回路。   The scan test circuit according to claim 1, wherein the flip flop is a flip flop with a reset terminal. 前記フリップフロップがセット端子付きのフリップフロップであることを特徴とする請求項1に記載のスキャンテスト回路。   The scan test circuit according to claim 1, wherein the flip flop is a flip flop with a set terminal. リセット信号と前記マルチプレクサの切換制御信号との論理和演算を行い、前記リセット端子へ出力を送出するオアゲートと、
前記リセット信号と前記マルチプレクサの出力信号との論理積演算を行い、前記フリップフロップの入力端子へ出力を送出するアンドゲートと
を具備することを特徴とする請求項2に記載のスキャンテスト回路。
An OR gate that performs an OR operation of a reset signal and a switching control signal of the multiplexer and sends an output to the reset terminal;
3. The scan test circuit according to claim 2, further comprising: an AND gate that performs an AND operation of the reset signal and an output signal of the multiplexer and sending an output to an input terminal of the flip flop.
前記LDラッチ回路のQ端子の出力をスキャンテスト回路の出力とすることを特徴とする請求項1乃至4のいずれか1項に記載のスキャンテスト回路。   The scan test circuit according to any one of claims 1 to 4, wherein an output of a Q terminal of the LD latch circuit is an output of a scan test circuit. 請求項1乃至5のいずれかに記載のスキャンテスト回路を複数備え、
前段のスキャンテスト回路における前記LDラッチ回路のQ端子の出力を後段のスキャンテスト回路における前記マルチプレクサのスキャン入力へ送るように構成したことを特徴とするスキャンテスト装置。
A plurality of scan test circuits according to any one of claims 1 to 5, comprising:
A scan test apparatus characterized in that the output of the Q terminal of the LD latch circuit in the scan test circuit of the former stage is sent to the scan input of the multiplexer in the scan test circuit of the latter stage.
JP2015186035A 2015-09-18 2015-09-18 Scan test circuit and scan test apparatus Active JP6534592B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2015186035A JP6534592B2 (en) 2015-09-18 2015-09-18 Scan test circuit and scan test apparatus

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2015186035A JP6534592B2 (en) 2015-09-18 2015-09-18 Scan test circuit and scan test apparatus

Publications (2)

Publication Number Publication Date
JP2017059185A JP2017059185A (en) 2017-03-23
JP6534592B2 true JP6534592B2 (en) 2019-06-26

Family

ID=58390663

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2015186035A Active JP6534592B2 (en) 2015-09-18 2015-09-18 Scan test circuit and scan test apparatus

Country Status (1)

Country Link
JP (1) JP6534592B2 (en)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109212408B (en) * 2017-06-29 2021-04-02 龙芯中科技术股份有限公司 Scanning unit, and output control method and device of redundant trigger
CN109901049B (en) * 2019-01-29 2021-05-04 厦门码灵半导体技术有限公司 Method and device for detecting asynchronous path in time sequence path for integrated circuit

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5319646A (en) * 1991-09-18 1994-06-07 Ncr Corporation Boundary-scan output cell with non-critical enable path
JP3573692B2 (en) * 2000-07-07 2004-10-06 Necマイクロシステム株式会社 Scan path circuit, scan path circuit generation method, and recording medium recording the program
JP2013019694A (en) * 2011-07-07 2013-01-31 Renesas Electronics Corp Scan test circuit and generation method of scan test circuit

Also Published As

Publication number Publication date
JP2017059185A (en) 2017-03-23

Similar Documents

Publication Publication Date Title
JP5256840B2 (en) Logic circuit
US8479068B2 (en) Decoded register outputs enabling test clock to selected asynchronous domains
JP2009222644A (en) Semiconductor integrated circuit, and design automating system
JP6054597B2 (en) Semiconductor integrated circuit
US20120166860A1 (en) Sequential on-chip clock controller with dynamic bypass for multi-clock domain testing
KR20110117589A (en) System and device for reducing instantaneous voltage droop during a scan shift operation
JP2010276479A (en) Semiconductor integrated circuit and method of testing the same
US11293980B2 (en) Customer-transparent logic redundancy for improved yield
EP1776596B1 (en) Testing of a circuit that has an asynchronous timing circuit
US8145963B2 (en) Semiconductor integrated circuit device and delay fault testing method thereof
US5898702A (en) Mutual exclusivity circuit for use in test pattern application scan architecture circuits
JP6534592B2 (en) Scan test circuit and scan test apparatus
US7240263B2 (en) Apparatus for performing stuck fault testings within an integrated circuit
US9021323B1 (en) Test techniques and circuitry
US9720035B2 (en) Performance-screen ring oscillator (PSRO) using an integrated circuit test signal distribution network
JP2012208029A (en) Scan flip-flop circuit, scan test circuit, and control method thereof
JP2009080037A (en) Scan test circuit
US11017135B2 (en) Scan logic for circuit designs with latches and flip-flops
JP2005308500A (en) Semiconductor integrated circuit device and test method
JP2008292368A (en) Scan-test point circuit and integrated circuit
JP5383588B2 (en) Scan test circuit, semiconductor integrated circuit
JP2006038831A (en) Semiconductor integrated circuit having scan test circuit
JP4610919B2 (en) Semiconductor integrated circuit device
JP2009175154A (en) Semiconductor integrated circuit and its design method
US8539327B2 (en) Semiconductor integrated circuit for testing logic circuit

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20180619

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7422

Effective date: 20180619

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20190222

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20190312

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20190513

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20190528

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20190529

R150 Certificate of patent or registration of utility model

Ref document number: 6534592

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250