JP2009175154A - Semiconductor integrated circuit and its design method - Google Patents

Semiconductor integrated circuit and its design method Download PDF

Info

Publication number
JP2009175154A
JP2009175154A JP2009107219A JP2009107219A JP2009175154A JP 2009175154 A JP2009175154 A JP 2009175154A JP 2009107219 A JP2009107219 A JP 2009107219A JP 2009107219 A JP2009107219 A JP 2009107219A JP 2009175154 A JP2009175154 A JP 2009175154A
Authority
JP
Japan
Prior art keywords
flop
scan
scan flip
flip
circuit
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP2009107219A
Other languages
Japanese (ja)
Inventor
Takashi Ishimura
貴志 石村
Osamu Ichikawa
市川  修
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Panasonic Corp
Original Assignee
Panasonic Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Panasonic Corp filed Critical Panasonic Corp
Priority to JP2009107219A priority Critical patent/JP2009175154A/en
Publication of JP2009175154A publication Critical patent/JP2009175154A/en
Withdrawn legal-status Critical Current

Links

Images

Abstract

<P>PROBLEM TO BE SOLVED: To provide a semiconductor integrated circuit and its design method that can reduce power consumption during a scanning test and logic BIST (built-in self-test) operation while minimizing the increase of a circuit area without affecting a function operation speed. <P>SOLUTION: A scanning flip-flop selected as a flip-flop greatly affecting power consumption during shift operation of a scanning test is corrected to fix an output value to a combination circuit 101 during the shift of the scanning test, and the number of the selected scanning flip-flops is limited by an increment of the area allowed when correcting the circuit, to limit the increase of the area within a tolerance. The function operation of the circuit is not affected by not selecting a flip-flop serving as a starting point of a path between flip-flops that determine the operation speed of the circuit. <P>COPYRIGHT: (C)2009,JPO&INPIT

Description

本発明は、スキャンテスト回路を搭載した半導体集積回路およびその設計方法に関するものである。   The present invention relates to a semiconductor integrated circuit equipped with a scan test circuit and a design method thereof.

従来、半導体集積回路内に含まれる論理回路部分のテストによく使われている方式として、スキャンテスト方式がある。この方式によりスキャンテストを行うためには、半導体集積回路内にスキャンテスト回路を形成する必要があり、このスキャンテスト回路は、半導体集積回路内のスキャンフリップフロップを用いて構成される。   Conventionally, there is a scan test method as a method often used for testing a logic circuit portion included in a semiconductor integrated circuit. In order to perform a scan test by this method, it is necessary to form a scan test circuit in the semiconductor integrated circuit, and this scan test circuit is configured using a scan flip-flop in the semiconductor integrated circuit.

ここで用いられるスキャンフリップフロップは、機能ブロック内の組合せ回路を伝搬してきたデータ信号をフリップフロップに取り込むための入力端子Dと、スキャンテスト用の信号をフリップフロップに取り込むための入力端子DTと、クロック信号を取り込むためのクロック端子CKと、入力端子Dからの信号または入力端子DTからの信号のうちどちらの信号をフリップフロップのデータとして取り込むかを切り替えるための信号が入力される入力端子NTと、データ信号を出力するための出力端子Q、/Q(/は、Qの反転を意味する)を持っている。   The scan flip-flop used here has an input terminal D for taking in the data signal propagated through the combinational circuit in the functional block into the flip-flop, an input terminal DT for taking in the scan test signal into the flip-flop, A clock terminal CK for capturing a clock signal, and an input terminal NT to which a signal for switching which of the signal from the input terminal D or the signal from the input terminal DT is to be captured as flip-flop data is input , Output terminals Q and / Q (/ means inversion of Q) for outputting data signals.

スキャンテスト回路には2つのモードが存在し、このモードはNT端子の値によって切り替えられる。NT端子の信号値が「1(ハイレベル)」の時、シフトモードと呼ばれ、DT信号がフリップフロップのデータとして取り込まれる。一方、NT端子の信号値が「0(ローレベル)」の時、キャプチャーモードと呼ばれ、D信号がフリップフロップのデータとして取り込まれる。   There are two modes in the scan test circuit, and these modes are switched by the value of the NT terminal. When the signal value at the NT terminal is “1 (high level)”, this is called a shift mode, and the DT signal is taken in as data of the flip-flop. On the other hand, when the signal value at the NT terminal is “0 (low level)”, this is called a capture mode, and the D signal is captured as data of the flip-flop.

スキャンテスト回路は、複数のスキャンフリップフロップのDT端子とQ(もしくは/Q)端子を数珠つなぎに順次接続することで、スキャンテスト時のシフトモード(NT=1)のときに、シフトレジスタとして機能するように構成された回路である。またスキャンテスト信号の入力端子として機能する外部入力端子が、シフトレジスタの先頭に配置されるスキャンフリップフロップのDTに接続され、スキャンテスト信号の出力端子として機能する外部出力端子が、シフトレジスタの最終段に配置されるスキャンフリップフロップのQもしくは/Q端子に接続される。スキャンフリップフロップのCK端子およびNT端子は外部入力端子から直接制御される。   The scan test circuit functions as a shift register in the shift mode (NT = 1) during the scan test by sequentially connecting the DT terminals and Q (or / Q) terminals of a plurality of scan flip-flops in a daisy chain. The circuit is configured to do so. The external input terminal that functions as the scan test signal input terminal is connected to the DT of the scan flip-flop disposed at the head of the shift register, and the external output terminal that functions as the scan test signal output terminal is the final terminal of the shift register. It is connected to the Q or / Q terminal of the scan flip-flop arranged in the stage. The CK terminal and the NT terminal of the scan flip-flop are directly controlled from the external input terminal.

このように構成されたスキャンテスト回路は、スキャンフリップフロップがチェーン状に接続されていることからスキャンチェーンとも呼ばれる。スキャンチェーンは1つの半導体集積回路内に複数本あってもよい。   The scan test circuit configured as described above is also called a scan chain because the scan flip-flops are connected in a chain shape. There may be a plurality of scan chains in one semiconductor integrated circuit.

一般にスキャンテストでは、そのテストを開始する場合には、LSIテスタから半導体集積回路のスキャンテスト信号入力端子に対して、スキャンテスト信号を供給する。また、スキャンフリップフロップのCK端子に対するクロック信号とNT端子に対するスキャンイネーブル信号も、LSIテスタから半導体集積回路に対して直接供給する。NT端子にスキャンイネーブル信号として信号値「1」を供給している間に、スキャンチェーンの段数分のクロックを印加することにより、テスト対象のスキャンチェーンを構成する全スキャンフリップフロップに対して、スキャンテスト信号を供給することができる。   Generally, in a scan test, when the test is started, a scan test signal is supplied from an LSI tester to a scan test signal input terminal of a semiconductor integrated circuit. Also, a clock signal for the CK terminal of the scan flip-flop and a scan enable signal for the NT terminal are directly supplied from the LSI tester to the semiconductor integrated circuit. While supplying the signal value “1” as the scan enable signal to the NT terminal, scanning is performed on all scan flip-flops constituting the scan chain to be tested by applying clocks corresponding to the number of stages of the scan chain. A test signal can be provided.

その後、NT端子に対するスキャンイネーブル信号を「0」に切り替え、さらにその後、1クロックを入力することで、機能ブロックからの信号をスキャンフリップフロップのD端子に取り込むことができる。その後、再びスキャンイネーブル信号を「1」に切り替えて、スキャンチェーンの段数分のクロックを印加することにより、スキャンチェーン内にキャプチャーされた値をLSIテスタに取り込むことができる。LSIテスタに取り込んだ後、予めLSIテスタに格納していた期待値と比較して、テスト対象のスキャンチェーンを構成する全スキャンフリップフロップの良否判定を行う。   After that, the scan enable signal for the NT terminal is switched to “0”, and then one clock is input, whereby the signal from the functional block can be taken into the D terminal of the scan flip-flop. Thereafter, by switching the scan enable signal to “1” again and applying clocks corresponding to the number of stages in the scan chain, the values captured in the scan chain can be captured in the LSI tester. After fetching into the LSI tester, the pass / fail judgment of all the scan flip-flops constituting the scan chain to be tested is performed by comparing with the expected value stored in advance in the LSI tester.

また、近年では、前記した一般的なスキャンテストの代わりに、組み込み自己テスト(BIST)方式で、半導体集積回路の論理回路部分をテストするようになりつつある。論理回路部分を対象としたBIST(以下、ロジックBIST)のアーキテクチャーで最もよく用いられているものにSTUMPSがある。STUMPSはマルチスキャン設計をベースとしたBISTアーキテクチャーである。これは、スキャンテスト方式と同様に、回路内に形成されたスキャンチェーンを利用してテストを行う。   In recent years, instead of the general scan test described above, a logic circuit portion of a semiconductor integrated circuit is being tested by a built-in self test (BIST) method. STUMPS is one of the most commonly used BIST (hereinafter referred to as logic BIST) architectures for logic circuit portions. STUMPS is a BIST architecture based on multi-scan design. Similar to the scan test method, the test is performed using a scan chain formed in the circuit.

ロジックBISTとスキャンテストの間には、テスト信号発生手段と出力応答信号の比較手段に違いがある。スキャンテストにおけるテスト信号発生方法と出力応答信号の比較手段については前述しているとおりである。   There is a difference in the test signal generating means and the output response signal comparing means between the logic BIST and the scan test. The test signal generation method and the output response signal comparison means in the scan test are as described above.

このスキャンテストに対して、ロジックBISTでは、LSIテスタからBIST起動信号、クロック信号、その他いくつかの制御信号を印加することで、半導体集積回路の内部に組み込まれたパタン生成回路からテスト信号が発生され、テスト対象回路へ印加される。また、テスト対象回路からの出力応答信号は出力圧縮回路へ入力され、シグニチャーとよばれる圧縮データに変換される。最終的にシグニチャーをLSIテスタに読み出して、予めLSIテスタに格納しておいたシグニチャーの期待値と比較し、テスト対象回路の良否判定を行う。   In response to this scan test, the logic BIST generates a test signal from the pattern generation circuit incorporated in the semiconductor integrated circuit by applying a BIST activation signal, a clock signal, and some other control signals from the LSI tester. And applied to the circuit under test. The output response signal from the test target circuit is input to the output compression circuit and converted into compressed data called a signature. Finally, the signature is read out to the LSI tester and compared with the expected value of the signature stored in advance in the LSI tester to determine whether the test target circuit is good or bad.

近年の半導体集積回路は非常に大規模になってきている。一般的なスキャンテストにしてもロジックBISTにしても、このような半導体集積回路のテストを短時間で済ませるためには、できるだけ多くの回路ブロックを同時に動作させる必要がある。LSIテスタの稼動時のコストは非常に高いため、テスタ使用時間が長いと、最終的な半導体集積回路の製造コストに大きな影響を与えてしまうからである。   In recent years, semiconductor integrated circuits have become very large. Whether it is a general scan test or a logic BIST, in order to complete such a test of a semiconductor integrated circuit in a short time, it is necessary to simultaneously operate as many circuit blocks as possible. This is because the cost during operation of the LSI tester is very high, and if the tester is used for a long time, the manufacturing cost of the final semiconductor integrated circuit is greatly affected.

また一方で、近年の半導体集積回路の動作速度は非常に高速になってきていており、遅延故障が顕在化し始めている。このような遅延故障のテストを行うために、スキャンテスト、ロジックBIST時にも、半導体集積回路を高速に動作させる必要が生じてきている。また、スキャンテスト、ロジックBISTにおけるテスト時間の大部分は、スキャンチェーンのシフト動作の時間によって占められる。従って、テスト時間の短縮を図るためには、キャプチャー動作だけでなく、シフト動作も高速に行う必要がある。   On the other hand, the operation speed of semiconductor integrated circuits in recent years has become very high, and delay faults are beginning to become apparent. In order to perform such a delay fault test, it is necessary to operate the semiconductor integrated circuit at a high speed even during a scan test and a logic BIST. Further, most of the test time in the scan test and logic BIST is occupied by the time of the scan chain shift operation. Therefore, in order to shorten the test time, it is necessary to perform not only the capture operation but also the shift operation at high speed.

しかしながら、スキャンテストもしくはロジックBISTで、大規模な半導体集積回路を高速に動作させると、テスト時の消費電力が極めて大きくなり、適切な検査が行えなくなってしまうという問題が生じてきている。   However, when a large-scale semiconductor integrated circuit is operated at a high speed by a scan test or logic BIST, there is a problem that power consumption at the time of testing becomes extremely large and appropriate inspection cannot be performed.

一般に、半導体集積回路の電源設計は、ファンクション動作時の消費電力を見込んで行われており、テスト時の消費電力は考慮されていない。ファンクション動作時には、半導体集積回路内で動作する部分は限定的であるため、消費電力はそれほど大きくないが、スキャンテスト、もしくはロジックBIST時には、対象となる回路部分の全てが同時に動作するため、ファンクション時にくらべて非常に多くの電力を消費する。   In general, power supply design of a semiconductor integrated circuit is performed in consideration of power consumption during function operation, and power consumption during testing is not taken into consideration. At the time of function operation, the power consumption is not so large because the part that operates in the semiconductor integrated circuit is limited. However, at the time of function, all the target circuit parts operate simultaneously at the time of scan test or logic BIST. It consumes much more power than it does.

このように、電源設計がテスト時の消費電力を考慮せずに行われている場合には、スキャンテストもしくはロジックBIST時には半導体集積回路は正常に動作せず、適切な検査が行われないことになる。なお、回路内の消費電力は動作周波数に比例するため、動作速度が速くなるにつれて問題が大きくなる。   As described above, when the power supply design is performed without considering the power consumption during the test, the semiconductor integrated circuit does not operate normally during the scan test or the logic BIST, and an appropriate inspection is not performed. Become. Since power consumption in the circuit is proportional to the operating frequency, the problem increases as the operating speed increases.

以上の問題に対して、従来技術(例えば、特許文献1を参照)では、スキャンフリップフロップから、機能ブロック(組合せ回路)へ伝搬する出力端子(Q端子)を固定した状態でシフト動作を行うことにより、動作周波数を下げることなく、スキャンテスト時の消費電力を削減し、上記の問題を解決しようと図っている。   In order to solve the above problem, in the prior art (see, for example, Patent Document 1), the shift operation is performed with the output terminal (Q terminal) propagating from the scan flip-flop to the functional block (combination circuit) fixed. Therefore, the power consumption at the time of the scan test is reduced without lowering the operating frequency to solve the above problem.

図9は従来の半導体集積回路の構成を示す回路図である。この半導体集積回路の特徴は、フリップフロップ回路11(11A〜11F)に、組合せ回路10にデータ信号Dやスキャンテスト信号DTを伝達させるためのQ端子とは別に、スキャンテスト信号DTを次のフリップフロップ回路11に伝達するための端子としてSOを設け、このフリップフロップ回路11(11A〜11F)を、スキャンテストのシフトモードの間、Q端子の信号値を固定して、組合せ回路10内の状態がシフトモード中は変化しないように、構成している点である。   FIG. 9 is a circuit diagram showing a configuration of a conventional semiconductor integrated circuit. The feature of this semiconductor integrated circuit is that the flip-flop circuit 11 (11A to 11F) receives the scan test signal DT as the next flip-flop separately from the Q terminal for transmitting the data signal D and the scan test signal DT to the combinational circuit 10. SO is provided as a terminal for transmitting to the flop circuit 11, and this flip-flop circuit 11 (11A to 11F) is in a state in the combinational circuit 10 by fixing the signal value of the Q terminal during the scan test shift mode. Is configured so as not to change during the shift mode.

従来のスキャンテスト回路構成では、シフトモードの間、各スキャンフリップフロップに印加されるスキャンテスト信号に応じて、スキャンフリップフロップのQ端子の信号値が変化する。このとき、組合せ回路内の多くのトランジスタが同時にスイッチングし、瞬間的に非常に大きな電力を消費する。それに対して、図9の回路では、シフト中にQ端子の信号値を固定するため、組合せ回路10内の各ノードの値は固定され、シフト中の消費電力を抑制することができる。   In the conventional scan test circuit configuration, the signal value at the Q terminal of the scan flip-flop changes in accordance with the scan test signal applied to each scan flip-flop during the shift mode. At this time, many transistors in the combinational circuit are switched at the same time, and very large power is consumed instantaneously. On the other hand, in the circuit of FIG. 9, since the signal value of the Q terminal is fixed during the shift, the value of each node in the combinational circuit 10 is fixed, and the power consumption during the shift can be suppressed.

以上のような半導体集積回路において、Q端子の出力値を固定するためのフリップフロップ回路11の具体的な構造を図10および図11に示す。
図10に示すフリップフロップ回路は、データ信号Dおよびスキャンテスト信号DTを受け、スキャンイネーブル信号NTの値によって、DまたはDTのいずれかを出力として選択するマルチプレクサ21と、クロック信号CLKの反転信号に応じてマルチプレクサ21の出力信号をラッチする第1のラッチ回路22と、クロックCLKに応じて第1のラッチ22の出力をラッチする第2のラッチ23と、クロック信号CLK及びHOLD信号の反転信号を受けて、両者のAND演算を行った結果を出力するAND回路24と、AND回路24の出力に応じて第1のラッチ回路22の出力をラッチする第3ラッチ回路25とを備えている。また、第2のラッチ回路23の出力はSO端子として機能し、第3のラッチ回路25の出力はQ出力端子として機能する。
In the semiconductor integrated circuit as described above, a specific structure of the flip-flop circuit 11 for fixing the output value of the Q terminal is shown in FIGS.
The flip-flop circuit shown in FIG. 10 receives the data signal D and the scan test signal DT, and selects the D or DT as an output according to the value of the scan enable signal NT, and the inverted signal of the clock signal CLK. In response, the first latch circuit 22 that latches the output signal of the multiplexer 21, the second latch 23 that latches the output of the first latch 22 according to the clock CLK, and the inverted signal of the clock signal CLK and the HOLD signal In response, an AND circuit 24 that outputs a result obtained by performing an AND operation on both of them and a third latch circuit 25 that latches the output of the first latch circuit 22 in accordance with the output of the AND circuit 24 are provided. The output of the second latch circuit 23 functions as an SO terminal, and the output of the third latch circuit 25 functions as a Q output terminal.

この構成では、HOLD信号が「1」の時は、クロック信号CLKが「1」または「0」のいずれにも拘わらず、AND回路24の出力はかならず「0」になる。したがって、このとき第3のラッチ回路25の出力Qは、第1のラッチ回路22の出力値にかかわらず、前時刻に保持していた値(「0」または「1」)に固定される。なお、HOLD信号の代わりにスキャンイネーブル信号NTを、Qを固定するための信号として利用することも可能である。つまり、HOLD信号用端子と、NT端子とを共通化することができる。   In this configuration, when the HOLD signal is “1”, the output of the AND circuit 24 is always “0” regardless of whether the clock signal CLK is “1” or “0”. Therefore, at this time, the output Q of the third latch circuit 25 is fixed to the value (“0” or “1”) held at the previous time regardless of the output value of the first latch circuit 22. Note that the scan enable signal NT can be used as a signal for fixing Q instead of the HOLD signal. That is, the HOLD signal terminal and the NT terminal can be shared.

また、図11は、従来のスキャンフリップフロップと同様の構成において、Q端子をSO端子として機能させるように構成されたフリップフロップ31とホールド信号の反転信号とフリップフロップ31の出力とを受け、両者のAND演算を行った結果を出力するAND回路32とを備えている。   FIG. 11 shows a configuration similar to that of a conventional scan flip-flop, which receives a flip-flop 31 configured to function the Q terminal as an SO terminal, an inverted signal of the hold signal, and an output of the flip-flop 31. And an AND circuit 32 for outputting the result of the AND operation.

この構成では、HOLD信号が「1」のときには、フリップフロップ31の出力が「1」または「0」のいずれにも拘わらず、AND回路の32の出力は必ず「0」になる。なおこの構造においても、HOLD信号に変えてNT信号を、Q端子を固定するための信号として利用することもできる。つまり、HOLD信号用とNT端子を共通化することができる。   In this configuration, when the HOLD signal is “1”, the output of the AND circuit 32 is always “0” regardless of whether the output of the flip-flop 31 is “1” or “0”. In this structure as well, the NT signal can be used as a signal for fixing the Q terminal instead of the HOLD signal. That is, the HOLD signal and the NT terminal can be shared.

特開2001−59856号公報JP 2001-59856 A

しかしながら上記のような従来の技術では、消費電力の抑制は行うことができるが、回路面積やファンクション動作速度に対するペナルティが発生する可能性が高い。図10のフリップフロップは、通常のスキャンフリップフロップに対して、ラッチ1つとANDゲート1つ分の面積オーバーヘッドがある。   However, in the conventional techniques as described above, power consumption can be suppressed, but there is a high possibility that a penalty for the circuit area and the function operation speed will occur. The flip-flop of FIG. 10 has an area overhead equivalent to one latch and one AND gate, compared to a normal scan flip-flop.

また、図11のフリップフロップに関しては、通常のスキャンフリップフロップに対する面積オーバーヘッドがANDゲート1つ分であり、図10のフリップフロップと比較してラッチ1つ分小さいが、図10のフリップフロップと異なり、ANDゲート32がフリップフロップ31の後側に配置されているため、Qの信号伝搬速度がANDゲート一段分遅延する。   Further, regarding the flip-flop of FIG. 11, the area overhead for a normal scan flip-flop is one AND gate, which is smaller by one latch than the flip-flop of FIG. 10, but is different from the flip-flop of FIG. Since the AND gate 32 is arranged on the rear side of the flip-flop 31, the signal propagation speed of Q is delayed by one AND gate.

つまり、図10や図11に示される構成では、フリップフロップの使用数、使用箇所について言及していないため、消費電力を削減できる一方で、回路面積の増大および、動作速度低下の恐れがあるという問題点を有していた。   That is, in the configuration shown in FIGS. 10 and 11, the number of flip-flops used and the locations where they are used are not mentioned, so that power consumption can be reduced, but the circuit area may increase and the operation speed may decrease. Had problems.

本発明は、上記従来の問題点を解決するもので、ファンクション動作速度に影響を与えず、また回路面積増を最低限に抑えつつ、スキャンテストおよびロジックBIST動作中のシフト動作中の消費電力を低減することができる半導体集積回路およびその設計方法を提供する。   The present invention solves the above-described conventional problems, and does not affect the function operation speed and minimizes the increase in circuit area while reducing the power consumption during the shift operation during the scan test and the logic BIST operation. A semiconductor integrated circuit that can be reduced and a design method thereof are provided.

上記の課題を解決するために、本発明の請求項1に記載の半導体集積回路は、第1のスキャンフリップフロップと第2のスキャンフリップフロップからなる第1のスキャンフリップフロップ群と、第3のスキャンフリップフロップと第4のスキャンフリップフロップからなる第2のスキャンフリップフロップ群と、前記第1のスキャンフリップフロップ群を構成するスキャンフリップフロップからの信号を受け、前記第2のスキャンフリップフロップ群を構成するスキャンフリップフロップに信号を出力する組合せ回路と、前記第1のスキャンフリップフロップ、前記第2のスキャンフリップフロップ、前記第3のスキャンフリップフロップ、及び前記第4のスキャンフリップフロップを含む複数のスキャンフリップフロップが直列に接続された複数のスキャンチェーンを備え、スキャンテストのシフト動作時は、前記スキャンチェーン内をシリアルにデータ転送し、ファンクション動作時もしくはスキャンテストのキャプチャー動作時は、前記組合せ回路を介して、前記第1のスキャンフリップフロップ群を構成するスキャンフリップフロップから、前記第2のスキャンフリップフロップ群を構成するスキャンフリップフロップへデータ転送を行うように構成された半導体集積回路であって、前記第1のスキャンフリップフロップの出力端子と前記組合せ回路の入力との間に、前記スキャンテストのシフト動作時に前記第1のスキャンフリップフロップから前記組合せ回路の入力へのデータ信号を固定するための回路を備え、前記第2のスキャンフリップフロップの出力端子と前記組合せ回路の入力との間に前記スキャンテストのシフト動作時に前記第2のスキャンフリップフロップから前記組合せ回路への入力へのデータ信号を固定するための回路を備えないことを特徴とする。   In order to solve the above-described problem, a semiconductor integrated circuit according to claim 1 of the present invention includes a first scan flip-flop group including a first scan flip-flop and a second scan flip-flop, and a third scan flip-flop group. A second scan flip-flop group including a scan flip-flop and a fourth scan flip-flop; and a signal from the scan flip-flop constituting the first scan flip-flop group; A plurality of combinational circuits that output signals to the scan flip-flops that comprise the first scan flip-flop, the second scan flip-flop, the third scan flip-flop, and the fourth scan flip-flop; Scan flip-flops connected in series A plurality of scan chains, serially transferring data within the scan chain during a scan test shift operation, and via the combinational circuit during a function operation or a scan test capture operation. A semiconductor integrated circuit configured to perform data transfer from a scan flip-flop constituting a scan flip-flop group to a scan flip-flop constituting a second scan flip-flop group, wherein the first scan flip-flop A circuit for fixing a data signal from the first scan flip-flop to the input of the combinational circuit during the shift operation of the scan test, between the output terminal of the combinational circuit and the input of the combinational circuit, The output terminal of the scan flip-flop and the front Characterized by not comprising a circuit for fixing the data signal from the second scan flip-flops in the shift operation of the scan test between the input of the combination circuit to the input to the combining circuit.

また、本発明の請求項2に記載の半導体集積回路は、第1のスキャンフリップフロップと第2のスキャンフリップフロップからなる第1のスキャンフリップフロップ群と、第3のスキャンフリップフロップと第4のスキャンフリップフロップからなる第2のスキャンフリップフロップ群と、前記第1のスキャンフリップフロップ群を構成するスキャンフリップフロップからの信号を受け、前記第2のスキャンフリップフロップ群を構成するスキャンフリップフロップに信号を出力する組合せ回路と、前記第1のスキャンフリップフロップ、前記第2のスキャンフリップフロップ、前記第3のスキャンフリップフロップ、及び前記第4のスキャンフリップフロップを含む複数のスキャンフリップフロップが直列に接続された複数のスキャンチェーンを備え、スキャンテストのシフト動作時は、前記スキャンチェーン内をシリアルにデータ転送し、ファンクション動作時もしくはスキャンテストのキャプチャー動作時は、前記組合せ回路を介して、前記第1のスキャンフリップフロップ群を構成するスキャンフリップフロップから、前記第2のスキャンフリップフロップ群を構成するスキャンフリップフロップへデータ転送を行うように構成された半導体集積回路であって、前記第1のスキャンフリップフロップは、前記ファンクション動作時もしくはスキャンテストのキャプチャー動作時に、前記組合せ回路にデータ転送する第1の出力端子と、前記スキャンテストのシフト動作時に、前記スキャンチェーン内の次段のスキャンフリップフロップへデータ転送する第2の出力端子とを備え、前記第2のスキャンフリップフロップは、前記組合せ回路にデータ転送する出力端子を備え、スキャンテストのシフト動作時には、前記第1のスキャンフリップフロップの前記第1の出力端子の値は固定値に保持され、前記第2のスキャンフリップフロップの前記出力端子の値は固定値に保持されないことを特徴とする。   A semiconductor integrated circuit according to a second aspect of the present invention includes a first scan flip-flop group including a first scan flip-flop, a second scan flip-flop, a third scan flip-flop, and a fourth scan flip-flop. A second scan flip-flop group consisting of scan flip-flops and a signal from the scan flip-flop constituting the first scan flip-flop group and receiving a signal to the scan flip-flop constituting the second scan flip-flop group And a plurality of scan flip-flops including the first scan flip-flop, the second scan flip-flop, the third scan flip-flop, and the fourth scan flip-flop connected in series Multiple scan chains In the scan test shift operation, the first scan flip-flop group is serially transferred in the scan chain, and during the function operation or the scan test capture operation, the first scan flip-flop group Is a semiconductor integrated circuit configured to perform data transfer from a scan flip-flop constituting the second scan flip-flop group to the scan flip-flop constituting the second scan flip-flop group, wherein the first scan flip-flop comprises the function A first output terminal that transfers data to the combinational circuit during operation or capture operation of a scan test, and a second output terminal that transfers data to the next scan flip-flop in the scan chain during a shift operation of the scan test Output terminal In addition, the second scan flip-flop has an output terminal for transferring data to the combinational circuit, and the value of the first output terminal of the first scan flip-flop becomes a fixed value during a shift operation of a scan test. And the value of the output terminal of the second scan flip-flop is not held at a fixed value.

また、本発明の請求項3に記載の半導体集積回路は、請求項1又は2記載の半導体集積回路であって、前記第1のスキャンフリップフロップを始点とし、前記複数のスキャンフリップフロップに含まれる1つのスキャンフリップフロップを終点とする経路上の基本ゲート数が、前記第2のスキャンフリップフロップを始点とし、前記複数のスキャンフリップフロップに含まれる1つのスキャンフリップフロップを終点とする経路上の基本ゲート数よりも多いことを特徴とする。   A semiconductor integrated circuit according to claim 3 of the present invention is the semiconductor integrated circuit according to claim 1 or 2, and is included in the plurality of scan flip-flops starting from the first scan flip-flop. The number of basic gates on the path that ends with one scan flip-flop is the basic number on the path that starts with the second scan flip-flop and ends with one scan flip-flop included in the plurality of scan flip-flops. More than the number of gates.

また、本発明の請求項4に記載の半導体集積回路は、請求項1又は2記載の半導体集積回路であって、前記組合せ回路のうち、前記第1のスキャンフリップフロップが駆動する基本ゲート数が、前記第2のスキャンフリップフロップが駆動する基本ゲート数よりも多いことを特徴とする。   According to a fourth aspect of the present invention, there is provided the semiconductor integrated circuit according to the first or second aspect, wherein the number of basic gates driven by the first scan flip-flop is the combinational circuit. The number of basic gates driven by the second scan flip-flop is larger.

また、本発明の請求項5に記載の半導体集積回路は、請求項1又は2記載の半導体集積回路であって、前記組合せ回路のうち、前記第1のスキャンフリップフロップの出力データを固定した場合に出力データが固定される基本ゲート数が、前記第2のスキャンフリップフロップの出力データを固定した場合に出力データが固定される基本ゲート数よりも多いことを特徴とする。   The semiconductor integrated circuit according to claim 5 of the present invention is the semiconductor integrated circuit according to claim 1 or 2, wherein output data of the first scan flip-flop is fixed among the combinational circuits. The number of basic gates to which the output data is fixed is larger than the number of basic gates to which the output data is fixed when the output data of the second scan flip-flop is fixed.

また、本発明の請求項6に記載の半導体集積回路は、請求項1又は2記載の半導体集積回路であって、前記組合せ回路のうち、前記第1のスキャンフリップフロップが駆動する基本ゲートの消費電力が、前記第2のスキャンフリップフロップが駆動する基本ゲートの消費電力よりも多いことを特徴とする。   A semiconductor integrated circuit according to a sixth aspect of the present invention is the semiconductor integrated circuit according to the first or second aspect, wherein a consumption of a basic gate driven by the first scan flip-flop among the combinational circuits is provided. The power consumption is greater than the power consumption of the basic gate driven by the second scan flip-flop.

また、本発明の請求項7に記載の半導体集積回路の設計方法は、前記半導体集積回路を構成している組合せ回路および複数のスキャンフリップフロップの接続情報を含む回路データを生成する工程1と、前記複数のスキャンフリップフロップの中から、1つ以上且つ前記複数のスキャンフリップフロップの総数未満の個数のスキャンフリップフロップを選択する工程2と、スキャンテストのシフト動作中に、前記工程2で選択されたスキャンフリップフロップから前記組合せ回路への出力値が固定されるように前記回路データを修正する工程3を有することを特徴とする。   According to a seventh aspect of the present invention, there is provided a method for designing a semiconductor integrated circuit, comprising: generating a circuit data including connection information of a combinational circuit and a plurality of scan flip-flops constituting the semiconductor integrated circuit; A step 2 for selecting one or more scan flip-flops out of the plurality of scan flip-flops and less than the total number of the plurality of scan flip-flops, and a scan test shift operation are selected in the step 2 And a step 3 of correcting the circuit data so that an output value from the scan flip-flop to the combinational circuit is fixed.

以上のように本発明によれば、スキャンテストでのシフト動作時に消費電力に大きく影響する素子として選択されたスキャンフリップフロップを、スキャンテストのシフト中は組合せ回路への出力値が固定されるように、修正することができる。   As described above, according to the present invention, the scan flip-flop selected as an element that greatly affects power consumption during the shift operation in the scan test is fixed so that the output value to the combinational circuit is fixed during the shift of the scan test. It can be corrected.

また、選択されるスキャンフリップフロップの数を、回路修正時に許容される面積の増分に応じて制限することにより、面積の増加を許容範囲に抑えることができる。
また、回路の動作速度を決定するフリップフロップ間経路の始点となるフリップフロップまたは内部ノードを選択しないことにより、回路のファンクション動作に影響を与えないようにすることができる。
Further, by limiting the number of scan flip-flops to be selected according to the increment of the area allowed at the time of circuit correction, the increase in area can be suppressed to an allowable range.
Further, by not selecting a flip-flop or an internal node that becomes a starting point of a path between flip-flops that determines the operation speed of the circuit, it is possible to prevent the function operation of the circuit from being affected.

以上のため、ファンクション動作速度に影響を与えることなく、また回路面積の増大を最低限に抑えつつ、スキャンテストおよびロジックBIST動作中の消費電力を抑えることができる。   Therefore, power consumption during scan test and logic BIST operation can be suppressed without affecting the function operation speed and minimizing an increase in circuit area.

本発明の実施の形態1の半導体集積回路を示す回路図1 is a circuit diagram showing a semiconductor integrated circuit according to a first embodiment of the present invention. 本発明の実施の形態2の半導体集積回路を示す回路図Circuit diagram showing a semiconductor integrated circuit according to a second embodiment of the present invention. 同実施の形態2の半導体集積回路におけるスキャンフリップフロップの内部回路図Internal circuit diagram of scan flip-flop in the semiconductor integrated circuit of the second embodiment 本発明の実施の形態1の半導体集積回路の設計方法を示すフロー図1 is a flowchart showing a method for designing a semiconductor integrated circuit according to a first embodiment of the present invention. 同実施の形態1の半導体集積回路の設計方法で生成される回路データによる回路図Circuit diagram based on circuit data generated by the semiconductor integrated circuit design method of the first embodiment 本発明の実施の形態3の半導体集積回路を示す回路図Circuit diagram showing a semiconductor integrated circuit according to a third embodiment of the present invention. 同実施の形態3の半導体集積回路の設計方法を示すフロー図FIG. 7 is a flowchart showing a method for designing a semiconductor integrated circuit according to the third embodiment. 同実施の形態3の半導体集積回路において図5に示す回路の対応説明図Corresponding explanatory diagram of the circuit shown in FIG. 5 in the semiconductor integrated circuit of the third embodiment 従来の半導体集積回路を示す回路図Circuit diagram showing a conventional semiconductor integrated circuit 同従来例の半導体集積回路におけるフリップフロップ回路の構成例(1)を示す回路図Circuit diagram showing a configuration example (1) of a flip-flop circuit in the conventional semiconductor integrated circuit 同従来例の半導体集積回路におけるフリップフロップ回路の構成例(2)を示す回路図Circuit diagram showing a configuration example (2) of the flip-flop circuit in the conventional semiconductor integrated circuit

以下、本発明の実施の形態を示す半導体集積回路およびその設計方法について、図面を参照しながら具体的に説明する。
(実施の形態1)
本発明の実施の形態1の半導体集積回路およびその設計方法を説明する。
Hereinafter, a semiconductor integrated circuit and a design method thereof according to an embodiment of the present invention will be specifically described with reference to the drawings.
(Embodiment 1)
A semiconductor integrated circuit and a design method thereof according to the first embodiment of the present invention will be described.

図1は本実施の形態1の半導体集積回路の構成を示す回路図である。図1において、102、103、104、105、106、107はスキャンフリップフロップである。スキャンフリップフロップ104、103、102、107、106、105には、それぞれ、スキャンテストのシフト動作中に入力信号を受けるための入力端子DTと、ファンクション動作中、もしくはスキャンテストのキャプチャー動作中に入力信号を受けるための入力端子Dと、スキャンイネーブル端子を受けるための入力端子NTと、クロック信号入力用の入力端子CKと、データ出力端子Qがある。   FIG. 1 is a circuit diagram showing a configuration of the semiconductor integrated circuit according to the first embodiment. In FIG. 1, reference numerals 102, 103, 104, 105, 106, and 107 denote scan flip-flops. Each of the scan flip-flops 104, 103, 102, 107, 106, and 105 has an input terminal DT for receiving an input signal during a scan test shift operation and an input during a function operation or a scan test capture operation. There are an input terminal D for receiving a signal, an input terminal NT for receiving a scan enable terminal, an input terminal CK for inputting a clock signal, and a data output terminal Q.

これらスキャンフリップフロップ104、103、102、107、106、105は、順次直列に接続されていてスキャンチェーンを構成している。このスキャンチェーンは、具体的には以下のように接続されて構成されている。スキャンフリップフロップ104、103、102、107、106のQ端子は、それぞれ、スキャンフリップフロップ103、102、107、106、105のDT端子と接続されている。また、スキャンフリップフロップ105のQ端子はスキャンチェーンのスキャンアウト端子に接続されている。また、スキャンフリップフロップ104のDT端子はスキャンチェーンのスキャンイン端子に接続されている。以上のようにスキャンフリップフロップ102、103、104、105、106、107が接続されることで、スキャンチェーンが構成される。   These scan flip-flops 104, 103, 102, 107, 106, and 105 are sequentially connected in series to form a scan chain. Specifically, this scan chain is configured to be connected as follows. The Q terminals of the scan flip-flops 104, 103, 102, 107, and 106 are connected to the DT terminals of the scan flip-flops 103, 102, 107, 106, and 105, respectively. The Q terminal of the scan flip-flop 105 is connected to the scan-out terminal of the scan chain. The DT terminal of the scan flip-flop 104 is connected to the scan-in terminal of the scan chain. As described above, the scan flip-flops 102, 103, 104, 105, 106, and 107 are connected to form a scan chain.

101は組合せ回路であり、a、b、cは組合せ回路101の入力で、d、e、fは組合せ回路101の出力である。108、109、110は2入力ANDゲートである。ANDゲート108、109、110は入力端子AおよびB、出力端子Yをもつ。111はインバータである。インバータ111は入力端子Aと出力端子Yをもつ。112、113はORゲートである。ORゲート112、113もまた、入力端子AおよびB、出力端子Yをもつ。この組合せ回路101は、ANDゲート108、109、110とインバータ111と、ORゲート112、113で構成されている。   101 is a combinational circuit, a, b, and c are inputs of the combinational circuit 101, and d, e, and f are outputs of the combinational circuit 101. Reference numerals 108, 109, and 110 are 2-input AND gates. The AND gates 108, 109, and 110 have input terminals A and B and an output terminal Y. Reference numeral 111 denotes an inverter. The inverter 111 has an input terminal A and an output terminal Y. 112 and 113 are OR gates. The OR gates 112 and 113 also have input terminals A and B and an output terminal Y. The combinational circuit 101 includes AND gates 108, 109, and 110, an inverter 111, and OR gates 112 and 113.

これらの接続関係について、以下に説明する。
ANDゲート108の入力端子A、Bは、それぞれ、組合せ回路101の入力a、bに接続されている。ANDゲート108の出力端子YはANDゲート109の入力端子Aに接続されている。ANDゲート109の入力端子A、Bは、それぞれ、ANDゲート108の出力端子Y、ORゲート112の出力端子Yに接続されている。ANDゲート109の出力端子Yは、ANDゲート110の入力端子Aと組合せ回路101の出力dに接続されている。ANDゲート110の入力端子A、Bは、それぞれ、ANDゲート109の出力端子Y、ORゲート113の出力端子Yと接続されている。ANDゲート110の出力端子Yは、組合せ回路101の出力eと接続されている。インバータ111の入力端子Aは組合せ回路101の入力bと接続されている。インバータ111の出力端子YはORゲート112の入力端子Aと接続されている。ORゲート112の入力端子A、Bは、それぞれ、インバータ111の出力端子Y、組合せ回路101の入力cと接続されている。ORゲート112の出力端子Yは、ANDゲート109の入力BとORゲート113の入力端子Aに接続されている。ORゲート113の入力端子A、Bは、それぞれ、ORゲート112の出力端子Y、組合せ回路101の入力cと接続されている。ORゲート113の出力端子Yは、ANDゲート110の入力端子Bと組合せ回路101の出力fと接続されている。
These connection relationships will be described below.
The input terminals A and B of the AND gate 108 are connected to the inputs a and b of the combinational circuit 101, respectively. The output terminal Y of the AND gate 108 is connected to the input terminal A of the AND gate 109. The input terminals A and B of the AND gate 109 are connected to the output terminal Y of the AND gate 108 and the output terminal Y of the OR gate 112, respectively. The output terminal Y of the AND gate 109 is connected to the input terminal A of the AND gate 110 and the output d of the combinational circuit 101. The input terminals A and B of the AND gate 110 are connected to the output terminal Y of the AND gate 109 and the output terminal Y of the OR gate 113, respectively. The output terminal Y of the AND gate 110 is connected to the output e of the combinational circuit 101. The input terminal A of the inverter 111 is connected to the input b of the combinational circuit 101. The output terminal Y of the inverter 111 is connected to the input terminal A of the OR gate 112. The input terminals A and B of the OR gate 112 are connected to the output terminal Y of the inverter 111 and the input c of the combinational circuit 101, respectively. The output terminal Y of the OR gate 112 is connected to the input B of the AND gate 109 and the input terminal A of the OR gate 113. The input terminals A and B of the OR gate 113 are connected to the output terminal Y of the OR gate 112 and the input c of the combinational circuit 101, respectively. The output terminal Y of the OR gate 113 is connected to the input terminal B of the AND gate 110 and the output f of the combinational circuit 101.

114はANDゲートであり、入力端子A、Bと出力端子Yをもつ。スキャンフリップフロップ102、103、104のQ端子は、それぞれ、組合せ回路101の入力a、ANDゲート114の入力端子A、組合せ回路101の入力cと接続されている。スキャンフリップフロップ105、106、107のD端子は、それぞれ、組合せ回路の出力d、e、fと接続されている。ANDゲート114の入力端子Aは、スキャンフリップフロップ103の出力端子Qに接続されている。ANDゲート114の出力端子Yは、組合せ回路101の入力bに接続されている。ANDゲート114の入力端子Bは、スキャンイネーブル信号NT(シフト動作中=「1」、キャプチャー動作中=「0」)の反転信号/NT(シフト動作中=「0」、キャプチャー動作中=「1」)が接続される。   An AND gate 114 has input terminals A and B and an output terminal Y. The Q terminals of the scan flip-flops 102, 103, and 104 are connected to the input a of the combinational circuit 101, the input terminal A of the AND gate 114, and the input c of the combinational circuit 101, respectively. The D terminals of the scan flip-flops 105, 106, and 107 are connected to the outputs d, e, and f of the combinational circuit, respectively. The input terminal A of the AND gate 114 is connected to the output terminal Q of the scan flip-flop 103. The output terminal Y of the AND gate 114 is connected to the input b of the combinational circuit 101. The input terminal B of the AND gate 114 has an inverted signal / NT (during shift operation = “0”, capture operation = “1”) of the scan enable signal NT (shift operation = “1”, capture operation = “0”). )) Is connected.

以上の構成からもわかるように、ANDゲート114は、スキャンフリップフロップ104の出力端子Qと組合せ回路101の間にあり、スキャンテストのシフト動作中、スキャンフリップフロップ104から組合せ回路101へ伝達されるデータを「0」に固定することができる。   As can be seen from the above configuration, the AND gate 114 is located between the output terminal Q of the scan flip-flop 104 and the combinational circuit 101 and is transmitted from the scan flip-flop 104 to the combinational circuit 101 during the scan test shift operation. Data can be fixed to “0”.

半導体集積回路の単位時間あたりの消費電力は、その回路内部において、「0」から「1」、もしくは「1」から「0」に変化するノード数に比例する。回路の内部ノードをAND、OR、インバータなどの基本ゲートの出力端子と定義した場合、本実施の形態の回路構成をとることにより、スキャンテストのシフト動作中は、組合せ回路内部の全てのノードを固定することができ、消費電力を抑えることができる。   The power consumption per unit time of the semiconductor integrated circuit is proportional to the number of nodes that change from “0” to “1” or from “1” to “0” in the circuit. When the internal nodes of the circuit are defined as output terminals of basic gates such as AND, OR, and inverter, by adopting the circuit configuration of the present embodiment, all the nodes inside the combinational circuit are set during the shift operation of the scan test. It can be fixed and power consumption can be reduced.

また、このような回路構成をとることにより、従来技術と同等の効果を、より少ない面積オーバーヘッドで実現することができる。
なお、本実施の形態1の半導体集積回路において、スキャンチェーンに対するテストパタン供給が、組込み自己テスト手法(いわゆるBIST手法)で用いられているように、半導体集積回路に内蔵されているパタン発生回路から行われていてもよい。
Further, by adopting such a circuit configuration, an effect equivalent to that of the prior art can be realized with a smaller area overhead.
In the semiconductor integrated circuit according to the first embodiment, the pattern generation circuit built in the semiconductor integrated circuit is used so that the test pattern supply to the scan chain is used in the built-in self-test method (so-called BIST method). It may be done.

また、本実施の形態1の半導体集積回路において、スキャンチェーンから出力されるデータが、組込み自己テスト手法(いわゆるBIST手法)で用いられているように、半導体集積回路に内蔵されている出力圧縮回路に取り込まれ、圧縮されるような構成になっていてもよい。   In the semiconductor integrated circuit of the first embodiment, the output compression circuit built in the semiconductor integrated circuit is used so that the data output from the scan chain is used in the built-in self-test method (so-called BIST method). It may be configured to be taken in and compressed.

次に、図1に示す本実施の形態1の半導体集積回路の設計手法を、図4を用いて説明する。
図4において、301は回路データ(ネットリスト)を生成する工程である。工程301で生成する回路データは、半導体集積回路を構成している複数の組合せ論理素子、および複数のフリップフロップの接続情報を含んでいる。302は、工程301で生成した回路データ内に含まれるフリップフロップを1つ以上選択する工程である。工程302で選択されるスキャンフリップフロップは、スキャンテストのシフト動作時において消費電力に与える影響が大きいものである。303は回路データ(ネットリスト)を修正する工程である。工程303では、工程302で選択されたフリップフロップに対して、スキャンテストのシフト動作中に組合せ回路への出力値が固定されるように回路データを修正する。
Next, a design method of the semiconductor integrated circuit according to the first embodiment shown in FIG. 1 will be described with reference to FIG.
In FIG. 4, reference numeral 301 denotes a step of generating circuit data (net list). The circuit data generated in step 301 includes connection information of a plurality of combinational logic elements and a plurality of flip-flops constituting the semiconductor integrated circuit. 302 is a step of selecting one or more flip-flops included in the circuit data generated in step 301. The scan flip-flop selected in step 302 has a large influence on the power consumption during the shift operation of the scan test. Reference numeral 303 denotes a step of correcting circuit data (net list). In step 303, the circuit data is corrected so that the output value to the combinational circuit is fixed during the scan test shift operation for the flip-flop selected in step 302.

ここで説明する半導体集積回路の設計方法は、工程301、工程302、工程303で構成される。また、工程302は以下の工程に分割される。すなわち、回路中の各スキャンフリップフロップに消費電力に対する影響を評価する工程302aと、最も消費電力に対する影響が大きいスキャンフリップフロップを選択する工程302bと、選択されたスキャンフリップフロップの出力を固定することにより、所望の消費電力まで削減できるかを判定する工程302cと、未だ選択されていないスキャンフリップフロップの中から、最も消費電力に対する影響が大きいスキャンフリップフロップを選択する工程302dである。   The semiconductor integrated circuit design method described here includes steps 301, 302, and 303. Step 302 is divided into the following steps. That is, the step 302a for evaluating the influence on the power consumption for each scan flip-flop in the circuit, the step 302b for selecting the scan flip-flop having the largest influence on the power consumption, and fixing the output of the selected scan flip-flop. Thus, there are a step 302c for determining whether or not the power consumption can be reduced to a desired power consumption, and a step 302d for selecting a scan flip-flop having the greatest influence on the power consumption from among the scan flip-flops that have not been selected yet.

これらの工程は以下の順番で実行される。まず、工程302a、302b、302cが順番に実行される。工程302cの判定結果がYesの場合、処理は終了し、判定結果がNoの場合、工程302dを実行し、その実行後、工程302cへ戻る。   These steps are performed in the following order. First, steps 302a, 302b, and 302c are executed in order. If the determination result in step 302c is Yes, the process ends. If the determination result is No, step 302d is executed, and then the process returns to step 302c.

ここで、図4に示す半導体集積回路の設計方法によって、図1に示す半導体集積回路を設計する流れを具体的に示す。図4において、工程301は、市販の論理合成ツールなどのEDAツールで容易に実行できるため、ここでの詳細な説明は省略する。図5は、工程301で生成された回路データ(ネットリスト)をスケマティック表現したものである。401は組合せ回路である。   Here, the flow of designing the semiconductor integrated circuit shown in FIG. 1 by the method for designing the semiconductor integrated circuit shown in FIG. 4 is specifically shown. In FIG. 4, step 301 can be easily executed by an EDA tool such as a commercially available logic synthesis tool, and thus detailed description thereof is omitted here. FIG. 5 is a schematic representation of the circuit data (net list) generated in step 301. 401 is a combinational circuit.

a、b、cは組合せ回路401の入力であり、d、e、fは組合せ回路401の出力である。408、409、410は2入力ANDゲートである。ANDゲート408、409、410は入力端子AおよびB、出力端子Yをもつ。411はインバータである。インバータ411は入力端子Aと出力端子Yをもつ。412、413はORゲートである。ORゲート412、413も、また入力端子AおよびB、出力端子Yをもつ。組合せ回路401は、ANDゲート408、409、410とインバータ411と、ORゲート412、413で構成されている。   a, b, and c are inputs of the combinational circuit 401, and d, e, and f are outputs of the combinational circuit 401. Reference numerals 408, 409, and 410 denote 2-input AND gates. The AND gates 408, 409, and 410 have input terminals A and B and an output terminal Y. Reference numeral 411 denotes an inverter. The inverter 411 has an input terminal A and an output terminal Y. Reference numerals 412 and 413 denote OR gates. The OR gates 412 and 413 also have input terminals A and B and an output terminal Y. The combinational circuit 401 includes AND gates 408, 409, and 410, an inverter 411, and OR gates 412 and 413.

以下、これらの接続関係について説明する。
ANDゲート408の入力端子A、Bは、それぞれ、組合せ回路401の入力a、bに接続されている。ANDゲート408の出力端子YはANDゲート409の入力端子Aに接続されている。ANDゲート409の入力端子A、Bは、それぞれ、ANDゲート408の出力端子Y、ORゲート412の出力端子Yに接続されている。ANDゲート409の出力端子Yは、ANDゲート410の入力端子Aと組合せ回路401の出力dに接続されている。ANDゲート410の入力端子A、Bは、それぞれ、ANDゲート409の出力端子Y、ORゲート413の出力端子Yと接続されている。ANDゲート410の出力端子Yは、組合せ回路401の出力eと接続されている。インバータ411の入力端子Aは組合せ回路401の入力bと接続されている。インバータ411の出力端子YはORゲート412の入力端子Aと接続されている。ORゲート412の入力端子A、Bは、それぞれ、インバータ411の出力端子Y、組合せ回路401の入力cと接続されている。ORゲート412の出力端子Yは、ANDゲート409の入力端子BとORゲート413の入力端子Aに接続されている。ORゲート413の入力端子A、Bは、それぞれ、ORゲート412の出力端子Y、組合せ回路401の入力cと接続されている。ORゲート413の出力端子YはANDゲート410の入力端子Bと組合せ回路401の出力fと接続されている。
Hereinafter, these connection relationships will be described.
The input terminals A and B of the AND gate 408 are connected to the inputs a and b of the combinational circuit 401, respectively. The output terminal Y of the AND gate 408 is connected to the input terminal A of the AND gate 409. The input terminals A and B of the AND gate 409 are connected to the output terminal Y of the AND gate 408 and the output terminal Y of the OR gate 412, respectively. The output terminal Y of the AND gate 409 is connected to the input terminal A of the AND gate 410 and the output d of the combinational circuit 401. The input terminals A and B of the AND gate 410 are connected to the output terminal Y of the AND gate 409 and the output terminal Y of the OR gate 413, respectively. The output terminal Y of the AND gate 410 is connected to the output e of the combinational circuit 401. The input terminal A of the inverter 411 is connected to the input b of the combinational circuit 401. The output terminal Y of the inverter 411 is connected to the input terminal A of the OR gate 412. The input terminals A and B of the OR gate 412 are connected to the output terminal Y of the inverter 411 and the input c of the combinational circuit 401, respectively. The output terminal Y of the OR gate 412 is connected to the input terminal B of the AND gate 409 and the input terminal A of the OR gate 413. The input terminals A and B of the OR gate 413 are connected to the output terminal Y of the OR gate 412 and the input c of the combinational circuit 401, respectively. The output terminal Y of the OR gate 413 is connected to the input terminal B of the AND gate 410 and the output f of the combinational circuit 401.

402、403、404、405、406、407はスキャンフリップフロップである。これらスキャンフリップフロップ404、403、402、407、406、405は直列に接続されていて、スキャンチェーンを構成している。   Reference numerals 402, 403, 404, 405, 406, and 407 denote scan flip-flops. These scan flip-flops 404, 403, 402, 407, 406, and 405 are connected in series to form a scan chain.

このスキャンチェーンは、具体的には以下のように接続されて構成されている。
スキャンフリップフロップ404、403、402、407、406のQ端子は、それぞれ、スキャンフリップフロップ403、402、407、406、405のDT端子と接続されている。また、スキャンフリップフロップ405のQ端子はスキャンチェーンのスキャンアウト端子に接続されている。また、スキャンフリップフロップ404のDT端子はスキャンチェーンのスキャンイン端子に接続されている。
Specifically, this scan chain is configured to be connected as follows.
The Q terminals of the scan flip-flops 404, 403, 402, 407, and 406 are connected to the DT terminals of the scan flip-flops 403, 402, 407, 406, and 405, respectively. The Q terminal of the scan flip-flop 405 is connected to the scan-out terminal of the scan chain. The DT terminal of the scan flip-flop 404 is connected to the scan-in terminal of the scan chain.

以上のようにスキャンフリップフロップ402、403、404、405、406、407が接続されることで、スキャンチェーンが構成される。
また、スキャンフリップフロップ402、403、404のQ端子は、それぞれ、組合せ回路401の入力a、b、cと接続されている。図5では図示されていないが、フリップフロップ405、406、407のQ端子は、同一半導体集積回路内にある他の組合せ回路部分と接続されている。スキャンフリップフロップ405、406、407のD端子は、それぞれ、組合せ回路の出力d、e、fと接続されている。図5では図示されていないが、フリップフロップ402、403、404のD端子は、半導体集積回路内にある他の組合せ回路部分と接続されている。
As described above, the scan flip-flops 402, 403, 404, 405, 406, and 407 are connected to form a scan chain.
The Q terminals of the scan flip-flops 402, 403, and 404 are connected to the inputs a, b, and c of the combinational circuit 401, respectively. Although not shown in FIG. 5, the Q terminals of the flip-flops 405, 406, and 407 are connected to other combinational circuit portions in the same semiconductor integrated circuit. The D terminals of the scan flip-flops 405, 406, and 407 are connected to the outputs d, e, and f of the combinational circuit, respectively. Although not shown in FIG. 5, the D terminals of the flip-flops 402, 403, and 404 are connected to other combinational circuit portions in the semiconductor integrated circuit.

図5に示す回路に対して、工程302を実行し、スキャンテストのシフト動作時において、消費電力に与える影響が大きいフリップフロップを1つ以上選択する。
まず、工程302aで回路中の各スキャンフリップフロップに対して、消費電力に対する影響を見積もる。一般的に、半導体集積回路の単位時間あたりの消費電力は、単位時間当りに、「0」から「1」もしくは「1」から「0」に変化する回路内部のノード数に比例する。ここで回路内部のノードをAND、OR、インバータなどの基本ゲートの出力端子と定義する。
Step 302 is performed on the circuit shown in FIG. 5 to select one or more flip-flops that have a large influence on power consumption during the scan test shift operation.
First, in step 302a, the influence on power consumption is estimated for each scan flip-flop in the circuit. Generally, the power consumption per unit time of a semiconductor integrated circuit is proportional to the number of nodes in the circuit that change from “0” to “1” or “1” to “0” per unit time. Here, a node in the circuit is defined as an output terminal of a basic gate such as AND, OR, or an inverter.

図5において、回路中の各スキャンフリップフロップの出力値を固定した場合に消費電力に与える影響を評価する。スキャンフリップフロップ402の出力端子Qの値を「0」に固定した場合、ANDゲート408、ANDゲート409、ANDゲート410の出力端子、すなわち3つのノードの値を固定できる。また、スキャンフリップフロップ403の出力端子Qの値を「0」に固定した場合、ANDゲート408、409、410、インバータ411、ORゲート412、413の出力端子、すなわち6つのノードの値を固定することができる。スキャンフリップフロップ404の出力端子Qの値を「1」に固定した場合、ORゲート412、413の出力端子、すなわち2つのノードの値を固定することができる。スキャンフリップフロップ405、406、407の出力端子を固定しても、固定できるノードはない。   In FIG. 5, the influence on the power consumption when the output value of each scan flip-flop in the circuit is fixed is evaluated. When the value of the output terminal Q of the scan flip-flop 402 is fixed to “0”, the values of the output terminals of the AND gate 408, the AND gate 409, and the AND gate 410, that is, three nodes can be fixed. When the value of the output terminal Q of the scan flip-flop 403 is fixed to “0”, the values of the output terminals of the AND gates 408, 409, 410, the inverter 411, the OR gates 412, 413, that is, the six nodes are fixed. be able to. When the value of the output terminal Q of the scan flip-flop 404 is fixed to “1”, the values of the output terminals of the OR gates 412 and 413, that is, the two nodes can be fixed. Even if the output terminals of the scan flip-flops 405, 406, and 407 are fixed, there is no node that can be fixed.

工程302bで、消費電力に対する影響が最も高いスキャンフリップフロップとして、スキャンフリップフロップ403を選択する。工程302cで目標の消費電力削減が達成できたと判断した場合には、工程302を終了する。   In step 302b, the scan flip-flop 403 is selected as the scan flip-flop having the highest influence on the power consumption. If it is determined in step 302c that the target power consumption reduction has been achieved, step 302 ends.

次に工程303で回路データの修正を行う。具体的には、選択されたフリップフロップ403のQ端子と組合せ回路401との間に、2入力ANDゲートを挿入することにより図1に示す回路に修正される。また、挿入されたANDゲートの第1の入力はスキャンフリップフロップ403のQ端子と接続され、第2の入力はスキャンイネーブル信号NTの反転信号/NTが接続される。   Next, in step 303, the circuit data is corrected. Specifically, the circuit shown in FIG. 1 is modified by inserting a 2-input AND gate between the Q terminal of the selected flip-flop 403 and the combinational circuit 401. The first input of the inserted AND gate is connected to the Q terminal of the scan flip-flop 403, and the second input is connected to the inverted signal / NT of the scan enable signal NT.

以上のような方法により図1に示す半導体集積回路は設計される。なお、図4の工程302において選択されるスキャンフリップフロップの数は複数であってもよい。このとき選択されるフリップフロップ数は、目標となる消費電力を達成できるまで選択される。またこのとき、選択されるスキャンフリップフロップの数は、許容できる面積の増分に制限されてあってもよい。   The semiconductor integrated circuit shown in FIG. 1 is designed by the above method. Note that the number of scan flip-flops selected in step 302 of FIG. 4 may be plural. The number of flip-flops selected at this time is selected until the target power consumption can be achieved. Also, at this time, the number of scan flip-flops to be selected may be limited to an allowable area increment.

また、選択されたスキャンフリップフロップが、回路全体の動作速度を決定するフリップフロップ間経路の始点となるスキャンフリップフロップであった場合は、選択を取りやめることがあっても良い。   Further, if the selected scan flip-flop is a scan flip-flop that is the starting point of the inter-flip-flop path that determines the operation speed of the entire circuit, the selection may be canceled.

以上の方法により設計された半導体集積回路は、面積増大および動作速度低下を抑制しつつ、スキャンテストおよびロジックBISTでのスキャンシフト時の消費電力を低減することができる。
(実施の形態2)
本発明の実施の形態2の半導体集積回路およびその設計方法を説明する。
The semiconductor integrated circuit designed by the above method can reduce the power consumption during the scan shift in the scan test and the logic BIST while suppressing an increase in area and a decrease in operation speed.
(Embodiment 2)
A semiconductor integrated circuit and a design method thereof according to the second embodiment of the present invention will be described.

図2は本実施の形態2の半導体集積回路の構成を示す回路図である。図2において、202、203、204、205、206、207はスキャンフリップフロップである。スキャンフリップフロップ204、202、207、206、205は、それぞれ、スキャンテストのシフト動作中に入力信号を受けるための入力端子DTと、ファンクション動作中もしくはスキャンテストのキャプチャー動作中に入力信号を受けるための入力端子Dと、スキャンイネーブル信号を受けるための入力端子NTと、クロック信号入力用の入力端子CKと、データ出力端子Qとを備えるスキャンフリップフロップである。   FIG. 2 is a circuit diagram showing a configuration of the semiconductor integrated circuit according to the second embodiment. In FIG. 2, 202, 203, 204, 205, 206, and 207 are scan flip-flops. Each of the scan flip-flops 204, 202, 207, 206, and 205 receives an input terminal DT for receiving an input signal during a scan test shift operation and an input signal during a function operation or a scan test capture operation. This is a scan flip-flop comprising an input terminal D, an input terminal NT for receiving a scan enable signal, an input terminal CK for clock signal input, and a data output terminal Q.

スキャンフリップフロップ203は、スキャンテストのシフト動作中に入力信号を受けるための入力端子DTと、ファンクション動作中もしくはスキャンテストのキャプチャー動作中に入力信号を受けるための入力端子Dと、スキャンイネーブル信号を受けるための入力端子NTと、クロック信号入力用の入力端子CKと、スキャンテストのシフト動作中に信号値が固定される機能を備えるデータ出力端子Qと、スキャンデータ信号を出力するための出力端子SOとを備えるスキャンフリップフロップである。   The scan flip-flop 203 has an input terminal DT for receiving an input signal during a scan test shift operation, an input terminal D for receiving an input signal during a function operation or a capture operation of a scan test, and a scan enable signal. An input terminal NT for receiving, an input terminal CK for clock signal input, a data output terminal Q having a function of fixing a signal value during a shift operation of a scan test, and an output terminal for outputting a scan data signal A scan flip-flop provided with SO.

スキャンフリップフロップ203の具体的な回路構成例を、図10または図11に示す。これらの回路についての詳細な説明は従来技術の説明で行ったため、ここでの説明は省略する。ただし、図2に示す本実施の形態2に用いる場合には、HOLD端子をNT端子と共通化して使用する必要がある。NT端子は、スキャンテストのシフトモードとキャプチャーモードを切り替えるためのスキャンイネーブル信号を受ける。この信号は、シフト動作中=1、キャプチャー動作中=0となる。   A specific circuit configuration example of the scan flip-flop 203 is shown in FIG. Since these circuits have been described in detail in the description of the prior art, description thereof will be omitted here. However, when used in the second embodiment shown in FIG. 2, it is necessary to use the HOLD terminal in common with the NT terminal. The NT terminal receives a scan enable signal for switching between a scan test shift mode and a capture mode. This signal is 1 during shift operation and 0 during capture operation.

これらスキャンフリップフロップ204、203、202、207、206、205は直列に接続されていて、スキャンチェーンを構成している。このスキャンチェーンは具体的には、以下のように接続されて構成されている。   These scan flip-flops 204, 203, 202, 207, 206, and 205 are connected in series to form a scan chain. Specifically, this scan chain is configured to be connected as follows.

スキャンフリップフロップ204、202、207、206のQ端子は、それぞれ、スキャンフリップフロップ203、207、206、205のDT端子と接続されている。スキャンフリップフロップ203のSO端子はスキャンフリップフロップ202のDT端子と接続されている。また、スキャンフリップフロップ205のQ端子はスキャンチェーンのスキャンアウト端子に接続されている。また、スキャンフリップフロップ204のDT端子はスキャンチェーンのスキャンイン端子に接続されている。   The Q terminals of the scan flip-flops 204, 202, 207, and 206 are connected to the DT terminals of the scan flip-flops 203, 207, 206, and 205, respectively. The SO terminal of the scan flip-flop 203 is connected to the DT terminal of the scan flip-flop 202. The Q terminal of the scan flip-flop 205 is connected to the scan-out terminal of the scan chain. The DT terminal of the scan flip-flop 204 is connected to the scan-in terminal of the scan chain.

以上のように、スキャンフリップフロップ202、203、204、205、206、207が接続されることで、スキャンチェーンが構成される。
201は組合せ回路である。a、b、cは組合せ回路201の入力であり、d、e、fは組合せ回路201の出力である。208、209、210は2入力ANDゲートである。ANDゲート208、209、210は入力端子AおよびB、出力端子Yをもつ。211はインバータである。インバータ211は入力端子Aと出力端子Yをもつ。212、213はORゲートである。ORゲート212、213もまた、入力端子AおよびB、出力端子Yをもつ。組合せ回路201は、ANDゲート208、209、210とインバータ211と、ORゲート212、213で構成されている。
As described above, the scan flip-flops 202, 203, 204, 205, 206, and 207 are connected to form a scan chain.
201 is a combinational circuit. a, b, and c are inputs of the combinational circuit 201, and d, e, and f are outputs of the combinational circuit 201. 208, 209 and 210 are two-input AND gates. The AND gates 208, 209, and 210 have input terminals A and B and an output terminal Y. 211 is an inverter. The inverter 211 has an input terminal A and an output terminal Y. 212 and 213 are OR gates. The OR gates 212 and 213 also have input terminals A and B and an output terminal Y. The combinational circuit 201 includes AND gates 208, 209, and 210, an inverter 211, and OR gates 212 and 213.

これらの接続関係について以下に説明する。
ANDゲート208の入力端子A、Bは、それぞれ、組合せ回路201の入力a、bに接続されている。ANDゲート208の出力端子YはANDゲート209の入力端子Aに接続されている。ANDゲート209の入力端子A、Bは、それぞれ、ANDゲート208の出力端子Y、ORゲート212の出力端子Yに接続されている。ANDゲート209の出力端子Yは、ANDゲート210の入力端子Aと組合せ回路201の出力dに接続されている。ANDゲート210の入力端子A、Bは、それぞれ、ANDゲート209の出力端子Y、ORゲート213の出力端子Yと接続されている。ANDゲート210の出力端子Yは、組合せ回路201の出力eと接続されている。インバータ211の入力端子Aは組合せ回路201の入力bと接続されている。インバータ211の出力端子YはORゲート212の入力端子Aと接続されている。ORゲート212の入力端子A、Bは、それぞれ、インバータ211の出力端子Y、組合せ回路201の入力cと接続されている。ORゲート212の出力端子Yは、ANDゲート209の入力端子BとORゲート213の入力端子Aに接続されている。ORゲート213の入力端子A、Bは、それぞれ、ORゲート212の出力端子Y、組合せ回路201の入力cと接続されている。ORゲート213の出力端子YはANDゲート210の入力端子Bと組合せ回路201の出力fと接続されている。スキャンフリップフロップ202、203、204のQ端子は、それぞれ、組合せ回路201の入力a、b、cと接続される。スキャンフリップフロップ205、206、207のD端子は、それぞれ、組合せ回路201の出力d、e、fと接続されている。
These connection relationships will be described below.
The input terminals A and B of the AND gate 208 are connected to the inputs a and b of the combinational circuit 201, respectively. The output terminal Y of the AND gate 208 is connected to the input terminal A of the AND gate 209. The input terminals A and B of the AND gate 209 are connected to the output terminal Y of the AND gate 208 and the output terminal Y of the OR gate 212, respectively. The output terminal Y of the AND gate 209 is connected to the input terminal A of the AND gate 210 and the output d of the combinational circuit 201. The input terminals A and B of the AND gate 210 are connected to the output terminal Y of the AND gate 209 and the output terminal Y of the OR gate 213, respectively. The output terminal Y of the AND gate 210 is connected to the output e of the combinational circuit 201. The input terminal A of the inverter 211 is connected to the input b of the combinational circuit 201. The output terminal Y of the inverter 211 is connected to the input terminal A of the OR gate 212. The input terminals A and B of the OR gate 212 are connected to the output terminal Y of the inverter 211 and the input c of the combinational circuit 201, respectively. The output terminal Y of the OR gate 212 is connected to the input terminal B of the AND gate 209 and the input terminal A of the OR gate 213. The input terminals A and B of the OR gate 213 are connected to the output terminal Y of the OR gate 212 and the input c of the combinational circuit 201, respectively. The output terminal Y of the OR gate 213 is connected to the input terminal B of the AND gate 210 and the output f of the combinational circuit 201. The Q terminals of the scan flip-flops 202, 203, and 204 are connected to the inputs a, b, and c of the combinational circuit 201, respectively. The D terminals of the scan flip-flops 205, 206, and 207 are connected to the outputs d, e, and f of the combinational circuit 201, respectively.

スキャンフリップフロップ203には、前述したように、図10または図11に示されている回路(HOLD信号はNT信号と共通化されている)が使用されているので、そのQ出力端子の信号値は、入力端子NTに受けるスキャンイネーブル信号の値が1の時、すなわちスキャンテストのシフトモード時には0に固定される。   As described above, since the circuit shown in FIG. 10 or FIG. 11 (HOLD signal is shared with the NT signal) is used for the scan flip-flop 203, the signal value at the Q output terminal is used. Is fixed to 0 when the value of the scan enable signal received at the input terminal NT is 1, that is, in the shift mode of the scan test.

一般的に半導体集積回路の単位時間あたりの消費電力は、「0」から「1」もしくは「1」から「0」に変化する回路内部のノード数に比例する。回路の内部ノードをAND、OR、インバータなどの基本ゲートの出力端子と定義した場合、この回路構成をとることにより、スキャンテストのシフト動作中は、組合せ回路内部の全てのノードを固定することができ、消費電力を抑えることができる。   In general, the power consumption per unit time of a semiconductor integrated circuit is proportional to the number of nodes in the circuit that change from “0” to “1” or from “1” to “0”. If the internal nodes of the circuit are defined as output terminals of basic gates such as AND, OR, and inverter, this circuit configuration allows all nodes inside the combinational circuit to be fixed during the scan test shift operation. And power consumption can be reduced.

また、このような回路構成をとることにより、従来技術と同等の効果を、より少ない面積オーバーヘッドで実現することができる。
なお、本実施の形態2において、スキャンテストのシフト時に、組合せ回路へ伝達されるデータが固定されるように構成されたスキャンフリップフロップ203は、図3に示すように、組合せ回路へ伝達される第1の出力端子Q端子と、組合せ回路へQ端子の反転のデータを伝達する第2の出力端子/Q端子と、スキャンチェーン内の次段のスキャンフリップフロップへデータを伝達する第3の出力端子SOとを備えたスキャンフリップフロップであっても良い。
Further, by adopting such a circuit configuration, an effect equivalent to that of the prior art can be realized with a smaller area overhead.
In the second embodiment, scan flip-flop 203 configured such that data transmitted to the combinational circuit is fixed when the scan test is shifted is transmitted to the combinational circuit as shown in FIG. The first output terminal Q terminal, the second output terminal / Q terminal for transmitting the inverted data of the Q terminal to the combinational circuit, and the third output for transmitting the data to the next scan flip-flop in the scan chain It may be a scan flip-flop provided with a terminal SO.

スキャンフリップフロップ203として、図3のQ端子と/Q端子を備えたスキャンフリップフロップを使用することにより、組合せ回路分の論理の圧縮を容易にすることができる。例えば、/Q端子がないスキャンフリップフロップを使用した場合において、Q端子の直後にインバータが接続されるような回路構成は、/Q端子のあるスキャンスリップフロップを使用することにより、インバータを削減することが可能となる。   By using the scan flip-flop having the Q terminal and the / Q terminal of FIG. 3 as the scan flip-flop 203, the logic of the combinational circuit can be easily compressed. For example, when a scan flip-flop without a / Q terminal is used, a circuit configuration in which an inverter is connected immediately after the Q terminal reduces the number of inverters by using a scan slip flop with a / Q terminal. It becomes possible.

また、本実施の形態2のスキャンフリップフロップ204が、図3に示すスキャンフリップフロップに置き換えられている場合においても、スキャンチェーンに対するテストパタン供給が、組込み自己テスト手法(いわゆるBIST手法)で用いられているように、半導体集積回路に内蔵されているパタン発生回路から行われていてもよい。   Further, even when the scan flip-flop 204 of the second embodiment is replaced with the scan flip-flop shown in FIG. 3, the test pattern supply to the scan chain is used in the built-in self-test method (so-called BIST method). As shown, the pattern generation circuit may be built in the semiconductor integrated circuit.

また、本実施の形態2のスキャンフリップフロップ203が、図3に示すスキャンフリップフロップに置き換えられている場合においても、スキャンチェーンから出力されるデータが、組込み自己テスト手法(いわゆるBIST手法)で用いられているように、半導体集積回路に内蔵されている出力圧縮回路に取り込まれ、圧縮されるような構成になっていてもよい。   Even when the scan flip-flop 203 of the second embodiment is replaced with the scan flip-flop shown in FIG. 3, the data output from the scan chain is used in the built-in self-test method (so-called BIST method). As described above, it may be configured such that it is taken in and compressed by an output compression circuit built in the semiconductor integrated circuit.

図2に示す本実施の形態2の半導体集積回路の設計方法を図4に示す。なお、図4の各工程については、実施の形態1で既に説明したので、ここでの説明は省略する。ここで、図4に示す半導体集積回路の設計手法によって、図2に示す半導体集積回路を設計する流れを具体的に示す。工程301は、市販の論理合成ツールなどのEDAツールで容易に実行できるため、詳細な説明は省略する。   FIG. 4 shows a design method of the semiconductor integrated circuit according to the second embodiment shown in FIG. Since each step in FIG. 4 has already been described in the first embodiment, description thereof is omitted here. Here, the flow of designing the semiconductor integrated circuit shown in FIG. 2 by the design method of the semiconductor integrated circuit shown in FIG. 4 is specifically shown. Since the process 301 can be easily executed by an EDA tool such as a commercially available logic synthesis tool, detailed description thereof is omitted.

図5に示す回路に対して、工程302を実施し、スキャンテストのシフト動作時において消費電力に与える影響が大きいフリップフロップを1つ以上選択する。実施の形態1と同様の手順で、スキャンフリップフロップ403を選択する。   Step 302 is performed on the circuit shown in FIG. 5 to select one or more flip-flops that have a large influence on power consumption during the scan test shift operation. The scan flip-flop 403 is selected in the same procedure as in the first embodiment.

次に工程303で回路修正を行う。具体的には、スキャンフリップフロップ403を、図10または図11または図3に示すスキャンフリップフロップに置き換える。ただし、ここで使用されるスキャンフリップフロップは、HOLD端子への信号がNT端子への信号に共通化されている。   Next, in step 303, circuit correction is performed. Specifically, the scan flip-flop 403 is replaced with the scan flip-flop shown in FIG. 10, FIG. 11, or FIG. However, in the scan flip-flop used here, the signal to the HOLD terminal is shared with the signal to the NT terminal.

以上のような設計方法により、図2に示すような半導体集積回路が設計される。なお、図4の工程302において選択されるスキャンフリップフロップの数は複数であってもよい。このとき選択されるフリップフロップ数は、目標となる消費電力を達成できるまで選択される。またこのとき、選択されるスキャンフリップフロップの数は、許容できる面積の増分に制限されてもよい。   A semiconductor integrated circuit as shown in FIG. 2 is designed by the design method as described above. Note that the number of scan flip-flops selected in step 302 of FIG. 4 may be plural. The number of flip-flops selected at this time is selected until the target power consumption can be achieved. At this time, the number of scan flip-flops to be selected may be limited to an allowable increase in area.

また、選択されたスキャンフリップフロップが、回路全体の動作速度を決定するフリップフロップ間経路の始点となるスキャンフリップフロップであった場合は、選択を取りやめることがあっても良い。   Further, if the selected scan flip-flop is a scan flip-flop that is the starting point of the inter-flip-flop path that determines the operation speed of the entire circuit, the selection may be canceled.

以上の手法により設計された半導体集積回路は、面積増大、速度低下を抑制しつつ、スキャンテストおよびロジックBIST時のスキャンシフト時の消費電力を低減することができる。
(実施の形態3)
本発明の実施の形態3の半導体集積回路およびその設計方法を説明する。
The semiconductor integrated circuit designed by the above method can reduce power consumption during scan shift during scan test and logic BIST while suppressing an increase in area and a decrease in speed.
(Embodiment 3)
A semiconductor integrated circuit and a design method thereof according to Embodiment 3 of the present invention will be described.

図6は本実施の形態3の半導体集積回路の構成を示す回路図である。図6において、502、503、504、505、506、507はスキャンフリップフロップである。スキャンフリップフロップ504、503、502、507、506、505は、それぞれ、スキャンテストのシフト動作中に入力信号を受けるための入力端子DTと、ファンクション動作中もしくはスキャンテストのキャプチャー動作中に入力信号を受けるための入力端子Dと、スキャンイネーブル信号を受けるための入力端子NTと、クロック信号入力用の入力端子CKと、データ出力端子Qとを備えている。   FIG. 6 is a circuit diagram showing a configuration of the semiconductor integrated circuit according to the third embodiment. In FIG. 6, 502, 503, 504, 505, 506 and 507 are scan flip-flops. Each of the scan flip-flops 504, 503, 502, 507, 506, and 505 receives an input terminal DT for receiving an input signal during a scan test shift operation, and an input signal during a function operation or a scan test capture operation. An input terminal D for receiving, an input terminal NT for receiving a scan enable signal, an input terminal CK for inputting a clock signal, and a data output terminal Q are provided.

これらスキャンフリップフロップ504、503、502、507、506、505は直列に接続されていて、スキャンチェーンを構成している。このスキャンチェーンは具体的には以下のように接続されて構成されている。   These scan flip-flops 504, 503, 502, 507, 506, 505 are connected in series to constitute a scan chain. Specifically, this scan chain is configured to be connected as follows.

スキャンフリップフロップ504、503、502、507、506のQ端子は、それぞれ、スキャンフリップフロップ503、502、507、506、505のDT端子と接続されている。また、スキャンフリップフロップ505のQ端子はスキャンチェーンのスキャンアウト端子に接続されている。また、スキャンフリップフロップ504のDT端子はスキャンチェーンのスキャンイン端子に接続されている。   The Q terminals of the scan flip-flops 504, 503, 502, 507, and 506 are connected to the DT terminals of the scan flip-flops 503, 502, 507, 506, and 505, respectively. The Q terminal of the scan flip-flop 505 is connected to the scan-out terminal of the scan chain. The DT terminal of the scan flip-flop 504 is connected to the scan-in terminal of the scan chain.

以上のように、スキャンフリップフロップ502、503、504、505、506、507が接続されることで、スキャンチェーンが構成される。501は組合せ回路である。a、b、c、gは組合せ回路501の入力であり、d、e、fは組合せ回路501の出力である。508、509、510は2入力ANDゲートである。ANDゲート508、509、510は入力端子AおよびB、出力端子Yをもつ。511はNORゲートである。NORゲート511は入力端子AおよびB、出力端子Yをもつ。512、513はORゲートである。ORゲート512、513もまた、入力端子AおよびB、出力端子Yをもつ。組合せ回路501は、ANDゲート508、509、510とNORゲート511と、ORゲート512、513とで構成されている。   As described above, the scan flip-flops 502, 503, 504, 505, 506, and 507 are connected to form a scan chain. Reference numeral 501 denotes a combinational circuit. a, b, c, and g are inputs of the combinational circuit 501, and d, e, and f are outputs of the combinational circuit 501. Reference numerals 508, 509, and 510 are 2-input AND gates. AND gates 508, 509, 510 have input terminals A and B and an output terminal Y. Reference numeral 511 denotes a NOR gate. The NOR gate 511 has input terminals A and B and an output terminal Y. 512 and 513 are OR gates. The OR gates 512 and 513 also have input terminals A and B and an output terminal Y. The combinational circuit 501 includes AND gates 508, 509, and 510, a NOR gate 511, and OR gates 512 and 513.

これらの接続関係について以下に説明する。
ANDゲート508の入力端子A、Bは、それぞれ、組合せ回路501の入力a、bに接続されている。ANDゲート508の出力端子YはANDゲート509の入力端子Aに接続されている。ANDゲート509の入力端子A、Bは、それぞれ、ANDゲート508の出力端子Y、ORゲート512の出力端子Yに接続されている。ANDゲート509の出力端子Yは、ANDゲート510の入力端子Aと組合せ回路501の出力dに接続されている。ANDゲート510の入力端子A、Bは、それぞれ、ANDゲート509の出力端子Y、ORゲート513の出力端子Yと接続されている。ANDゲート510の出力端子Yは、組合せ回路501の出力eと接続されている。NORゲート511の入力端子A、Bは組合せ回路501の入力b、gと接続されている。NORゲート511の出力端子YはORゲート512の入力端子Aと接続されている。ORゲート512の入力端子A、Bは、それぞれ、NORゲート511の出力端子Y、組合せ回路501の入力cと接続されている。ORゲート512の出力端子Yは、ANDゲート509の入力端子BとORゲート513の入力端子Aに接続されている。ORゲート513の入力端子A、Bは、それぞれ、ORゲート512の出力端子Y、組合せ回路501の入力cと接続されている。ORゲート513の出力端子YはANDゲート510の入力端子Bと組合せ回路501の出力fと接続されている。スキャンフリップフロップ502、503、504のQ端子は、それぞれ、組合せ回路501の入力a、b、cと接続されている。スキャンフリップフロップ505、506、507のD端子は、それぞれ、組合せ回路の出力d、e、fと接続されている。組合せ回路501の入力gは、スキャンフリップフロップ502、503、504、505、506、507の端子NTへのスキャンイネーブル信号(シフト動作中=1、キャプチャー動作中=0)が接続される。
These connection relationships will be described below.
Input terminals A and B of the AND gate 508 are connected to inputs a and b of the combinational circuit 501, respectively. The output terminal Y of the AND gate 508 is connected to the input terminal A of the AND gate 509. The input terminals A and B of the AND gate 509 are connected to the output terminal Y of the AND gate 508 and the output terminal Y of the OR gate 512, respectively. The output terminal Y of the AND gate 509 is connected to the input terminal A of the AND gate 510 and the output d of the combinational circuit 501. The input terminals A and B of the AND gate 510 are connected to the output terminal Y of the AND gate 509 and the output terminal Y of the OR gate 513, respectively. The output terminal Y of the AND gate 510 is connected to the output e of the combinational circuit 501. The input terminals A and B of the NOR gate 511 are connected to the inputs b and g of the combinational circuit 501. The output terminal Y of the NOR gate 511 is connected to the input terminal A of the OR gate 512. The input terminals A and B of the OR gate 512 are connected to the output terminal Y of the NOR gate 511 and the input c of the combinational circuit 501, respectively. The output terminal Y of the OR gate 512 is connected to the input terminal B of the AND gate 509 and the input terminal A of the OR gate 513. The input terminals A and B of the OR gate 513 are connected to the output terminal Y of the OR gate 512 and the input c of the combinational circuit 501, respectively. The output terminal Y of the OR gate 513 is connected to the input terminal B of the AND gate 510 and the output f of the combinational circuit 501. The Q terminals of the scan flip-flops 502, 503, and 504 are connected to the inputs a, b, and c of the combinational circuit 501, respectively. The D terminals of the scan flip-flops 505, 506, and 507 are connected to the outputs d, e, and f of the combinational circuit, respectively. A scan enable signal (during shift operation = 1, capture operation = 0) to the terminals NT of the scan flip-flops 502, 503, 504, 505, 506, and 507 is connected to the input g of the combinational circuit 501.

以上の構成からもわかるように、スキャンテストのシフト動作中、すなわちスキャンイネーブル信号NT=1の時は、NORゲート511の出力端子の信号値は「0」に固定される。従って、組合せ回路501の内部ノードをAND、OR、NORなどの基本ゲートの出力端子と定義した場合、図6に示す半導体集積回路は、スキャンテストのシフト動作時に、内部の組合せ回路部分の、1つの特定の内部ノード信号を固定するための回路を備えている。   As can be seen from the above configuration, the signal value of the output terminal of the NOR gate 511 is fixed to “0” during the scan test shift operation, that is, when the scan enable signal NT = 1. Therefore, when the internal node of the combinational circuit 501 is defined as an output terminal of a basic gate such as AND, OR, or NOR, the semiconductor integrated circuit shown in FIG. A circuit for fixing one particular internal node signal is provided.

一般的に半導体集積回路の単位時間あたりの消費電力は、「0」から「1」もしくは「1」から「0」に変化する回路内部のノード数に比例するが、回路の内部ノードをAND、OR、NORなどの基本ゲートの出力端子と定義した場合、図6の回路構成をとることにより、スキャンテストのシフト動作中は、組合せ回路内部の特定のノード、すなわちNORゲート412の出力端子の信号値を固定することができ、消費電力を抑えることができる。   In general, the power consumption per unit time of a semiconductor integrated circuit is proportional to the number of nodes in the circuit that change from “0” to “1” or “1” to “0”. When defined as an output terminal of a basic gate such as OR, NOR, etc., by adopting the circuit configuration of FIG. The value can be fixed and power consumption can be suppressed.

図6に示す本実施の形態3では、シフト動作中に固定できる内部ノード数が、実施の形態1または2で固定できる内部ノードに比べて少ないため、低減できる消費電力は、実施の形態1または実施の形態2で低減できる消費電力に比べて小さい。   In the third embodiment shown in FIG. 6, the number of internal nodes that can be fixed during the shift operation is smaller than the number of internal nodes that can be fixed in the first or second embodiment. This is smaller than the power consumption that can be reduced in the second embodiment.

しかしながら、スキャンフリップフリップ503を始点として、ANDゲート508、ANDゲート509を経由してスキャンフリップフリップ505を終点とする経路が回路の動作速度を決定している経路(いわゆるクリティカルパス)である場合においては、図1に示す実施の形態1、または実施の形態2では、回路の動作速度を低下させてしまうが、図6に示す本実施の形態3は、回路の動作速度を低下させることなく、消費電力を低減できる。   However, in the case where the path starting from the scan flip flip 503 and passing through the AND gate 508 and the AND gate 509 and ending at the scan flip flip 505 is a path that determines the operation speed of the circuit (so-called critical path). In the first embodiment or the second embodiment shown in FIG. 1, the operation speed of the circuit is reduced. However, in the third embodiment shown in FIG. 6, the operation speed of the circuit is not reduced. Power consumption can be reduced.

また、本実施の形態3において、スキャンチェーンに対するテストパタン供給が、組込み自己テスト手法(いわゆるBIST手法)で用いられているように、半導体集積回路に内蔵されているパタン発生回路から行われていてもよい。   In the third embodiment, the test pattern is supplied to the scan chain from the pattern generation circuit built in the semiconductor integrated circuit as used in the built-in self-test method (so-called BIST method). Also good.

また、本実施の形態3において、スキャンチェーンから出力されるデータが、組込み自己テスト手法(いわゆるBIST手法)で用いられているように、半導体集積回路に内蔵されている出力圧縮回路に取り込まれ、圧縮されるような構成になっていてもよい。   In the third embodiment, the data output from the scan chain is taken into the output compression circuit built in the semiconductor integrated circuit as used in the built-in self-test method (so-called BIST method). It may be configured to be compressed.

図6に示す本実施の形態3の半導体集積回路の設計方法を図7に示す。図7において、601は、回路データを生成する工程である。工程601で生成する回路データは、半導体集積回路を構成している複数の組合せ論理素子および複数のフリップフロップの接続を含んでいる。602は、601で生成した回路データ内に含まれる内部ノードを1つ以上選択する工程である。選択する内部ノードは、スキャンテストのシフト動作中に単位時間当たりの信号変化回数の大きい内部ノードである。603は回路データを修正する工程である。工程603では、工程602で選択された内部ノードがスキャンテストのシフト中に組合せ回路への出力値が固定されるように、回路データを修正する。   FIG. 7 shows a method of designing the semiconductor integrated circuit according to the third embodiment shown in FIG. In FIG. 7, reference numeral 601 denotes a step of generating circuit data. The circuit data generated in the step 601 includes connections of a plurality of combinational logic elements and a plurality of flip-flops constituting the semiconductor integrated circuit. Reference numeral 602 denotes a step of selecting one or more internal nodes included in the circuit data generated in 601. The internal node to be selected is an internal node having a large number of signal changes per unit time during the scan test shift operation. Reference numeral 603 denotes a step of correcting circuit data. In step 603, the circuit data is corrected so that the output value to the combinational circuit is fixed during the shift of the scan test for the internal node selected in step 602.

以上のように、ここで説明する半導体集積回路の設計方法は、工程601、工程602、工程603で構成される。
また、工程602は以下の工程に分割される。すなわち回路中の各ノードのトグル率を計算する工程602aと、最もトグル率の高いノードを選択する工程602bと、選択されたノードを固定することにより、所望の消費電力まで削減できるかを判定する工程602cと、未だ選択されていないノードの中から、最もトグル率の高いノードを選択する工程602dである。
As described above, the method for designing a semiconductor integrated circuit described here includes steps 601, 602, and 603.
Step 602 is divided into the following steps. That is, the step 602a for calculating the toggle rate of each node in the circuit, the step 602b for selecting the node with the highest toggle rate, and determining whether the power consumption can be reduced to a desired level by fixing the selected node. Step 602c and step 602d for selecting the node with the highest toggle rate from the nodes that have not been selected.

これらの工程は以下の順番で実行される。まず602a、602b、602cが順番に実行される。602cの判定結果がYesの場合、処理は終了し、判定結果がNoの場合、602dを実行する。602dの実行後、602cへ戻る。   These steps are performed in the following order. First, 602a, 602b, and 602c are executed in order. If the determination result in 602c is Yes, the process ends. If the determination result is No, 602d is executed. After executing 602d, the process returns to 602c.

ここで、図7に示す半導体集積回路の設計方法によって、図6に示す半導体集積回路を設計する流れを具体的に示す。工程601は、市販の論理合成ツールなどのEDAツールで容易に実行できるため、ここでの詳細な説明は省略する。図5は、工程601で生成された回路データ(ネットリスト)をスケマティック表現したものである。図5の構成に関する説明は前述しているため省略する。   Here, the flow of designing the semiconductor integrated circuit shown in FIG. 6 by the method for designing the semiconductor integrated circuit shown in FIG. 7 is specifically shown. Since the process 601 can be easily executed by an EDA tool such as a commercially available logic synthesis tool, a detailed description thereof is omitted here. FIG. 5 is a schematic representation of the circuit data (net list) generated in step 601. The description regarding the configuration of FIG.

図5に示す回路に対して、工程602を実行し、スキャンテストのシフト動作時において、単位時間当たりの信号変化回数の大きい内部ノードを1つ選択する。まず、工程602aで各ノードのトグル率を求める。ここでスキャンテスト信号{011011}がスキャンテストのシフト動作中に、スキャンイン端子からシリアルに入力され、6クロックで、スキャンチェーンを構成する6つスキャンフリップフロップ404、403、402、407、406、405にセットされるとする。このときの各スキャンフリップフロップの値、および組合せ回路内の各ノードの値の遷移を図8に示す。   For the circuit shown in FIG. 5, step 602 is executed, and one internal node having a large number of signal changes per unit time is selected during the scan test shift operation. First, in step 602a, the toggle rate of each node is obtained. Here, the scan test signal {011011} is serially input from the scan-in terminal during the shift operation of the scan test, and the six scan flip-flops 404, 403, 402, 407, 406, which constitute the scan chain with 6 clocks. It is assumed that 405 is set. FIG. 8 shows the transition of the value of each scan flip-flop and the value of each node in the combinational circuit at this time.

図8に示す表中の「変化回数」は、スキャンテスト信号{011011}を全スキャンフリップフロップに印加する間の、組合せ回路401内の各内部ノードの信号変化の回数である。従って、各内部ノードのトグル率は、ANDゲート408のY端子が0.5回/サイクル、ANDゲート409のY端子が0回/サイクル、ANDゲート410のY端子が0回/サイクル、インバータ411のY端子が0.67回/サイクル、ORゲート412のY端子が0.5回/サイクル、ORゲート413のY端子が0.5回/サイクルである。   The “number of changes” in the table shown in FIG. 8 is the number of signal changes of each internal node in the combinational circuit 401 while the scan test signal {011011} is applied to all the scan flip-flops. Therefore, the toggle rate of each internal node is 0.5 times / cycle for the Y terminal of the AND gate 408, 0 times / cycle for the Y terminal of the AND gate 409, 0 times / cycle for the Y terminal of the AND gate 410, and the inverter 411. The Y terminal is 0.67 times / cycle, the Y terminal of the OR gate 412 is 0.5 times / cycle, and the Y terminal of the OR gate 413 is 0.5 times / cycle.

工程602bで最もトグル率の高いノードとして、インバータ411の出力端子Yが選択される。工程602cで、インバータ411の出力端子Yを固定することで、目標の消費電力まで削減されると判定され、工程602を終了する。   In step 602b, the output terminal Y of the inverter 411 is selected as the node having the highest toggle rate. In Step 602c, it is determined that the target power consumption is reduced by fixing the output terminal Y of the inverter 411, and Step 602 is ended.

次に工程603で、工程602で選択された内部ノードが、スキャンテストのシフト動作中に値が固定されるように回路修正を行う。具体的には、インバータ411を、入力端子A、Bと出力端子Yを持っている2入力NORゲートに置き換える。このとき、2入力NORゲートの1つの入力端子Aは組合せ回路401の入力bと接続され、他方の入力Bはスキャンイネーブル信号NTと接続され、インバータ411の出力端子YはORゲート412の入力端子Aに接続される。   Next, in step 603, the internal node selected in step 602 is corrected so that the value is fixed during the scan test shift operation. Specifically, the inverter 411 is replaced with a two-input NOR gate having input terminals A and B and an output terminal Y. At this time, one input terminal A of the 2-input NOR gate is connected to the input b of the combinational circuit 401, the other input B is connected to the scan enable signal NT, and the output terminal Y of the inverter 411 is the input terminal of the OR gate 412. Connected to A.

以上のような方法により図6に示すような半導体集積回路は設計される。
なお、図7の工程602において選択される内部ノードの数は複数であってもよい。このとき選択される内部ノード数は、目標となる消費電力を達成できるまで選択される。またこのとき、選択される内部ノードの数は、許容できる面積の増分に制限されてもよい。また、選択された内部ノードが、回路全体の動作速度を決定するフリップフロップ間経路上にある場合、選択を取りやめることがあっても良い。
The semiconductor integrated circuit as shown in FIG. 6 is designed by the above method.
Note that the number of internal nodes selected in step 602 in FIG. 7 may be plural. The number of internal nodes selected at this time is selected until the target power consumption can be achieved. Also, at this time, the number of selected internal nodes may be limited to an allowable area increment. Further, when the selected internal node is on the path between flip-flops that determines the operation speed of the entire circuit, the selection may be canceled.

以上の手法により設計された半導体集積回路は、面積増大、速度低下を抑制しつつ、スキャンテストおよびロジックBIST時のスキャンシフト時の消費電力を低減することができる。   The semiconductor integrated circuit designed by the above method can reduce power consumption during scan shift during scan test and logic BIST while suppressing an increase in area and a decrease in speed.

本発明の半導体集積回路およびその設計方法は、ファンクション動作速度に影響を与えることなく、また回路面積の増大を最低限に抑えつつ、スキャンテストおよびロジックBIST動作中の消費電力を抑えることができるものであり、テスト回路を搭載した半導体集積回路等の設計技術に適用できる。   The semiconductor integrated circuit and the design method of the present invention can suppress power consumption during scan test and logic BIST operation without affecting the function operation speed and minimizing the increase in circuit area. Therefore, the present invention can be applied to design techniques such as a semiconductor integrated circuit equipped with a test circuit.

101、201、401、501、10 組合せ回路
102〜107、202〜207、402〜407、502〜507、11A〜11F スキャンフリップフロップ
108〜110、114、208〜210、408〜410、508〜510 ANDゲート
111、211、411 インバータ
112〜113、212〜213、412〜413、512〜513 ORゲート
301 (実施の形態1の)工程1
302 (実施の形態1の)工程2
303 (実施の形態1の)工程3
511 NORゲート
601 (実施の形態3の)工程1
602 (実施の形態3の)工程2
603 (実施の形態3の)工程3
21 MUX
22、23、25 ラッチ
24 ANDゲート
31 スキャンフリップフロップ
32 ANDゲート
101, 201, 401, 501, 10 Combinational circuit 102-107, 202-207, 402-407, 502-507, 11A-11F Scan flip-flop 108-110, 114, 208-210, 408-410, 508-510 AND gates 111, 211, and 411 inverters 112 to 113, 212 to 213, 412 to 413, 512 to 513 OR gate 301 (of the first embodiment) Step 1
302 Step 2 (of the first embodiment)
303 Step 3 (of the first embodiment)
511 NOR gate 601 (of the third embodiment) Step 1
602 Step 2 (in the third embodiment)
Step 603 (of the third embodiment)
21 MUX
22, 23, 25 Latch 24 AND gate 31 Scan flip-flop 32 AND gate

Claims (7)

第1のスキャンフリップフロップと第2のスキャンフリップフロップからなる第1のスキャンフリップフロップ群と、
第3のスキャンフリップフロップと第4のスキャンフリップフロップからなる第2のスキャンフリップフロップ群と、
前記第1のスキャンフリップフロップ群を構成するスキャンフリップフロップからの信号を受け、前記第2のスキャンフリップフロップ群を構成するスキャンフリップフロップに信号を出力する組合せ回路と、
前記第1のスキャンフリップフロップ、前記第2のスキャンフリップフロップ、前記第3のスキャンフリップフロップ、及び前記第4のスキャンフリップフロップを含む複数のスキャンフリップフロップが直列に接続された複数のスキャンチェーンを備え、
スキャンテストのシフト動作時は、前記スキャンチェーン内をシリアルにデータ転送し、ファンクション動作時もしくはスキャンテストのキャプチャー動作時は、前記組合せ回路を介して、前記第1のスキャンフリップフロップ群を構成するスキャンフリップフロップから、前記第2のスキャンフリップフロップ群を構成するスキャンフリップフロップへデータ転送を行うように構成された半導体集積回路であって、
前記第1のスキャンフリップフロップの出力端子と前記組合せ回路の入力との間に、前記スキャンテストのシフト動作時に前記第1のスキャンフリップフロップから前記組合せ回路の入力へのデータ信号を固定するための回路を備え、
前記第2のスキャンフリップフロップの出力端子と前記組合せ回路の入力との間に前記スキャンテストのシフト動作時に前記第2のスキャンフリップフロップから前記組合せ回路への入力へのデータ信号を固定するための回路を備えないことを特徴とする半導体集積回路。
A first scan flip-flop group comprising a first scan flip-flop and a second scan flip-flop;
A second scan flip-flop group comprising a third scan flip-flop and a fourth scan flip-flop;
A combinational circuit for receiving a signal from a scan flip-flop constituting the first scan flip-flop group and outputting a signal to the scan flip-flop constituting the second scan flip-flop group;
A plurality of scan chains in which a plurality of scan flip-flops including the first scan flip-flop, the second scan flip-flop, the third scan flip-flop, and the fourth scan flip-flop are connected in series. Prepared,
During the scan test shift operation, data is serially transferred in the scan chain, and during the function operation or the scan test capture operation, the scan constituting the first scan flip-flop group is performed via the combinational circuit. A semiconductor integrated circuit configured to transfer data from a flip-flop to a scan flip-flop constituting the second scan flip-flop group,
For fixing a data signal from the first scan flip-flop to the input of the combinational circuit during the shift operation of the scan test between the output terminal of the first scan flip-flop and the input of the combinational circuit With a circuit,
For fixing a data signal from the second scan flip-flop to the input to the combinational circuit during the shift operation of the scan test between the output terminal of the second scan flip-flop and the input of the combinational circuit A semiconductor integrated circuit comprising no circuit.
第1のスキャンフリップフロップと第2のスキャンフリップフロップからなる第1のスキャンフリップフロップ群と、
第3のスキャンフリップフロップと第4のスキャンフリップフロップからなる第2のスキャンフリップフロップ群と、
前記第1のスキャンフリップフロップ群を構成するスキャンフリップフロップからの信号を受け、前記第2のスキャンフリップフロップ群を構成するスキャンフリップフロップに信号を出力する組合せ回路と、
前記第1のスキャンフリップフロップ、前記第2のスキャンフリップフロップ、前記第3のスキャンフリップフロップ、及び前記第4のスキャンフリップフロップを含む複数のスキャンフリップフロップが直列に接続された複数のスキャンチェーンを備え、
スキャンテストのシフト動作時は、前記スキャンチェーン内をシリアルにデータ転送し、ファンクション動作時もしくはスキャンテストのキャプチャー動作時は、前記組合せ回路を介して、前記第1のスキャンフリップフロップ群を構成するスキャンフリップフロップから、前記第2のスキャンフリップフロップ群を構成するスキャンフリップフロップへデータ転送を行うように構成された半導体集積回路であって、
前記第1のスキャンフリップフロップは、前記ファンクション動作時もしくはスキャンテストのキャプチャー動作時に、前記組合せ回路にデータ転送する第1の出力端子と、
前記スキャンテストのシフト動作時に、前記スキャンチェーン内の次段のスキャンフリップフロップへデータ転送する第2の出力端子とを備え、
前記第2のスキャンフリップフロップは、前記組合せ回路にデータ転送する出力端子を備え、
スキャンテストのシフト動作時には、前記第1のスキャンフリップフロップの前記第1の出力端子の値は固定値に保持され、前記第2のスキャンフリップフロップの前記出力端子の値は固定値に保持されないことを特徴とする半導体集積回路。
A first scan flip-flop group comprising a first scan flip-flop and a second scan flip-flop;
A second scan flip-flop group comprising a third scan flip-flop and a fourth scan flip-flop;
A combinational circuit for receiving a signal from a scan flip-flop constituting the first scan flip-flop group and outputting a signal to the scan flip-flop constituting the second scan flip-flop group;
A plurality of scan chains in which a plurality of scan flip-flops including the first scan flip-flop, the second scan flip-flop, the third scan flip-flop, and the fourth scan flip-flop are connected in series. Prepared,
During the scan test shift operation, data is serially transferred in the scan chain, and during the function operation or the scan test capture operation, the scan constituting the first scan flip-flop group is performed via the combinational circuit. A semiconductor integrated circuit configured to transfer data from a flip-flop to a scan flip-flop constituting the second scan flip-flop group,
The first scan flip-flop has a first output terminal for transferring data to the combinational circuit during the function operation or the scan operation capture operation;
A second output terminal for transferring data to the next scan flip-flop in the scan chain during the scan test shift operation;
The second scan flip-flop has an output terminal for transferring data to the combinational circuit,
During the scan test shift operation, the value of the first output terminal of the first scan flip-flop is held at a fixed value, and the value of the output terminal of the second scan flip-flop is not held at a fixed value. A semiconductor integrated circuit.
請求項1又は2記載の半導体集積回路であって、
前記第1のスキャンフリップフロップを始点とし、前記複数のスキャンフリップフロップに含まれる1つのスキャンフリップフロップを終点とする経路上の基本ゲート数が、前記第2のスキャンフリップフロップを始点とし、前記複数のスキャンフリップフロップに含まれる1つのスキャンフリップフロップを終点とする経路上の基本ゲート数よりも多いことを特徴とする半導体集積回路。
The semiconductor integrated circuit according to claim 1 or 2,
The number of basic gates on a path starting from the first scan flip-flop and ending at one scan flip-flop included in the plurality of scan flip-flops is the second scan flip-flop starting from the second scan flip-flop. A semiconductor integrated circuit characterized in that the number is larger than the number of basic gates on a path whose end point is one scan flip-flop included in the scan flip-flop.
請求項1又は2記載の半導体集積回路であって、
前記組合せ回路のうち、前記第1のスキャンフリップフロップが駆動する基本ゲート数が、前記第2のスキャンフリップフロップが駆動する基本ゲート数よりも多いことを特徴とする半導体集積回路。
The semiconductor integrated circuit according to claim 1 or 2,
2. The semiconductor integrated circuit according to claim 1, wherein the number of basic gates driven by the first scan flip-flop is greater than the number of basic gates driven by the second scan flip-flop.
請求項1又は2記載の半導体集積回路であって、
前記組合せ回路のうち、前記第1のスキャンフリップフロップの出力データを固定した場合に出力データが固定される基本ゲート数が、前記第2のスキャンフリップフロップの出力データを固定した場合に出力データが固定される基本ゲート数よりも多いことを特徴とする半導体集積回路。
The semiconductor integrated circuit according to claim 1 or 2,
Among the combinational circuits, the basic gate number to which the output data is fixed when the output data of the first scan flip-flop is fixed, and the output data when the output data of the second scan flip-flop is fixed. A semiconductor integrated circuit characterized in that the number is larger than the number of fixed basic gates.
請求項1又は2記載の半導体集積回路であって、
前記組合せ回路のうち、前記第1のスキャンフリップフロップが駆動する基本ゲートの消費電力が、前記第2のスキャンフリップフロップが駆動する基本ゲートの消費電力よりも多いことを特徴とする半導体集積回路。
The semiconductor integrated circuit according to claim 1 or 2,
A semiconductor integrated circuit characterized in that, among the combinational circuits, power consumption of a basic gate driven by the first scan flip-flop is larger than power consumption of a basic gate driven by the second scan flip-flop.
半導体集積回路の設計方法であって、
前記半導体集積回路を構成している組合せ回路および複数のスキャンフリップフロップの接続情報を含む回路データを生成する工程1と、
前記複数のスキャンフリップフロップの中から、1つ以上且つ前記複数のスキャンフリップフロップの総数未満の個数のスキャンフリップフロップを選択する工程2と、
スキャンテストのシフト動作中に、前記工程2で選択されたスキャンフリップフロップから前記組合せ回路への出力値が固定されるように前記回路データを修正する工程3を有することを特徴とする半導体集積回路の設計方法。
A method for designing a semiconductor integrated circuit, comprising:
Generating circuit data including connection information of a combinational circuit and a plurality of scan flip-flops constituting the semiconductor integrated circuit;
Selecting two or more scan flip-flops out of the plurality of scan flip-flops and less than the total number of the plurality of scan flip-flops;
A semiconductor integrated circuit comprising a step 3 of correcting the circuit data so that an output value from the scan flip-flop selected in the step 2 to the combinational circuit is fixed during a scan test shift operation. Design method.
JP2009107219A 2009-04-27 2009-04-27 Semiconductor integrated circuit and its design method Withdrawn JP2009175154A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2009107219A JP2009175154A (en) 2009-04-27 2009-04-27 Semiconductor integrated circuit and its design method

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2009107219A JP2009175154A (en) 2009-04-27 2009-04-27 Semiconductor integrated circuit and its design method

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2003347703A Division JP4416469B2 (en) 2003-10-07 2003-10-07 Semiconductor integrated circuit and design method thereof

Publications (1)

Publication Number Publication Date
JP2009175154A true JP2009175154A (en) 2009-08-06

Family

ID=41030367

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2009107219A Withdrawn JP2009175154A (en) 2009-04-27 2009-04-27 Semiconductor integrated circuit and its design method

Country Status (1)

Country Link
JP (1) JP2009175154A (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013050318A (en) * 2011-08-30 2013-03-14 Renesas Electronics Corp Output control scan flip-flop, semiconductor integrated circuit with the same, and method of manufacturing semiconductor integrated circuit
US8456193B2 (en) 2010-09-17 2013-06-04 Qualcomm Incorporated Integrated circuit leakage power reduction using enhanced gated-Q scan techniques

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8456193B2 (en) 2010-09-17 2013-06-04 Qualcomm Incorporated Integrated circuit leakage power reduction using enhanced gated-Q scan techniques
US9584120B2 (en) 2010-09-17 2017-02-28 Qualcomm Incorporated Integrated circuit leakage power reduction using enhanced gated-Q scan techniques
JP2013050318A (en) * 2011-08-30 2013-03-14 Renesas Electronics Corp Output control scan flip-flop, semiconductor integrated circuit with the same, and method of manufacturing semiconductor integrated circuit
US8892971B2 (en) 2011-08-30 2014-11-18 Renesas Electronics Corporation Output control scan flip-flop, semiconductor integrated circuit including the same, and design method for semiconductor integrated circuit

Similar Documents

Publication Publication Date Title
TWI428620B (en) Low-power and area-efficient scan cell for integrated circuit testing
JP3851782B2 (en) Semiconductor integrated circuit and test method thereof
US9341674B2 (en) Scan test circuit, test pattern generation control circuit, and scan test control method
JP2009222644A (en) Semiconductor integrated circuit, and design automating system
US8055965B2 (en) Semiconductor integrated circuit and method of testing the same
JP2009270832A (en) Logic circuit
US20110175638A1 (en) Semiconductor integrated circuit and core test circuit
JP3785388B2 (en) Failure detection method
US7600167B2 (en) Flip-flop, shift register, and scan test circuit
US20110181331A1 (en) Integrated circuit with leakage reduction in static nets
JP2009175154A (en) Semiconductor integrated circuit and its design method
JP4416469B2 (en) Semiconductor integrated circuit and design method thereof
JP5806050B2 (en) Output control scan flip-flop, semiconductor integrated circuit having the same, and method for designing semiconductor integrated circuit
JP6534592B2 (en) Scan test circuit and scan test apparatus
JP4786287B2 (en) Wiring structure of semiconductor integrated circuit and wiring method of semiconductor integrated circuit
JP5761819B2 (en) Scan asynchronous memory element, semiconductor integrated circuit including the same, design method thereof, and test pattern generation method
JP4610919B2 (en) Semiconductor integrated circuit device
JP2006058152A (en) Testing method for semiconductor device and testing circuit of semiconductor device
JP2004004047A (en) Input/output characterization chain for integrated circuit
JP4703398B2 (en) Semiconductor integrated circuit and test method thereof
JP2002009238A (en) Scan path design method
JP2004053341A (en) Semiconductor integrated circuit and its design method
JP2004037264A (en) Flip-flop circuit with scanning function, and scanning test circuit
JP2001153928A (en) Boundary scan circuit
JP2004294213A (en) Flip-flop circuit with scanning function, scanning test circuit, and scanning test circuit wiring method

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20090427

A761 Written withdrawal of application

Free format text: JAPANESE INTERMEDIATE CODE: A761

Effective date: 20110624