JP5640379B2 - 半導体装置の製造方法 - Google Patents

半導体装置の製造方法 Download PDF

Info

Publication number
JP5640379B2
JP5640379B2 JP2009298319A JP2009298319A JP5640379B2 JP 5640379 B2 JP5640379 B2 JP 5640379B2 JP 2009298319 A JP2009298319 A JP 2009298319A JP 2009298319 A JP2009298319 A JP 2009298319A JP 5640379 B2 JP5640379 B2 JP 5640379B2
Authority
JP
Japan
Prior art keywords
semiconductor substrate
gate electrode
insulating film
source
gate insulating
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2009298319A
Other languages
English (en)
Other versions
JP2011138947A (ja
Inventor
浩一 甘利
浩一 甘利
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Sony Corp
Original Assignee
Sony Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Sony Corp filed Critical Sony Corp
Priority to JP2009298319A priority Critical patent/JP5640379B2/ja
Priority to US12/967,857 priority patent/US8937349B2/en
Priority to CN2010105982465A priority patent/CN102130171B/zh
Publication of JP2011138947A publication Critical patent/JP2011138947A/ja
Priority to US14/573,771 priority patent/US9548360B2/en
Application granted granted Critical
Publication of JP5640379B2 publication Critical patent/JP5640379B2/ja
Priority to US15/371,826 priority patent/US9748384B2/en
Priority to US15/658,950 priority patent/US9991383B2/en
Priority to US15/956,254 priority patent/US10727335B2/en
Priority to US16/899,157 priority patent/US11043590B2/en
Priority to US17/329,393 priority patent/US11848380B2/en
Priority to US18/506,567 priority patent/US20240088290A1/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • H01L29/7834Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's with a non-planar structure, e.g. the gate or the source or the drain being non-planar
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/1037Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure and non-planar channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42356Disposition, e.g. buried gate electrode
    • H01L29/4236Disposition, e.g. buried gate electrode within a trench, e.g. trench gate electrode, groove gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/66583Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with initial gate mask or masking layer complementary to the prospective gate location, e.g. with dummy source and drain contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66613Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation
    • H01L29/66621Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation using etching to form a recess at the gate location
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66666Vertical transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7827Vertical transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7843Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being an applied insulating layer

Description

本発明は、半導体装置、および、その製造方法に関する。特に、たとえば、電界効果トランジスタ(FET:Field Effect Transistor)が半導体素子として半導体基板に設けられている、半導体装置、および、その製造方法に関する。
半導体装置は、たとえば、FETが半導体素子として半導体基板に設けられており、高集積化を実現するために、半導体素子の微細化が要求されている。しかしながら、微細化に伴って、FETにおいては、ドレイン電流量が低下して動作速度が低下する場合がある。
このため、このような不具合を解消するために、チャネルの幅方向に沿うように凹凸面を形成し、その凹凸面にゲート絶縁膜とゲート電極とを設けて、実効的なチャネル幅を増加させることが提案されている(たとえば、特許文献1,特許文献2参照)。
特開2007−5568号公報 特開2009−94571号公報
図21〜図24は、半導体装置100Jを示す図である。
ここで、図21は、半導体装置100Jの上面を示している。また、図22〜図24は、半導体装置100Jの断面を示している。具体的には、図22は、図21に示すX1−X2部分の断面を示し、図23は、図21に示すY1−Y2部分の断面を示し、図24は、図21に示すY3−Y4部分の断面を示している。
半導体装置100Jは、図21に示すように、半導体素子110Jを有し、この半導体素子110Jが、半導体基板101において素子分離領域200によって区画された部分に設けられている。
図21に示すように、半導体素子110Jは、ゲート電極111gjと、一対のソース・ドレイン領域112sj,112djとを有している。つまり、半導体素子110Jは、電界効果トランジスタである。
半導体素子110Jを構成するゲート電極111gjは、図22に示すように、半導体基板101Jの表面において、チャネル101cjの幅方向xに沿うように形成された凹凸面に、ゲート絶縁膜111zjを介して形成されている。
具体的には、ゲート電極111gjは、図23に示すように、半導体基板101Jの凹凸面のうち、凸部CVjでは、一対のソース・ドレイン領域112sj,112djに挟まれるように形成されている。そして、図24に示すように、凹部TRjでは、ゲート電極111gjが、その凹部TRjの内部において、一対のソース・ドレイン領域112sj,112djに挟まれるように形成されている。ここでは、図23と図24とに示すように、ゲート電極111gjは、凸部CVjと凹部TRjとにおいて断面形状が同じであって、チャネル長L12,L34が互いに同じになるように形成されている。そして、半導体基板101Jの表面において、ゲート電極111gjを挟むようにサイドウォールSWが設けられている。
また、半導体素子110Jを構成する一対のソース・ドレイン領域112sj,112djは、図23,図24に示すように、低濃度不純物領域112Ls,112Ldを含み、低濃度不純物領域112Ls,112Ldが、サイドウォールSWの下方に設けられている。そして、一対のソース・ドレイン領域112sj,112djは、高濃度不純物領域112Hs,112Hdを含み、低濃度不純物領域112Ls,112Ldを挟むように設けられている。
この半導体素子110Jの形成においては、チャネル101cjの幅方向に沿うように、半導体基板の表面を凹凸形状にして凹凸面を設ける。そして、その凹凸形状の表面に、ゲート絶縁膜111zjとゲート電極111gjとを順次設ける。
この後、一対のソース・ドレイン領域112sj,112djを設ける。この一対のソース・ドレイン領域112sj,112djの形成では、ゲート電極111gjをマスクとして、不純物を半導体基板101にイオン注入して、低濃度不純物領域112Ls,112Ldを形成する。そして、サイドウォールSWを形成後、ゲート電極111gjとサイドウォールSWとをマスクとして、不純物を半導体基板101にイオン注入して、高濃度不純物領域112Hs,112Hdを形成する。
このため、ゲート電極111gjの作成時のアライメントの関係から、凹凸面をチャネル長の方向yにおいて広く形成する必要がある。具体的には、図21に示すように、ゲート電極111gjの幅(y方向にて規定される距離)で規定される領域では十分でなく、図21にて点線で囲う領域TAjのように、チャネル長の方向yにおいて広く形成しなければならない。
よって、ソース・ドレイン領域112sj,112djの表面についても、凹凸形状になっているので、ソース・ドレイン電極(図示なし)を形成することが困難な場合がある。また、これと共に、チャネル長の方向yにおいて、ソース・ドレイン電極を微細に形成することが困難になる場合がある。
この他に、上記においては、一対のソース・ドレイン領域112sj,112djの形成を、凹凸面の形成後に実施するために、凹部TRjにおいて電界が拡散し、凸部CVjよりも凹部TRjにてオフ電流が増加する場合がある。そして、Sファクタが低下する不具合が生ずる場合がある。
このように、半導体素子の特性を向上させると共に、半導体素子の微細化を実現することは困難であった。
したがって、本発明は、半導体素子の特性を向上させると共に、半導体素子の微細化を容易に実現可能な半導体装置、および、その製造方法を提供する。
本発明の半導体装置は、半導体基板に設けられた半導体素子を具備し、前記半導体素子は、前記半導体基板上に設けられたゲート絶縁膜と、前記ゲート絶縁膜を介して設けられたゲート電極と、前記半導体基板において前記ゲート電極を挟むように設けられた一対のソース・ドレイン領域とを含む、電界効果トランジスタであり、前記半導体基板は、前記ゲート電極が設けられる部分の表面が凹凸面になるように形成されており、前記半導体基板の凹凸面のうち凸部では、前記一対のソース・ドレイン領域の表面と同じ高さの面を覆うように前記ゲート絶縁膜が形成されると共に、前記ゲート電極が当該ゲート絶縁膜の上面に設けられており、前記半導体基板の凹凸面のうち凹部では、前記一対のソース・ドレイン領域の表面から内部へ向けて設けられた溝の面を覆うように前記ゲート絶縁膜が形成されると共に、当該ゲート絶縁膜が設けられた溝の内部を埋め込むように前記ゲート電極が設けられている。
好適には、前記半導体基板の凹凸面に設けられた溝は、当該溝の側面が当該半導体基板の深さ方向に沿うように形成されている。
好適には、前記半導体基板の凹凸面に設けられた溝は、当該半導体基板の深さ方向に対して傾斜した傾斜面を含むように形成されている。
好適には、前記半導体基板の凹凸面に設けられた溝の傾斜面は、(111)面である。
好適には、前記一対のソース・ドレイン領域は、前記半導体基板の凹凸面の凸部と凹部とにおいて、同一の形状になるように形成されている。
好適には、前記一対のソース・ドレイン領域は、前記半導体基板の凹凸面の凸部と凹部との各部分において、上面が平坦であり、半導体基板内で同じ深さまで形成されている。
本発明の半導体装置の製造方法は、半導体基板上に設けられたゲート絶縁膜と、前記ゲート絶縁膜を介して設けられたゲート電極と、前記半導体基板において前記ゲート電極を挟むように設けられた一対のソース・ドレイン領域とを含む電界効果トランジスタを、半導体素子として形成する半導体素子形成工程を具備し、当該半導体素子形成工程においては、前記半導体基板において前記ゲート電極が設けられる部分の表面を凹凸面に形成し、前記半導体基板の凹凸面のうち凸部では、前記一対のソース・ドレイン領域の表面と同一の面を覆うように前記ゲート絶縁膜を形成すると共に、当該ゲート絶縁膜の上面に前記ゲート電極を形成し、前記半導体基板の凹凸面のうち凹部では、前記一対のソース・ドレイン領域の表面から内部へ向けて設けられた溝の面を覆うように前記ゲート絶縁膜を形成すると共に、当該ゲート絶縁膜が設けられた溝の内部を埋め込むように前記ゲート電極を形成する。
好適には、前記半導体素子形成工程は、前記半導体基板の表面において前記半導体素子を構成する前記ゲート絶縁膜および前記ゲート電極を形成する部分にダミーゲート絶縁膜を介してダミーゲート電極を形成すると共に、前記半導体素子を構成する前記一対のソース・ドレイン領域を、当該ダミーゲート電極を挟むように形成する第1ステップと、前記ダミーゲート電極の上面が露出し、前記一対のソース・ドレイン領域の上面が被覆されるように前記半導体基板の表面に平坦化膜を形成する第2ステップと、前記ダミーゲート電極および前記ダミーゲート絶縁膜を除去することによって、前記半導体基板において前記ダミーゲート電極および前記ダミーゲート絶縁膜が形成されていた表面を露出させ、当該表面部分に開口を形成する第3ステップと、前記半導体基板において前記開口が形成された表面についてエッチング処理を実施することで前記溝を設けて、前記半導体基板に前記凹凸面を形成する第4ステップと、前記半導体基板に形成された前記凹凸面を被覆するように絶縁膜を成膜することによって前記ゲート絶縁膜を形成する第5ステップと、前記凹凸面に形成されたゲート絶縁膜を被覆するように導電膜を成膜することによって、前記ゲート電極を形成する第6ステップとを有する。
好適には、前記半導体基板の凹凸面の凸部と凹部とにおいて、前記一対のソース・ドレイン領域が同一の形状になるように当該一対のソース・ドレイン領域を形成する。
本発明においては、半導体基板においてゲート電極が設けられる部分の表面を凹凸面に形成する。そして、その半導体基板の凹凸面のうち凸部では、半導体基板において一対のソース・ドレイン領域の表面と同一の面を覆うようにゲート絶縁膜を形成すると共に、当該ゲート絶縁膜の上面にゲート電極を形成する。また、半導体基板の凹凸面のうち凹部では、半導体基板において一対のソース・ドレイン領域の表面から内部へ向けて設けられた溝の面を覆うようにゲート絶縁膜を形成する共に、当該ゲート絶縁膜が設けられた溝の内部を埋め込むようにゲート電極を形成する。
本発明によれば、半導体素子の特性を向上させると共に、半導体素子の微細化を容易に実現可能な半導体装置、および、その製造方法を提供することができる。
図1は、本発明の第1実施形態に係る半導体装置100を示す図である。 図2は、本発明の第1実施形態に係る半導体装置100を示す図である。 図3は、本発明の第1実施形態に係る半導体装置100を示す図である。 図4は、本発明の第1実施形態に係る半導体装置100を示す図である。 図5は、本発明の第1実施形態に係る半導体装置の製造方法において、各工程にて製造される装置の要部を示す図である。 図6は、本発明の第1実施形態に係る半導体装置の製造方法において、各工程にて製造される装置の要部を示す図である。 図7は、本発明の第1実施形態に係る半導体装置の製造方法において、各工程にて製造される装置の要部を示す図である。 図8は、本発明の第1実施形態に係る半導体装置の製造方法において、各工程にて製造される装置の要部を示す図である。 図9は、本発明の第1実施形態に係る半導体装置の製造方法において、各工程にて製造される装置の要部を示す図である。 図10は、本発明の第1実施形態に係る半導体装置の製造方法において、各工程にて製造される装置の要部を示す図である。 図11は、本発明の第1実施形態に係る半導体装置の製造方法において、各工程にて製造される装置の要部を示す図である。 図12は、本発明の第1実施形態に係る半導体装置の製造方法において、各工程にて製造される装置の要部を示す図である。 図13は、本発明の第1実施形態に係る半導体装置の製造方法において、各工程にて製造される装置の要部を示す図である。 図14は、本発明の第1実施形態に係る半導体装置の製造方法において、各工程にて製造される装置の要部を示す図である。 図15は、本発明の第1実施形態に係る半導体装置の製造方法において、各工程にて製造される装置の要部を示す図である。 図16は、本発明の第1実施形態に係る半導体装置の製造方法において、各工程にて製造される装置の要部を示す図である。 図17は、本発明の第2実施形態に係る半導体装置100bを示す図である。 図18は、本発明の第2実施形態に係る半導体装置100bの変形例を示す図である。 図19は、本発明の実施形態に係る半導体装置100cを示す図である。 図20は、本発明の実施形態に係る半導体装置100dを示す図である。 図21は、半導体装置100Jを示す図である。 図22は、半導体装置100Jを示す図である。 図23は、半導体装置100Jを示す図である。 図24は、半導体装置100Jを示す図である。
以下より、本発明の実施形態について説明する。
説明は、下記の手順で行う。
1.第1実施形態(溝が矩形形状)
2.第2実施形態(溝がテーパー形状)
3.その他
<1.第1実施形態>
(A)装置構成
図1〜図4は、本発明の第1実施形態に係る半導体装置100を示す図である。
ここで、図1は、半導体装置100の上面を示している。また、図2〜図4は、半導体装置100の断面を示している。具体的には、図2は、図1に示すX1−X2部分において紙面に垂直な断面を示している。また、図3は、図1に示すY1−Y2部分において紙面に垂直な断面を示している。また、図4は、図1に示すY3−Y4部分において紙面に垂直な断面を示している。
半導体装置100は、図1から図4に示すように、半導体基板101を有する。半導体基板101は、たとえば、単結晶シリコンからなる基板であり、酸化シリコンなどの絶縁材料で素子分離領域200が設けられている。そして、半導体基板101においては、素子分離領域200で区画された領域に、半導体素子110が設けられている。
図1に示すように、半導体素子110は、FETであって、ゲート電極111gと、一対のソース・ドレイン領域112s,112dとを有している。
半導体素子110を構成するゲート電極111gは、図1に示すように、半導体基板101の面(xy面)において、一対のソース・ドレイン領域112s,112dに挟まれるように設けられている。また、ゲート電極111gは、一対のソース・ドレイン領域112s,112dが並んでいるチャネル長の方向yに対して、垂直な方向xに延在している。つまり、ゲート電極111gは、チャネルの幅方向xが長手方向になるように形成されている。
このゲート電極111gは、導電材料によって形成されている。たとえば、Ti,Ru,Hf,Ir,Co,W,Mo,La,Ni,Cu,Al等の金属材料や、これらのSi化合物、または、これらのN化合物などの導電材料を用いて形成されている。また、上記導電材料を、適宜、組み合わせて、ゲート電極111gを形成しても良い。その他、適宜、複数の導電材料を積層させて、ゲート電極111gを形成しても良い。
上記のゲート電極111gは、図2に示すように、半導体基板101の表面に、ゲート絶縁膜111zを介して形成されている。ゲート絶縁膜111zは、たとえば、Al,Y,Zr,La,Hf,Taから選択される金属の酸化物,酸化ケイ化物,窒化酸化物,酸化窒化ケイ化物などの高誘電体率(High−k)材料を用いて形成されている。
図2に示すように、半導体基板101の表面には、ゲート電極111gの長手方向xに沿って凹凸形状の凹凸面が形成されており、ゲート電極111gは、ゲート絶縁膜111zを介して、この凹凸面を被覆するように形成されている。本実施形態では、半導体基板101の深さ方向zに側面が沿った溝Mが、チャネル101cの幅方向xにて等しいピッチで繰り返し設けられている。そして、この溝Mによって形成された凸部CVと凹部TRとの表面に、ゲート電極111gおよびゲート絶縁膜111zが形成されている。
また、図2に示すように、ゲート電極111gは、表面が平坦であって、凹凸面において、凹部TRに対応する部分が凸部CVに対応する部分よりも、厚くなるように形成されている。
そして、ゲート電極111gは、図3に示すように、凸部CVでは、半導体基板101の表面において、一対のソース・ドレイン領域112s,112dに挟まれるように形成されている。そして、図4に示すように、半導体基板101の表面の凹部TRでは、凹部TRの内部において、ゲート電極111gが一対のソース・ドレイン領域112s,112dに挟まれるように形成されている。
また、図3と図4とに示すように、ゲート電極111gは、凸部CVと凹部TRとにおいて断面形状が異なり、チャネル長L12,L34が互いに異なるように形成されている。
具体的には、図3に示すように、凸部CVでは、半導体基板101の表面が凹状でなく、平坦であり、その平坦な半導体基板101の表面に、ゲート電極111gが、ゲート絶縁膜111zを介して形成されている。このため、凸部CVでは、チャネル長L12は、一対のソース・ドレイン領域112s,112dの間の距離に相当する。
これに対して、図4に示すように、凹部TRでは、半導体基板101の表面から深さ方向zに凹状に溝が形成されており、その溝の表面を被覆するように、ゲート絶縁膜111zが形成されている。そして、そのゲート絶縁膜111zが形成された溝の内部を埋め込むように、ゲート電極111gが形成されている。このため、凹部TRでは、チャネル長L34は、一対のソース・ドレイン領域112s,112dの間の距離に対して、ゲート電極111gが一対のソース・ドレイン領域112s,112dから深さ方向zに埋め込まれた距離を加算した距離に相当する。
このため、本実施形態では、図3と図4とに示すように、凸部CVにおけるチャネル長L12よりも、凹部TRにおけるチャネル長L34の方が長くなるように、ゲート電極111gが形成されている。
そして、図3と図4とに示すように、半導体基板101の表面においては、サイドウォールSWがゲート電極111gを挟むように、ゲート電極111gの両側面に設けられている。
半導体素子110Jを構成する一対のソース・ドレイン領域112s,112dは、図3,図4に示すように、低濃度不純物領域112Ls,112Ldを含み、低濃度不純物領域112Ls,112Ldが、サイドウォールSWの下方に設けられている。低濃度不純物領域112Ls,112Ldは、いわゆるエクステンション領域であって、接合が浅い領域として、半導体基板101の表層に形成されている。
また、図3,図4に示すように、一対のソース・ドレイン領域112s,112dは、高濃度不純物領域112Hs,112Hdを含み、高濃度不純物領域112Hs,112Hdが、低濃度不純物領域112Ls,112Ldを挟むように設けられている。この高濃度不純物領域112Hs,112Hdは、低濃度不純物領域112Ls,112Ldよりも、接合が深い位置になるように形成されている。また、高濃度不純物領域112Hs,112Hdは、表面にシリサイド膜で覆われており、ソース電極(図示なし),ドレイン電極(図示なし)がコンタクトされる。
このように、上記の半導体素子110は、いわゆるLDD(Lightly Doped Drain)構造のFETとして形成されている。そして、図2〜図4に示すように、平坦化膜SZなどのような層間絶縁膜によって、半導体素子110は被覆されている。
(B)製造方法
上記の半導体装置を製造する製造方法の要部に関して説明する。
図5から図16は、本発明の第1実施形態に係る半導体装置の製造方法において、各工程にて製造される装置の要部を示す図である。
ここでは、図5,図7,図9,図11,図13,図15のそれぞれは、前述の図2と同様に、図1のX1−X2部分において紙面に垂直な断面について示している。そして、図6,図8,図10,図12,図14,図16のそれぞれは、前述の図3または図4と同様に、図1のY1−Y2部分またはY3−Y4部分において紙面に垂直な断面について示している。具体的には、(a)は、図1に示すY1−Y2部分において紙面に垂直な断面を示している。また、(b)、図1に示すY3−Y4部分において紙面に垂直な断面を示している。
図5から図16において順次示すように、本実施形態においては、FETである半導体素子110を、いわゆるダマシンプロセスによって形成する。
(1)トランジスタ形成工程
上記の半導体装置を製造する際には、まず、図5,図6に示すように、トランジスタ形成工程を実施する。
ここでは、図5,図6に示すように、半導体基板101において素子分離領域200で区画された領域に、通常のMOSプロセスでトランジスタTrを設ける。本実施形態では、半導体基板101の表面に、ダミーゲート絶縁膜111zdを介在してダミーゲート電極111gdが設けられたトランジスタTrを形成する。
本工程では、半導体基板101の表面のうち半導体素子110のゲート絶縁膜111z,ゲート電極111gの形成部分に、ダミーゲート絶縁膜111zdを介してダミーゲート電極111gdを形成する。また、本工程では、半導体素子110のゲート絶縁膜111z,ゲート電極111gの形成よりも先に、半導体素子110を構成する一対のソース・ドレイン領域112s,112dを、上記のダミーゲート電極111gdを挟むように形成する。
具体的には、まず、STI(Shallow Trench Isolation)構造の素子分離領域200を、半導体基板101の表層に形成する。そして、半導体基板101の表面を酸化して酸化シリコンからなる保護膜(図示なし)を、チャネリングの防止のために形成する。その後、しきい値を調整するために、半導体基板101においてトランジスタTrを設ける領域に不純物をイオン注入する。そして、その保護膜を除去する。
そして、図5,図6に示すように、ダミーゲート絶縁膜111zdおよびダミーゲート電極111gdの形成を実施する。
ここでは、たとえば、半導体基板101の表面について熱酸化処理を実施することで、1〜3nm程度の膜厚の酸化シリコン膜(図示なし)を成膜する。そして、たとえば、CVD法を用いて、30〜100nm程度の膜厚のポリシリコン膜(図示なし)を、酸化シリコン膜(図示なし)上に成膜する。その後、そのポリシリコン膜(図示なし)についてパターン加工することで、ダミーゲート電極111gdを形成する。そして、さらに、その酸化シリコン膜(図示なし)についてパターン加工することで、ダミーゲート絶縁膜111zdを形成する。
そして、図6に示すように、一対のソース・ドレイン領域112s,112dの形成を実施する。
ここでは、まず、図6に示すように、一対のソース・ドレイン領域112s,112dを構成する低濃度不純物領域112Ls,112Ldを形成する。
低濃度不純物領域112Ls,112Ldの形成では、ダミーゲート電極111gdをマスクとして、半導体基板101の表層に不純物をイオン注入する。
具体的には、半導体素子110をn型MOSとして形成する場合には、AsやPなどのn型不純物をイオン注入する。また、p型MOSとして形成する場合には、BやInなどのp型不純物をイオン注入する。
そして、図6に示すように、サイドウォールSWを形成する。
サイドウォールSWの形成では、半導体基板101の表面においてダミーゲート電極111gdを被覆するように、絶縁体膜(図示なし)を成膜する。たとえば、CVD法によって、シリコン窒化膜を絶縁体膜(図示なし)として成膜する。そして、その絶縁体膜(図示なし)について、エッチバック処理を実施することで、サイドウォールSWを設ける。
そして、図6に示すように、一対のソース・ドレイン領域112s,112dを構成する高濃度不純物領域112Hs,112Hdを形成する。
高濃度不純物領域112Hs,112Hdの形成では、ダミーゲート電極111gdおよびサイドウォールSWをマスクとして、半導体基板101の表層に不純物をイオン注入する。
具体的には、低濃度不純物領域112Ls,112Ldの形成と同様に、n型MOSを形成する場合には、AsやPなどのn型不純物をイオン注入する。また、p型MOSを形成する場合には、BやInなどのp型不純物をイオン注入する。
この後、熱処理を実施することによって、上記において注入した不純物を活性化させて、一対のソース・ドレイン領域112s,112dを形成する。
そして、高濃度不純物領域112Hs,112Hdの表層に、シリサイド膜(図示なし)を形成する。たとえば、Co,Ni,Ptなどの金属のシリサイドになるように、シリサイド膜(図示なし)を形成する。
このように、上述の図1から図4に示した半導体素子110のゲート電極111gおよびゲート絶縁膜111zの形状が異なるトランジスタTrを、本工程で形成する。つまり、図5,図6に示すように、ダミーゲート電極111gd,ダミーゲート絶縁膜111zdが、チャネル101cの幅方向xにて半導体基板101の表面(xy面)に沿って延在するが、半導体基板101の深さ方向zに沿った部分を含まないように形成する。
(2)平坦化膜形成工程
つぎに、図7,図8に示すように、平坦化膜形成工程を実施する。
ここでは、図7,図8に示すように、半導体基板101の表面に平坦化膜SZを設ける。
本工程では、上記工程で形成したトランジスタTrにおいて、ダミーゲート電極111gdの上面が露出し、他の部分が平坦化膜SZで被覆されるように、平坦化膜SZを半導体基板101の表面に形成する。
具体的には、まず、トランジスタTrを被覆するように、たとえば、シリコン酸化膜(図示なし)を半導体基板101の表面に形成する。その後、たとえば、ダミーゲート電極111gdの上面が露出するまで、そのシリコン酸化膜(図示なし)についてCMP(Chemical Mecahnical Polish)処理を実施する。これにより、図7,図8に示すように、平坦化膜SZが形成される。
(3)ダミーゲート電極・ダミーゲート絶縁膜除去工程
つぎに、図9,図10に示すように、ダミーゲート電極・ダミーゲート絶縁膜除去工程を実施する。
ここでは、図9,図10に示すように、上記工程で形成したトランジスタTrのダミーゲート電極111gdとダミーゲート絶縁膜111zdとについて除去する。これにより、半導体基板101においてダミーゲート電極111gdおよびダミーゲート絶縁膜111zdが形成されていた表面を露出させ、当該表面部分に開口を形成する。
具体的には、まず、ダミーゲート電極111gdについて除去する。たとえば、Clガス,HBrガス、および、CLとHBrの混合ガスを用いて、ドライエッチング処理を実施することによって、ダミーゲート電極111gdを選択的に除去する。
その後、ダミーゲート絶縁膜111zdについて除去する。たとえば、フッ酸を用いたウェットエッチング処理の実施によって、ダミーゲート絶縁膜111zdを選択的に除去する。
このようにダミーゲート電極111gdとダミーゲート絶縁膜111zdとについて除去することで、一対のサイドウォールSWの間において、半導体基板101の表面を露出させる。
(4)溝形成工程
つぎに、図11,図12に示すように、溝形成工程を実施する。
ここでは、図11,図12に示すように、半導体基板101の表面に溝Mを形成する。
本工程では、半導体基板101において開口が形成された表面についてエッチング処理を実施することで半導体基板101の表面に溝Mを設ける。これにより、半導体基板101の表面に、凹凸形状の凹凸面を形成する。
具体的には、図11,図12に示すように、レジストパターンPRを形成する。ここでは、半導体基板101において凸部CVを形成する部分の表面を被覆し、凹部TRを形成する部分の表面が露出するように、レジストパターンPRを形成する。つまり、図示を省略しているが、上面において、チャネル長の方向yにストライプ状に延在する部分を含むように、レジストパターンPRを形成する。
その後、そのレジストパターンPRをマスクとして半導体基板101についてエッチング処理を実施することで、半導体基板101に溝Mを形成する。たとえば、ClとOとの混合ガスを用いて、ドライエッチング処理を実施することで、30〜60nm程度の深さになるように、溝Mを形成する。そして、更に、フッ酸を用いて後処理を実施することで、上記のエッチング処理によって生じたダメージ層(図示なし)を除去する。この後、レジストパターンPRを除去する。
これによって、半導体基板101の表面には、凸部CVと凹部TRとが設けられ、表面が凹凸形状に形成される。
(5)高誘電体膜形成工程
つぎに、図13,図14に示すように、高誘電体膜形成工程を実施する。
ここでは、図13,図14に示すように、半導体基板101に形成された凹凸面を被覆するように、高誘電体膜111zmを成膜する。本実施形態では、半導体基板101の凹凸面の他に、平坦化膜SZの上面、一対のサイドウォールSWが対面する側面を被覆するように、高誘電体膜111zmを形成する。
具体的には、図13に示すように、半導体基板101において凸部CVと凹部TRとのそれぞれの表面を被覆するように、高誘電体膜111zmを形成する。これにより、図14(a)に示すように、凸部CVにおいては、その上面が高誘電体膜111zmで被覆される。また、図14(b)に示すように、凹部TRにおいては、半導体基板101に形成された溝Mの底面および側面が高誘電体膜111zmで被覆される。
高誘電体膜111zmについては、たとえば、CVD法やALD法によって、上述した高誘電体材料を成膜することで形成する。たとえば、2nm厚の酸化ハフニウム膜を、高誘電体膜111zmとして形成する。具体的には、HfClとNHとを用いてCVD法によって、酸化ハフニウム膜を形成する。この他に、有機系のHfガスを用いてCVD法によって、酸化ハフニウム膜を形成してもよい。
(6)金属膜形成工程
つぎに、図15,図16に示すように、金属膜形成工程を実施する。
ここでは、図15,図16に示すように、半導体基板101に形成された凹凸形状の表面、平坦化膜SZの上面、および、一対のサイドウォールSWが対面する側面を、高誘電体膜111zmを介して被覆するように、金属膜111gmを形成する。
具体的には、図15に示すように、半導体基板101において凸部CVと凹部TRとのそれぞれの表面を、高誘電体膜111zmを介して被覆するように、金属膜111gmを形成する。これにより、図16(a)に示すように、凸部CVにおいては、その上面が高誘電体膜111zmを介して金属膜111gmで被覆されると共に、一対のサイドウォールSWの間が金属膜111gmで埋め込まれる。また、図16(b)に示すように、凹部TRにおいては、半導体基板101に形成された溝Mの底面および側面が、高誘電体膜111zmを介して金属膜111gmで被覆されると共に、一対のサイドウォールSWの間が金属膜111gmで埋め込まれる。
この金属膜111gmについては、たとえば、スパッタリング法によって、上述した金属材料を成膜することで形成する。
(7)ゲート電極・ゲート絶縁膜形成工程
つぎに、図2〜図4に示したように、ゲート電極・ゲート絶縁膜形成工程を実施して、半導体素子110を完成させる。
ここでは、高誘電体膜111zmおよび金属膜111gmを加工して、図2〜図4に示したように、ゲート電極111gおよびゲート絶縁膜111zを形成する。本実施形態では、平坦化膜SZ上に形成された高誘電体膜111zmおよび金属膜111gmを除去するように、平坦化処理を実施することで、ゲート電極111gおよびゲート絶縁膜111zを形成する。
具体的には、金属膜111gmおよび高誘電体膜111zmについてCMP処理を平坦化膜SZの上面が露出するまで実施する。これによって、金属膜111gmと高誘電体膜111zmとが順次研磨されて、ゲート電極111g,ゲート絶縁膜111zが形成される。
この後、層間絶縁膜(図示なし)で被覆後、ソース電極およびドレイン電極(図示なし)の形成を行い、半導体素子110を完成させる。
(C)まとめ
以上のように、本実施形態においては、半導体基板101に半導体素子110が設けられている。この半導体素子110は、電界効果トランジスタであって、ゲート絶縁膜111z,ゲート電極111g,一対のソース・ドレイン領域112s,112dを有する。半導体素子110において、ゲート絶縁膜111zは、半導体基板101の表面に設けられている。また、ゲート電極111gは、半導体基板101の表面においてゲート絶縁膜111zを介して設けられている。そして、一対のソース・ドレイン領域112s,112dは、半導体基板101においてゲート電極111gを挟むように設けられている。
本実施形態において半導体素子101は、ゲート電極111gが設けられる部分の表面が凹凸面になるように形成されている。この半導体素子101の凹凸面のうち、凸部CVでは、半導体基板101において一対のソース・ドレイン領域112s,112dの表面と同一の面を覆うようにゲート絶縁膜111zが形成される。そして、これと共に、ゲート電極111gが当該ゲート絶縁膜111zの上面に設けられている。これに対して、半導体基板の凹凸面のうち凹部TRでは、半導体基板101において一対のソース・ドレイン領域112s,112dの表面から内部へ向けて設けられた溝Mの面を覆うようにゲート絶縁膜111zが形成されている。そして、これと共に、当該ゲート絶縁膜111zが設けられた溝Mの内部を埋め込むようにゲート電極111gが設けられている。また、一対のソース・ドレイン領域112s,112dは、半導体基板101の凹凸面の凸部CVと凹部TRとにおいて、同一の形状になるように形成されている。
上記のように、本実施形態では、FETである半導体素子110において、チャネルの幅方向xが凹凸面に形成されている。このため、実効的なチャネル幅を増加させることができる。
特に、本実施形態では、半導体基板101の凹凸面に設けられた溝Mは、当該溝Mの側面が当該半導体基板101の深さ方向zに沿うように形成されている。このため、実効的なチャネル幅を、効果的に増加させることができる。
また、凹凸形状の側壁にてチャネルが形成されるので、Πゲートと同様な効果によって、Sファクタを改善できる。そして、凹部TRにおいては、いわゆるraised S/D構造と同様な不純物のプロファイルになっているので、コーナー効果によって、Sファクタをより改善することができる。
よって、本実施形態においては、低電圧での駆動を容易に実現することができる。
上記の半導体素子110の形成では、上述したように、いわゆるダマシンプロセスによってゲートを形成している。このため、ゲート電極111gの作成時のアライメントの関係を考慮して、凹凸形状をチャネル長の方向yにおいて広く形成する必要がない。
具体的には、図1に示すように、ゲート電極111gの幅(y方向にて規定される距離)で規定される領域TAのように、チャネル長と同じ幅で凹凸形状を形成し、チャネル長よりも広い幅で凹凸形状を形成する必要がない。つまり、半導体基板101の表面においては、ゲート電極111gの直下のみが凹凸形状になるように形成されている。
つまり、一対のソース・ドレイン領域112s,112dは、凸部CVと凹部TRとの各部分において、上面が平坦であり、半導体基板101内で同じ深さまで形成されている。
よって、本実施形態では、ソース・ドレイン領域112s,112dの表面が凹凸形状になっていないので、ソース・ドレイン電極(図示なし)の形成が容易である。また、これと共に、チャネル長の方向yにおいて、ソース・ドレイン電極の微細化を容易に実現することができる。
この他に、本実施形態では、一対のソース・ドレイン領域112s,112dの形成を凹凸形状の形成前に実施するために、凹部TRにおいて電界が拡散し、凸部CVよりも凹部TRにてオフ電流が増加することを防止できる。
したがって、本実施形態においては、半導体素子の特性を向上させると共に、半導体素子の微細化を容易に実現することができる。
<2.第2実施形態>
本発明の第2実施形態について説明する。
(A)装置構成など
図17は、本発明の第2実施形態に係る半導体装置100bを示す図である。
ここで、図17は、図2と同様に、図1に示すX1−X2部分において紙面に垂直な断面を示している。なお、図17のY1−Y2部分において紙面に垂直な断面については、図3と同様である。また、図17のY3−Y4部分において紙面に垂直な断面については、図4と同様である。
本実施形態は、図17に示すように、半導体素子110bにおいて、ゲート電極111gb,ゲート絶縁膜111zbが、第1実施形態と異なる。この点を除き、第1実施形態と同様である。このため、重複する個所については、記載を省略する。
図17に示すように、半導体素子110bは、第1実施形態の場合と同様に、FETであって、ゲート電極111gbを有している。
半導体素子110bを構成するゲート電極111gbは、図17に示すように、チャネル長の方向yに対して垂直な方向xに延在している。つまり、ゲート電極111gbは、チャネルの幅方向xが長手方向になるように形成されている。
上記のゲート電極111gbは、図17に示すように、半導体基板101の表面に、ゲート絶縁膜111zbを介して形成されている。
図17に示すように、半導体基板101の表面には、ゲート電極111gbの長手方向xに沿って凹凸面が形成されており、ゲート電極111gbは、ゲート絶縁膜111zbを介して、この凹凸面を被覆するように形成されている。
本実施形態においては、図17に示すように、半導体基板101の深さ方向zに対して側面が傾斜している溝Mbが繰り返し、設けられている。溝Mbは、底面が半導体基板101の表面(xy面)に沿っており、半導体基板101の表面から深部へ向かって溝Mbの開口面が小さくなるように形成されている。つまり、半導体基板101の凹凸面に設けられた溝Mbは、当該半導体基板101の深さ方向zに対して傾斜した傾斜面を含むように形成されている。そして、この溝Mbによって形成された凸部CVbと凹部TRbとの表面に、ゲート電極111gbおよびゲート絶縁膜111zbが形成されている。
このゲート電極111gbは、第1実施形態にて図3と図4とに示した場合と同様に、凸部CVbと凹部TRbとにおいて断面形状が異なり、チャネル長L12,L34が互いに異なるように形成されている。このため、本実施形態においても、半導体素子110bは、凸部CVにおけるチャネル長L12よりも、凹部TRにおけるチャネル長L34の方が長くなるように形成されている(図3,図4参照)。
本実施形態においては、第1実施形態の(4)溝形成工程が異なることを除いて、第1実施形態の場合と同様にして、上記の半導体素子110bを形成する。
図示を省略しているが、本実施形態の(4)溝形成工程では、第1実施形態の場合と同様に、レジストパターンPRを形成する(図11,図12参照)。
この後、第1実施形態の場合と異なり、そのレジストパターンPRをマスクとして半導体基板101についてエッチング処理を実施して、半導体基板101の(100)面を掘り込んで、(111)面を露出させることで、半導体基板101に溝Mbを形成する。たとえば、KOHなどを含むアルカリ系のエッチング液を用いたウェットエッチング処理を実施する。具体的には、溝Mbが30〜60nm程度の深さになるように、上記のエッチング処理を実施する。この後、レジストパターンPRを除去する。
これによって、半導体基板101の表面には、凸部CVbと凹部TRbとが設けられ、表面が凹凸形状に形成される。
そして、第1実施形態の場合と同様にして、(5)高誘電体膜形成工程,(6)金属膜形成工程,(7)ゲート電極・ゲート絶縁膜形成工程を順次実施して、半導体素子110bを完成させる。
(B)まとめ
以上のように、本実施形態においては、第1実施形態の場合と同様に、FETである半導体素子110bにおいて、チャネルの幅方向xが凹凸形状に形成されている。このため、実効的なチャネル幅を増加させることができる。また、凹凸形状の側壁にてチャネルが形成されるので、Πゲートと同様な効果等によって、Sファクタを改善できる。
よって、本実施形態においては、第1実施形態の場合と同様に、低電圧での駆動を容易に実現することができる。
また、本実施形態では、第1実施形態の場合と同様に、いわゆるダマシンプロセスによってゲートを形成しているので、第1実施形態の場合と同様な効果を好適に奏することができる。
したがって、本実施形態においては、半導体素子の特性を向上させると共に、半導体素子の微細化を容易に実現することができる。
(C)変形例
なお、上記においては、図17に示したように、溝Mbの底面が半導体基板101の表面(xy面)に対して水平に沿った場合について示したが、これに限定されない。
図18は、本発明の第2実施形態に係る半導体装置100bの変形例を示す図である。
ここで、図18は、図17と同様に、図1に示すX1−X2部分において紙面に垂直な断面を示している。また、図18のY1−Y2部分において紙面に垂直な断面については、図3と同様である。また、図18のY3−Y4部分において紙面に垂直な断面については、図4と同様である。
図18に示すように、溝Mbは、底面がなく、半導体基板101の表面(xy面)に対して傾斜した面が底部で交差するように溝Mbを形成しても良い。つまり、断面形状がテーパー形状でなく、三角形状になるように、溝Mbを形成しても良い。
<3.その他>
本発明の実施に際しては、上記の実施形態に限定されるものではなく、種々の変形形態を採用することができる。
図19は、本発明の実施形態に係る半導体装置100cを示す図である。
図19に示すように、一対のソース・ドレイン領域112s,112dについては、上面の位置が、半導体基板101にてゲート絶縁膜111zが設けられた面よりも下方に位置する部分を含むように形成しても良い。具体的には、一対のソース・ドレイン領域112s,112dにおいて、高濃度不純物領域112Hs,112Hdの上面が、半導体基板101にてゲート絶縁膜111zが設けられた面よりも下方に位置するように形成しても良い。
この場合には、第1実施形態の(1)トランジスタ形成工程において、高濃度不純物領域112Hs,112Hdの上面の一部をエッチング処理によって除去する。その後、第1実施形態の各工程を実施することで、上記のように、半導体装置100cを形成する。
図20は、本発明の実施形態に係る半導体装置100dを示す図である。
図20に示すように、一対のソース・ドレイン領域112s,112dの上面に応力印加層SKが被覆するように形成しても良い。たとえば、一対のソース・ドレイン領域112s,112dにおいて、高濃度不純物領域112Hs,112Hdの上面に応力印加層SKが被覆させてもよい。応力印加層SKは、たとえば、窒化シリコン膜などの絶縁膜であって、半導体素子110のチャネルに対して応力を加えてキャリア移動度を向上させるために形成されている。応力印加層SKは、FETである半導体素子110がn型MOSトランジスタであるときには、引張応力を与えるように材料を適宜選択して形成される。これに対して、FETである半導体素子110がp型MOSトランジスタであるときには、圧縮応力を与えるように材料を適宜選択して形成される。
この場合には、第1実施形態の(1)トランジスタ形成工程において、トランジスタTrの各部を被覆するように、応力印加層SKを形成する。その後、第1実施形態の各工程を実施することで、上記のように、半導体装置100dを形成する。
また、上記の絶縁膜の他に、高濃度不純物領域112Hs,112Hdの上面を被覆するシリサイド膜(図示なし)を、上記の応力印加層SKとして形成しても良い。
なお、上記の実施形態において、半導体装置100,100b,100c、100dは、本発明の半導体装置に相当する。また、上記の実施形態において、半導体基板101は、本発明の半導体基板に相当する。また、上記の実施形態において、半導体素子110,110bは、本発明の半導体素子に相当する。また、上記の実施形態において、ゲート電極111g,111gbは、本発明のゲート電極に相当する。また、上記の実施形態において、ダミーゲート電極111gdは、本発明のダミーゲート電極に相当する。また、上記の実施形態において、金属膜111gmは、本発明の導電膜に相当する。また、上記の実施形態において、ゲート絶縁膜111z,111zbは、本発明のゲート絶縁膜に相当する。また、上記の実施形態において、ダミーゲート絶縁膜111zdは、本発明のダミーゲート絶縁膜に相当する。また、上記の実施形態において、高誘電体膜111zmは、本発明の絶縁膜に相当する。また、上記の実施形態において、ソース・ドレイン領域112d,112sは、本発明のソース・ドレイン領域に相当する。また、上記の実施形態において、凸部CV,CVbは、本発明の凸部に相当する。また、上記の実施形態において、溝M,Mbは、本発明の溝に相当する。また、上記の実施形態において、平坦化膜SZは、本発明の平坦化膜に相当する。また、上記の実施形態において、凹部TR,TRbは、本発明の凹部に相当する。
100,100b,100c,100d:半導体装置、101:半導体基板、101c:チャネル、110,110b:半導体素子、111g,111gb:ゲート電極、111gd:ダミーゲート電極、111gm:金属膜、111z,111zb:ゲート絶縁膜、111zd:ダミーゲート絶縁膜、111zm:高誘電体膜、112Hs:高濃度不純物領域、112Ls:低濃度不純物領域、112d,112s:ソース・ドレイン領域、200:素子分離領域、CV,CVb:凸部、M,Mb:溝、PR:レジストパターン、SK:応力印加層、SW:サイドウォール、SZ:平坦化膜、TR,TRb:凹部、Tr:トランジスタ

Claims (1)

  1. 半導体基板の表面において半導体素子を構成するゲート絶縁膜およびゲート電極を形成する部分にダミーゲート絶縁膜を介してダミーゲート電極を形成すると共に、前記半導体素子を構成する一対のソース・ドレイン領域を、当該ダミーゲート電極を挟むように形成する第1ステップと、
    前記ダミーゲート電極の上面が露出し、前記一対のソース・ドレイン領域の上面が被覆されるように前記半導体基板の表面に平坦化膜を形成する第2ステップと、
    前記ダミーゲート電極および前記ダミーゲート絶縁膜を除去することによって、前記半導体基板において前記ダミーゲート電極および前記ダミーゲート絶縁膜が形成されていた表面を露出させ、当該表面部分に前記ソース・ドレイン領域に対して自己整合的な構成の開口を形成する第3ステップと、
    前記半導体基板において前記ソース・ドレイン領域に対して自己整合的な構成の前記開口内における前記半導体基板の表面についてエッチング処理を実施することで、前記半導体基板において前記ゲート電極が設けられる部分の表面を凹凸面に形成し、前記半導体基板の凹凸面のうち凸部では、前記一対のソース・ドレイン領域の表面と同一の面となり、前記半導体基板の凹凸面のうち凹部では、前記一対のソース・ドレイン領域の表面から内部へ向けて溝を設ける第4ステップと、
    前記半導体基板に形成された前記凹凸面を被覆するように絶縁膜を成膜することによって、前記半導体基板の凹凸面のうち凸部では、前記一対のソース・ドレイン領域の表面と同一の面を覆うように前記ゲート絶縁膜を形成し、前記半導体基板の凹凸面のうち凹部では、前記一対のソース・ドレイン領域の表面から内部へ向けて設けられた溝の面を覆うように前記ゲート絶縁膜を形成する第5ステップと、
    前記凹凸面に形成されたゲート絶縁膜を被覆するように導電膜を成膜することによって、前記半導体基板の凹凸面のうち凸部では、前記ゲート絶縁膜の上面にゲート電極を形成し、前記半導体基板の凹凸面のうち凹部では、前記ゲート絶縁膜が設けられた溝の内部を埋め込むようにゲート電極を形成する第6ステップと
    を有し、
    前記半導体基板の凹凸面の凸部と凹部とにおいて、前記一対のソース・ドレイン領域が同一の形状になるように、かつ、前記ゲート電極に対して自己整合的に、当該一対のソース・ドレイン領域を形成する、
    半導体装置の製造方法。
JP2009298319A 2009-12-28 2009-12-28 半導体装置の製造方法 Active JP5640379B2 (ja)

Priority Applications (10)

Application Number Priority Date Filing Date Title
JP2009298319A JP5640379B2 (ja) 2009-12-28 2009-12-28 半導体装置の製造方法
US12/967,857 US8937349B2 (en) 2009-12-28 2010-12-14 Semiconductor component and manufacturing method thereof
CN2010105982465A CN102130171B (zh) 2009-12-28 2010-12-21 半导体元件和用于制造半导体元件的方法
US14/573,771 US9548360B2 (en) 2009-12-28 2014-12-17 Semiconductor component and manufacturing method thereof
US15/371,826 US9748384B2 (en) 2009-12-28 2016-12-07 Semiconductor component and manufacturing method thereof
US15/658,950 US9991383B2 (en) 2009-12-28 2017-07-25 Semiconductor component and manufacturing method thereof
US15/956,254 US10727335B2 (en) 2009-12-28 2018-04-18 Semiconductor component and manufacturing method thereof
US16/899,157 US11043590B2 (en) 2009-12-28 2020-06-11 Semiconductor component and manufacturing method thereof
US17/329,393 US11848380B2 (en) 2009-12-28 2021-05-25 Semiconductor component and manufacturing method thereof
US18/506,567 US20240088290A1 (en) 2009-12-28 2023-11-10 Semiconductor component and manufacturing method thereof

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2009298319A JP5640379B2 (ja) 2009-12-28 2009-12-28 半導体装置の製造方法

Publications (2)

Publication Number Publication Date
JP2011138947A JP2011138947A (ja) 2011-07-14
JP5640379B2 true JP5640379B2 (ja) 2014-12-17

Family

ID=44186379

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2009298319A Active JP5640379B2 (ja) 2009-12-28 2009-12-28 半導体装置の製造方法

Country Status (3)

Country Link
US (8) US8937349B2 (ja)
JP (1) JP5640379B2 (ja)
CN (1) CN102130171B (ja)

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5640379B2 (ja) * 2009-12-28 2014-12-17 ソニー株式会社 半導体装置の製造方法
CN102931235B (zh) * 2011-08-12 2016-02-17 中芯国际集成电路制造(上海)有限公司 Mos晶体管及其制造方法
CN103000504A (zh) * 2011-09-14 2013-03-27 中国科学院微电子研究所 半导体器件及其制造方法
FR2995135B1 (fr) * 2012-09-05 2015-12-04 Commissariat Energie Atomique Procede de realisation de transistors fet
US9725310B2 (en) * 2013-12-20 2017-08-08 Taiwan Semiconductor Manufacturing Company, Ltd. Micro electromechanical system sensor and method of forming the same
CN105097916A (zh) * 2014-05-05 2015-11-25 中芯国际集成电路制造(上海)有限公司 Mos晶体管器件及其制作方法
CN105185799A (zh) 2015-08-18 2015-12-23 格科微电子(上海)有限公司 具有三维晶体管结构的背照式图像传感器及其形成方法
JP6998244B2 (ja) 2018-03-14 2022-01-18 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US11527531B2 (en) * 2018-09-28 2022-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Recessed gate for an MV device
CN111599860B (zh) * 2019-02-20 2023-10-13 联华电子股份有限公司 金属氧化物半导体晶体管及其制造方法
US10985254B2 (en) * 2019-06-28 2021-04-20 Nanya Technology Corporation Semiconductor device and method of manufacturing the same
CN117476750A (zh) * 2022-07-22 2024-01-30 长鑫存储技术有限公司 一种半导体结构及其制作方法

Family Cites Families (89)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS506287Y1 (ja) 1970-08-05 1975-02-22
JPS506287A (ja) * 1973-05-18 1975-01-22
JPS6186534A (ja) 1984-09-29 1986-05-02 久保山 信義 減圧加熱発熱方法
JPS62126675A (ja) * 1985-11-27 1987-06-08 Toshiba Corp 半導体装置及びその製造方法
JPH0329656Y2 (ja) 1986-02-03 1991-06-24
JPH0222868A (ja) * 1988-07-11 1990-01-25 Nec Corp 絶縁ゲート電界効果トランジスタ
US20040144999A1 (en) * 1995-06-07 2004-07-29 Li Chou H. Integrated circuit device
JP3405681B2 (ja) * 1997-07-31 2003-05-12 株式会社東芝 半導体装置
US6127233A (en) * 1997-12-05 2000-10-03 Texas Instruments Incorporated Lateral MOSFET having a barrier between the source/drain regions and the channel region
US6187641B1 (en) * 1997-12-05 2001-02-13 Texas Instruments Incorporated Lateral MOSFET having a barrier between the source/drain region and the channel region using a heterostructure raised source/drain region
US6252272B1 (en) * 1998-03-16 2001-06-26 Kabushiki Kaisha Toshiba Semiconductor device, and method of fabricating the same
US6465842B2 (en) * 1998-06-25 2002-10-15 Kabushiki Kaisha Toshiba MIS semiconductor device and method of fabricating the same
JP3169124B2 (ja) * 1998-06-29 2001-05-21 日本電気株式会社 電界効果トランジスタおよびその製造方法
US6093947A (en) * 1998-08-19 2000-07-25 International Business Machines Corporation Recessed-gate MOSFET with out-diffused source/drain extension
JP2000091571A (ja) * 1998-09-11 2000-03-31 Oki Electric Ind Co Ltd 半導体装置
US6683362B1 (en) * 1999-08-24 2004-01-27 Kenneth K. O Metal-semiconductor diode clamped complementary field effect transistor integrated circuits
KR100372639B1 (ko) * 2000-06-21 2003-02-17 주식회사 하이닉스반도체 모스팻 소자의 제조방법
US20020011612A1 (en) * 2000-07-31 2002-01-31 Kabushiki Kaisha Toshiba Semiconductor device and method for manufacturing the same
US6854330B2 (en) * 2001-10-26 2005-02-15 Nth Tech Corporation Accelerometer and methods thereof
US6833556B2 (en) * 2002-08-12 2004-12-21 Acorn Technologies, Inc. Insulated gate field effect transistor having passivated schottky barriers to the channel
JP2004319704A (ja) * 2003-04-15 2004-11-11 Seiko Instruments Inc 半導体装置
US6853031B2 (en) * 2003-04-17 2005-02-08 United Microelectronics Corp. Structure of a trapezoid-triple-gate FET
WO2004097943A1 (ja) * 2003-04-28 2004-11-11 Matsushita Electric Industrial Co., Ltd. 半導体装置とその製造方法
US6744111B1 (en) * 2003-05-15 2004-06-01 Koucheng Wu Schottky-barrier tunneling transistor
US6936491B2 (en) * 2003-06-04 2005-08-30 Robert Bosch Gmbh Method of fabricating microelectromechanical systems and devices having trench isolated contacts
WO2005001899A2 (en) * 2003-06-09 2005-01-06 Nantero, Inc. Non-volatile electromechanical field effect devices and circuits using same and methods of forming same
US7297602B2 (en) * 2003-09-09 2007-11-20 Sharp Laboratories Of America, Inc. Conductive metal oxide gate ferroelectric memory transistor
US7144767B2 (en) * 2003-09-23 2006-12-05 International Business Machines Corporation NFETs using gate induced stress modulation
US7059190B2 (en) * 2003-10-08 2006-06-13 Denso Corporation Semiconductor dynamic sensor having variable capacitor formed on laminated substrate
US7105390B2 (en) * 2003-12-30 2006-09-12 Intel Corporation Nonplanar transistors with metal gate electrodes
US7008833B2 (en) * 2004-01-12 2006-03-07 Sharp Laboratories Of America, Inc. In2O3thin film resistivity control by doping metal oxide insulator for MFMox device applications
US8394679B2 (en) * 2004-05-28 2013-03-12 Stellarray, Inc. Nano-structured gasket for cold weld hermetic MEMS package and method of manufacture
KR100594282B1 (ko) * 2004-06-28 2006-06-30 삼성전자주식회사 FinFET을 포함하는 반도체 소자 및 그 제조방법
US7378286B2 (en) * 2004-08-20 2008-05-27 Sharp Laboratories Of America, Inc. Semiconductive metal oxide thin film ferroelectric memory transistor
KR100669556B1 (ko) * 2004-12-08 2007-01-15 주식회사 하이닉스반도체 반도체 소자 및 그 제조 방법
US20060125121A1 (en) * 2004-12-15 2006-06-15 Chih-Hsin Ko Capacitor-less 1T-DRAM cell with Schottky source and drain
US7238999B2 (en) * 2005-01-21 2007-07-03 Honeywell International Inc. High performance MEMS packaging architecture
US7449355B2 (en) * 2005-04-27 2008-11-11 Robert Bosch Gmbh Anti-stiction technique for electromechanical systems and electromechanical device employing same
SG127754A1 (en) * 2005-05-16 2006-12-29 Sensfab Pte Ltd Silicon microphone
JP2007005568A (ja) * 2005-06-23 2007-01-11 Toshiba Corp 半導体装置
KR100712524B1 (ko) * 2005-08-09 2007-04-30 삼성전자주식회사 확장된 게이트 표면적을 갖는 드라이브 트랜지스터를구비한 cmos 이미지 센서 및 그 제조방법
US7482664B2 (en) * 2006-01-09 2009-01-27 Microsoft Corporation Out-of-plane electrostatic actuator
JP2007243105A (ja) * 2006-03-13 2007-09-20 Sony Corp 半導体装置およびその製造方法
US7180019B1 (en) * 2006-06-26 2007-02-20 Temic Automotive Of North America, Inc. Capacitive accelerometer or acceleration switch
US7875484B2 (en) * 2006-11-20 2011-01-25 Alces Technology, Inc. Monolithic IC and MEMS microfabrication process
US8338245B2 (en) * 2006-12-14 2012-12-25 Globalfoundries Singapore Pte. Ltd. Integrated circuit system employing stress-engineered spacers
JP5326274B2 (ja) * 2007-01-09 2013-10-30 ソニー株式会社 半導体装置および半導体装置の製造方法
US7514760B1 (en) * 2007-03-09 2009-04-07 Silicon Clocks, Inc. IC-compatible MEMS structure
US8736016B2 (en) * 2007-06-07 2014-05-27 Taiwan Semiconductor Manufacturing Company, Ltd. Strained isolation regions
JP2009094571A (ja) 2007-10-03 2009-04-30 Toshiba Corp 半導体集積回路
JP2009302317A (ja) * 2008-06-13 2009-12-24 Renesas Technology Corp 半導体装置およびその製造方法
US8796746B2 (en) * 2008-07-08 2014-08-05 MCube Inc. Method and structure of monolithically integrated pressure sensor using IC foundry-compatible processes
US8187902B2 (en) * 2008-07-09 2012-05-29 The Charles Stark Draper Laboratory, Inc. High performance sensors and methods for forming the same
US8637953B2 (en) * 2008-07-14 2014-01-28 International Business Machines Corporation Wafer scale membrane for three-dimensional integrated circuit device fabrication
US7642147B1 (en) * 2008-10-01 2010-01-05 International Business Machines Corporation Methods for removing sidewall spacers
WO2010077998A1 (en) * 2008-12-16 2010-07-08 Silicon Light Machines Corporation Method of fabricating an integrated device
US8089144B2 (en) * 2008-12-17 2012-01-03 Denso Corporation Semiconductor device and method for manufacturing the same
JP4858547B2 (ja) * 2009-01-09 2012-01-18 株式会社デンソー 半導体装置およびその製造方法
US8722537B2 (en) * 2009-03-19 2014-05-13 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-sacrificial layer and method
DE102009015747B4 (de) * 2009-03-31 2013-08-08 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Verfahren zur Herstellung von Transistoren mit Metallgateelektrodenstrukturen und Gatedielektrikum mit großem ε und einer Zwischenätzstoppschicht
US8393212B2 (en) * 2009-04-01 2013-03-12 The Boeing Company Environmentally robust disc resonator gyroscope
US8481386B2 (en) * 2009-04-09 2013-07-09 The Regents Of The University Of California Nanocrystal memories and methods of forming the same
DE102009021485B4 (de) * 2009-05-15 2017-10-05 Globalfoundries Dresden Module One Llc & Co. Kg Halbleiterbauelement mit Metallgate und einem siliziumenthaltenden Widerstand, der auf einer Isolationsstruktur gebildet ist sowie Verfahren zu dessen Herstellung
WO2010139067A1 (en) * 2009-06-02 2010-12-09 Micralyne Inc. Semi-conductor sensor fabrication
US7736931B1 (en) * 2009-07-20 2010-06-15 Rosemount Aerospace Inc. Wafer process flow for a high performance MEMS accelerometer
TWI396242B (zh) * 2009-08-11 2013-05-11 Pixart Imaging Inc 微電子裝置、微電子裝置的製造方法、微機電封裝結構及其封裝方法
JP5434365B2 (ja) * 2009-08-24 2014-03-05 ソニー株式会社 半導体装置及びその製造方法
DE102009039421B4 (de) * 2009-08-31 2017-09-07 GLOBALFOUNDRIES Dresden Module One Ltd. Liability Company & Co. KG Doppelkontaktmetallisierung mit stromloser Plattierung in einem Halbleiterbauelement
JP5498107B2 (ja) * 2009-09-24 2014-05-21 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
JP5640379B2 (ja) * 2009-12-28 2014-12-17 ソニー株式会社 半導体装置の製造方法
US8119473B2 (en) * 2009-12-31 2012-02-21 Taiwan Semiconductor Manufacturing Company, Ltd. High temperature anneal for aluminum surface protection
US8298875B1 (en) * 2011-03-06 2012-10-30 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US9006801B2 (en) * 2011-01-25 2015-04-14 International Business Machines Corporation Method for forming metal semiconductor alloys in contact holes and trenches
KR101784324B1 (ko) * 2011-04-18 2017-11-06 삼성전자 주식회사 반도체 장치의 제조 방법
CN102779752A (zh) * 2011-05-12 2012-11-14 中芯国际集成电路制造(上海)有限公司 半导体器件及其制造方法
FR2977885A1 (fr) * 2011-07-12 2013-01-18 Commissariat Energie Atomique Procede de realisation d'une structure a electrode enterree par report direct et structure ainsi obtenue
US8587077B2 (en) * 2012-01-02 2013-11-19 Windtop Technology Corp. Integrated compact MEMS device with deep trench contacts
US20130241007A1 (en) * 2012-03-15 2013-09-19 International Business Machines Corporation Use of band edge gate metals as source drain contacts
US8729646B2 (en) * 2012-08-09 2014-05-20 Taiwan Semiconductor Manufacturing Company, Ltd. MEMS devices and methods for forming the same
US9028628B2 (en) * 2013-03-14 2015-05-12 International Business Machines Corporation Wafer-to-wafer oxide fusion bonding
US10175188B2 (en) * 2013-03-15 2019-01-08 Robert Bosch Gmbh Trench based capacitive humidity sensor
US9034748B2 (en) * 2013-09-04 2015-05-19 International Business Machines Corporation Process variability tolerant hard mask for replacement metal gate finFET devices
US9117841B2 (en) * 2013-10-07 2015-08-25 Freescale Semiconductor, Inc. Mergeable semiconductor device with improved reliability
US9059164B2 (en) * 2013-10-22 2015-06-16 International Business Machines Corporation Embedded interlevel dielectric barrier layers for replacement metal gate field effect transistors
US9725310B2 (en) * 2013-12-20 2017-08-08 Taiwan Semiconductor Manufacturing Company, Ltd. Micro electromechanical system sensor and method of forming the same
US9105662B1 (en) * 2014-01-23 2015-08-11 International Business Machines Corporation Method and structure to enhance gate induced strain effect in multigate device
US9543216B2 (en) * 2015-06-05 2017-01-10 Globalfoundries Inc. Integration of hybrid germanium and group III-V contact epilayer in CMOS
US10256161B2 (en) * 2016-02-17 2019-04-09 International Business Machines Corporation Dual work function CMOS devices
US10199464B2 (en) * 2017-02-21 2019-02-05 International Business Machines Corporation Techniques for VFET top source/drain epitaxy

Also Published As

Publication number Publication date
CN102130171A (zh) 2011-07-20
US20170092762A1 (en) 2017-03-30
US11848380B2 (en) 2023-12-19
US20200303546A1 (en) 2020-09-24
US20240088290A1 (en) 2024-03-14
US20110156136A1 (en) 2011-06-30
US9991383B2 (en) 2018-06-05
US20210296496A1 (en) 2021-09-23
US9548360B2 (en) 2017-01-17
US10727335B2 (en) 2020-07-28
US20180240908A1 (en) 2018-08-23
US20170330967A1 (en) 2017-11-16
US8937349B2 (en) 2015-01-20
JP2011138947A (ja) 2011-07-14
US9748384B2 (en) 2017-08-29
US20150102402A1 (en) 2015-04-16
CN102130171B (zh) 2013-12-11
US11043590B2 (en) 2021-06-22

Similar Documents

Publication Publication Date Title
JP5640379B2 (ja) 半導体装置の製造方法
US20190288070A1 (en) FinFETs Having Dielectric Punch-Through Stoppers
US9881797B2 (en) Replacement gate electrode with multi-thickness conductive metallic nitride layers
KR100801063B1 (ko) 게이트 올 어라운드형 반도체 장치 및 그 제조 방법
JP5816560B2 (ja) 半導体装置およびその製造方法
TWI389200B (zh) 形成層間介電質之方法
TW201419545A (zh) 元件與其形成方法
JPWO2006006438A1 (ja) 半導体装置及びその製造方法
US20050215016A1 (en) Method of fabricating a three-dimensional MOSFET employing a hard mask spacer
KR20170137637A (ko) 반도체 장치 및 그 제조 방법
US7655976B2 (en) Field effect transistors having protruded active regions and methods of fabricating such transistors
JP4939548B2 (ja) 半導体デバイス構造体を製造するための方法
JP2005197739A (ja) デュアルゲートの形成方法
CN109285889B (zh) 半导体结构及其形成方法
JP2008258354A (ja) 半導体装置及びその製造方法
US7638400B2 (en) Method for fabricating semiconductor device
JP2007073757A (ja) 半導体装置の製造方法
JP5073158B2 (ja) 半導体装置及びその製造方法
KR100567333B1 (ko) 반도체 소자의 제조 방법
JP4942951B2 (ja) Mos型トランジスタの製造方法及びmos型トランジスタ
JP2006196757A (ja) 細線状mosfetを含む半導体装置
JP2005032874A (ja) 半導体装置および半導体装置の製造方法
KR20040022565A (ko) 반도체 장치의 트랜지스터 및 그 제조 방법

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20121218

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20131129

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20131203

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20131211

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140127

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20140520

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140808

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20140820

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20140930

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20141013

R151 Written notification of patent or utility model registration

Ref document number: 5640379

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R151

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250