JP5553985B2 - Electronic material cleaner - Google Patents

Electronic material cleaner Download PDF

Info

Publication number
JP5553985B2
JP5553985B2 JP2008315396A JP2008315396A JP5553985B2 JP 5553985 B2 JP5553985 B2 JP 5553985B2 JP 2008315396 A JP2008315396 A JP 2008315396A JP 2008315396 A JP2008315396 A JP 2008315396A JP 5553985 B2 JP5553985 B2 JP 5553985B2
Authority
JP
Japan
Prior art keywords
acid
cleaning
salt
cleaning agent
weight
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2008315396A
Other languages
Japanese (ja)
Other versions
JP2010138271A (en
Inventor
祥平 佐藤
一充 鈴木
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Sanyo Chemical Industries Ltd
Original Assignee
Sanyo Chemical Industries Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Sanyo Chemical Industries Ltd filed Critical Sanyo Chemical Industries Ltd
Priority to JP2008315396A priority Critical patent/JP5553985B2/en
Publication of JP2010138271A publication Critical patent/JP2010138271A/en
Application granted granted Critical
Publication of JP5553985B2 publication Critical patent/JP5553985B2/en
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Description

本発明は、電子材料用洗浄剤に関するものである。更に詳しくは、電子材料を汚染することなく、電子材料上の微小なパーティクルや有機物の除去性に優れた電子材料用洗浄剤に関する。   The present invention relates to a cleaning agent for electronic materials. More specifically, the present invention relates to a cleaning agent for electronic materials that is excellent in removing fine particles and organic substances on the electronic material without contaminating the electronic material.

磁気ディスク用基板、フラットパネルディスプレイ用基板、半導体用基板、太陽電池基板、プリント配線基板及び光学レンズ等の電子材料の洗浄技術において、近年、超LSI等に代表される微細加工技術の進歩につれて、電子材料、特に基板上に残存する微量のパーティクルや有機物がデバイスの性能や歩留まりに大きく影響するため、洗浄時の管理が極めて重要になってきている。特に洗浄対象であるパーティクル自体が、最近ではより微粒子化する傾向にあり、微粒子化したパーティクルは、更に界面へ付着しやすくなることから、高度洗浄技術の確立が急務となっている。
これらのパーティクルによる汚染を防止するために、界面活性剤を添加してパーティクル表面のゼータ電位を下げ、パーティクルの付着を低減する方法(特許文献1及び2参照)等が提案されている。
In recent years, in the cleaning technology for electronic materials such as magnetic disk substrates, flat panel display substrates, semiconductor substrates, solar cell substrates, printed wiring boards, and optical lenses, along with advances in microfabrication technologies represented by VLSI, etc. Since a minute amount of particles and organic matter remaining on an electronic material, particularly a substrate, greatly affects the performance and yield of the device, management during cleaning has become extremely important. In particular, the particles themselves to be cleaned tend to become finer particles recently, and the finely divided particles are more likely to adhere to the interface. Therefore, establishment of advanced cleaning technology is urgently required.
In order to prevent contamination by these particles, a method has been proposed in which a surfactant is added to lower the zeta potential on the particle surface to reduce particle adhesion (see Patent Documents 1 and 2).

特開平5−138142号公報JP-A-5-138142 特開平6−41770号公報JP-A-6-41770

しかし、上記特許文献1で提案されている界面活性剤は、非イオン界面活性剤であるため、パーティクル表面のゼータ電位を十分に下げることができず、再付着防止性が不十分である。また、上記特許文献2で提案されている界面活性剤は、アニオン性界面活性剤であり、確かにパーティクル表面のゼータ電位を下げることでパーティクルの再付着防止効果はある程度改善できるものの微細化したパーティクルの除去性が不十分である。   However, since the surfactant proposed in Patent Document 1 is a nonionic surfactant, the zeta potential on the particle surface cannot be lowered sufficiently, and the anti-redeposition property is insufficient. Further, the surfactant proposed in Patent Document 2 is an anionic surfactant, and although the effect of preventing re-adhesion of particles can be improved to some extent by lowering the zeta potential of the particle surface, it is possible to reduce the particle size. The removability of is insufficient.

本発明の課題は、洗浄時において微細化したパーティクルや有機物の洗浄力に優れ、製造時における歩留まり率の向上や短時間で洗浄が可能となる極めて効率的な高度洗浄を可能にする電子材料用洗浄剤を提供することにある。   The problem of the present invention is for electronic materials that are excellent in cleaning power of fine particles and organic substances that are refined at the time of cleaning, improve the yield rate at the time of manufacturing, and enable highly efficient advanced cleaning that enables cleaning in a short time. It is to provide a cleaning agent.

本発明者らは上記課題を解決すべく鋭意検討した結果、本発明に到達した。即ち本発明は、炭素数6〜8の環状アミンである脂肪族第1級アミンのアルキレンオキサイド付加物(A)、ノニオン性界面活性剤(B−1)及び水を含有してなり、前記(A)の2級アミン価と3級アミン価の合計(Y)に対する2級アミン価(X)の比率[(X)/(Y)]が、0.5以下であることを特徴とする電子材料用洗浄剤であって、洗浄剤の重量に基づく水の含有量が、50〜90重量%であり、かつ、親水性有機溶媒(G)の含有量が、洗浄剤における水以外の成分の重量に基づいて50重量%未満である電子材料用洗浄剤、該洗浄剤を用いる電子材料の洗浄方法及び該洗浄方法で電子材料用基板を洗浄する工程を含む電子材料の製造方法である。 As a result of intensive studies aimed at solving the above problems, the present inventors have reached the present invention. That is, the present invention comprises an alkylene oxide adduct (A) of an aliphatic primary amine which is a cyclic amine having 6 to 8 carbon atoms , a nonionic surfactant (B-1) and water , The ratio [(X) / (Y)] of the secondary amine value (X) to the total (Y) of the secondary amine value and tertiary amine value of A) is 0.5 or less. What material for detergents der, the content of water based on the weight of the cleaning agent is 50 to 90 wt%, and the content of the hydrophilic organic solvent (G) is, components other than water in the detergent The electronic material cleaning agent is less than 50% by weight based on the weight of the electronic material, the electronic material cleaning method using the cleaning agent , and the electronic material manufacturing method including the step of cleaning the electronic material substrate by the cleaning method.

本発明の電子材料用洗浄剤は、電子材料基板上へのぬれ性が非常に高いため、従来の課題であった洗浄工程時におけるパーティクル粒子の電子材料への再付着防止性及び微細化したパーティクルの除去性に優れる。また、有機物に対しても洗浄性に優れ、デバイスの信頼性や歩留まりを向上することができるという効果を有する。
更に、本発明の電子材料用洗浄剤は、ぬれ性が非常に高いことから、短時間の洗浄においても十分な洗浄性が実現でき、洗浄工程における時間当たりの生産性の大幅な改善が可能になるという効果も有する。
The cleaning agent for electronic materials according to the present invention has very high wettability on the electronic material substrate, so that it prevents the reattachment of particle particles to the electronic material during the cleaning process, which has been a conventional problem, and refined particles. Excellent removability. Moreover, it is excellent also in the washing | cleaning property with respect to organic substance, and it has the effect that the reliability and yield of a device can be improved.
Furthermore, since the electronic material cleaning agent of the present invention has very high wettability, sufficient cleaning performance can be realized even in a short cleaning time, and the productivity per hour in the cleaning process can be greatly improved. It also has the effect of becoming.

本発明における炭素数2〜8の脂肪族第1級アミンのアルキレンオキサイド付加物(A)における脂肪族第1級アミンは、直鎖、分岐又は環状でもよく、飽和又は不飽和であってもよい。
炭素数2〜8の脂肪族第1級アミンの具体例としては、炭素数2〜8の直鎖状アミン、炭素数2〜8の分岐状アミン、炭素数3〜8の環状アミン及び炭素数6〜8の芳香族又は芳香脂肪族アミン等が挙げられる。
The aliphatic primary amine in the alkylene oxide adduct (A) of an aliphatic primary amine having 2 to 8 carbon atoms in the present invention may be linear, branched or cyclic, and may be saturated or unsaturated. .
Specific examples of the aliphatic primary amine having 2 to 8 carbon atoms include a linear amine having 2 to 8 carbon atoms, a branched amine having 2 to 8 carbon atoms, a cyclic amine having 3 to 8 carbon atoms, and a carbon number. Examples include 6 to 8 aromatic or araliphatic amines.

炭素数2〜8の直鎖状アミンとしては、エチルアミン、プロピルアミン、ブチルアミン、ヘキシルアミン及びオクチルアミン等のモノアルキルアミン、エチレンジアミン、1,3−プロピレンジアミン、テトラメチレンジアミン、ペンタメチレンジアミン及びヘキサメチレンジアミン等のアルキレンジアミン等が挙げられる。
炭素数2〜8の分岐状アミンとしては、イソプロピルアミン、イソブチルアミン、1,2−プロピレンジアミン、1,2−又は1,3−ブチレンジアミン等が挙げられる。
炭素数3〜8の環状アミンとしては、シクロプロピルアミン、シクロブチルアミン、シクロペンチルアミン、シクロヘキシルアミン、シクロヘプチルアミン、シクロオクチルアミン及びcis−3−メチルシクロヘキシルアミン等が挙げられる。
炭素数6〜8の芳香族又は芳香脂肪族アミンとしては、アニリン、フェニレンジアミン、トリレンジアミン、ベンジルアミン及びキシリレンジアミン等が挙げられる。
Examples of the linear amine having 2 to 8 carbon atoms include monoalkylamines such as ethylamine, propylamine, butylamine, hexylamine and octylamine, ethylenediamine, 1,3-propylenediamine, tetramethylenediamine, pentamethylenediamine and hexamethylene. Examples include alkylene diamines such as diamines.
Examples of the branched amine having 2 to 8 carbon atoms include isopropylamine, isobutylamine, 1,2-propylenediamine, 1,2- or 1,3-butylenediamine.
Examples of the cyclic amine having 3 to 8 carbon atoms include cyclopropylamine, cyclobutylamine, cyclopentylamine, cyclohexylamine, cycloheptylamine, cyclooctylamine and cis-3-methylcyclohexylamine.
Examples of the aromatic or araliphatic amine having 6 to 8 carbon atoms include aniline, phenylenediamine, tolylenediamine, benzylamine, and xylylenediamine.

脂肪族第1級アミンの炭素数は、通常2〜8、好ましくは3〜8、更に好ましくは6〜8である。炭素数が0又は1の場合は、ぬれ性の効果に乏しいため洗浄性が十分に発揮できず、炭素数が9以上の場合は、洗浄剤の起泡性が高くなるため洗浄性に悪影響を及ぼす。
上述の脂肪族第1級アミンの中で、電子材料基板へのぬれ性の観点から好ましいのは、炭素数2〜8の分岐状アミン及び炭素数3〜8の環状アミン、更に好ましいのは炭素数3〜8の環状アミン、特に好ましいのは炭素数6〜8の環状アミン、最も好ましいのはシクロヘキシルアミン及びシクロオクチルアミンである。
脂肪族第1級アミンは単独で用いてもよいし、2種以上を併用してもよい。
Carbon number of an aliphatic primary amine is 2-8 normally, Preferably it is 3-8, More preferably, it is 6-8. When the number of carbon atoms is 0 or 1, the wettability effect is poor, so that the detergency cannot be sufficiently exerted. When the number of carbon atoms is 9 or more, the foaming property of the cleaning agent is increased and the detergency is adversely affected. Effect.
Among the above aliphatic primary amines, a branched amine having 2 to 8 carbon atoms and a cyclic amine having 3 to 8 carbon atoms are preferable from the viewpoint of wettability to the electronic material substrate, and more preferable is carbon. A cyclic amine having 3 to 8 carbon atoms, particularly preferred are cyclic amines having 6 to 8 carbon atoms, and most preferred are cyclohexylamine and cyclooctylamine.
Aliphatic primary amines may be used alone or in combination of two or more.

本発明におけるアルキレンオキサイドとしては、炭素数2〜12のアルキレンオキサイド、例えば、エチレンオキサイド、1,2−プロピレンオキサイド、1,3−プロピレンオキサイド、1,2−ブチレンオキサイド、イソブチレンオキサイド、シクロヘキシレンオキサイド、シクロヘキシルエチレンオキサイド、スチレンオキサイド、1,2−ヘキシレンオキサイド、1,2−ドデセンオキサイド、1,2−ラウリレンオキサイド及びこれらのハロ置換体(エピクロルヒドリン等)、ジオキサン、オキセタン、ジメチルオキセタン、テトラヒドロフラン(以下THFと略称する)並びに3―メチルテトラヒドロフラン等が挙げられる。これらのアルキレンオキサイドは1種のみを用いてもよく、2種以上を併用してもよい。
これらの内、洗浄力の観点から好ましいのは、エチレンオキサイド、1,2−プロピレンオキサイド、1,2−ブチレンオキサイド、THF及びこれらの混合物であり、更に好ましいのはエチレンオキサイド、1,2−プロピレンオキサイド及びこれらの混合物であり、最も好ましくいのはエチレンオキサイドである。
Examples of the alkylene oxide in the present invention include alkylene oxides having 2 to 12 carbon atoms, such as ethylene oxide, 1,2-propylene oxide, 1,3-propylene oxide, 1,2-butylene oxide, isobutylene oxide, cyclohexylene oxide, Cyclohexylethylene oxide, styrene oxide, 1,2-hexylene oxide, 1,2-dodecene oxide, 1,2-laurylene oxide and halo-substituted products thereof (such as epichlorohydrin), dioxane, oxetane, dimethyloxetane, tetrahydrofuran ( Hereinafter abbreviated as THF) and 3-methyltetrahydrofuran. These alkylene oxides may use only 1 type and may use 2 or more types together.
Among these, ethylene oxide, 1,2-propylene oxide, 1,2-butylene oxide, THF and a mixture thereof are preferable from the viewpoint of detergency, and ethylene oxide, 1,2-propylene are more preferable. Oxides and mixtures thereof, with ethylene oxide being most preferred.

(A)におけるアルキレンオキサイドの平均付加モル数は、電子材料基板に対する洗浄剤のぬれ性及びリンス性の観点から、1級アミノ基1個当たり好ましくは1.5〜10モル、更に好ましくは1.8〜5モル、特に好ましくは1.85〜2.15モル、最も好ましくは2である。   The average added mole number of alkylene oxide in (A) is preferably 1.5 to 10 moles, more preferably 1.0.1 moles per primary amino group, from the viewpoints of wettability and rinsing properties of the cleaning agent with respect to the electronic material substrate. 8 to 5 mol, particularly preferably 1.85 to 2.15 mol, most preferably 2.

第1級アミンへのアルキレンオキサイドの付加反応においては、通常、アミノ基1個に対して1個の(ポリ)オキシアルキレン基が結合した化合物と、アミノ基1個に対して2個の(ポリ)オキシアルキレン基が結合した化合物が生成し、前者は2級アミノ基を、後者は3級アミノ基を有する。   In the addition reaction of alkylene oxide to a primary amine, usually, a compound in which one (poly) oxyalkylene group is bonded to one amino group and two (poly (poly) oxy groups) ) A compound having an oxyalkylene group bonded thereto is formed, the former having a secondary amino group and the latter having a tertiary amino group.

(A)の2級アミン価と3級アミン価の合計(Y)に対する2級アミン価(X)の比率[(X)/(Y)]は、パーティクルや有機物に対する洗浄性、電子材料用基板に対する洗浄剤のぬれ性及びリンス性の観点から、通常0.5以下、好ましくは0.4以下、更に好ましくは0.25以下、特に好ましくは0.15以下、とりわけ好ましくは0.05以下、最も好ましくは0である。   The ratio [(X) / (Y)] of the secondary amine value (X) to the total (Y) of the secondary amine value and tertiary amine value of (A) is the detergency for particles and organic substances, and the substrate for electronic materials. From the viewpoint of the wettability and rinsing properties of the cleaning agent, it is usually 0.5 or less, preferably 0.4 or less, more preferably 0.25 or less, particularly preferably 0.15 or less, particularly preferably 0.05 or less, Most preferably 0.

(A)中の2級アミン価(X)及び2級アミン価と3級アミン価の合計(Y)は、以下の方法(ASTM D2074に準拠)により求めることができる。
(1)3級アミン価の測定
(A)を0.3〜7gを100ml三角フラスコに精秤し、メチルアルコール50mlを加えて溶解する。これに10mlの無水酢酸を加えてよく混合し、30分間室温にて放置後、溶液を電位差滴定装置を用いて、0.01mol/Lアルコール性塩酸標準溶液にて滴定し、滴定曲線の変曲点を滴定の終点とする。尚、滴定は2個の試料について行うとともに、空試験を行う。3級アミン価は、以下の式によって算出する。
3級アミン価(Y)=(A−B)×f×0.5611/S
A:本試験に要した0.01mol/l塩酸標準溶液のml数
B:空試験に要した0.01mol/l塩酸標準溶液のml数
f:0.01mol/l塩酸標準溶液の力価
S:試料の採取量(g)
(2)2級アミン価と3級アミン価の合計(Y)の測定
(A)を0.4〜2gを100ml三角フラスコに精秤し、エタノール50mlを加えて溶解する。これにサリチルアルデヒドとエタノールを1:1(v/v)で混合してブロム・クレゾール・グリーン(以下、B.C.Gと略記)で中性に調整した50容量%サリチルアルデヒドエタノール標準液を約5ml加えて振とうして反応させ、1級アミノ基をアゾメチンにする。溶液を電位差滴定装置を用いて、0.01mol/Lアルコール性塩酸標準溶液にて滴定し、滴定曲線の変曲点を滴定の終点とする。尚、滴定は2個の試料について行うとともに、空試験を行う。
2級アミン価と3級アミン価の合計(Y)は、以下の式によって算出する。
2級アミン価と3級アミン価の合計(Y)=(A’−B’)×f×0.5611/S’
A’:本試験に要した0.01mol/l塩酸標準溶液のml数
B’:空試験に要した0.01mol/l塩酸標準溶液のml数
f:0.01mol/l塩酸標準溶液の力価
S’:試料の採取量(g)
(3)2級アミン価(X)の算出
上記(1)及び(2)で求めた3級アミン価及び2級アミン価と3級アミン価の合計(Y)から下式により2級アミン価(X)を算出する。
2級アミン価(X)=2級アミン価と3級アミン価の合計(Y)−3級アミン価
The secondary amine value (X) in (A) and the total (Y) of the secondary amine value and the tertiary amine value can be determined by the following method (based on ASTM D2074).
(1) Measurement of tertiary amine value 0.3-7 g of (A) is precisely weighed into a 100 ml Erlenmeyer flask, and 50 ml of methyl alcohol is added and dissolved. To this was added 10 ml of acetic anhydride and mixed well. After standing at room temperature for 30 minutes, the solution was titrated with a 0.01 mol / L alcoholic hydrochloric acid standard solution using a potentiometric titrator, and the inflection of the titration curve. The point is the end point of the titration. The titration is performed on two samples and a blank test is performed. The tertiary amine value is calculated by the following formula.
Tertiary amine value (Y) = (A−B) × f × 0.56111 / S
A: ml number of 0.01 mol / l hydrochloric acid standard solution required for this test B: ml number of 0.01 mol / l hydrochloric acid standard solution required for blank test f: titer S of 0.01 mol / l hydrochloric acid standard solution : Amount of sample collected (g)
(2) Measurement of total (Y) of secondary amine value and tertiary amine value 0.4-2 g of (A) is precisely weighed into a 100 ml Erlenmeyer flask and dissolved by adding 50 ml of ethanol. A 50% by volume salicylaldehyde ethanol standard solution prepared by mixing salicylaldehyde and ethanol at a ratio of 1: 1 (v / v) and adjusting to neutral with bromocresol green (hereinafter abbreviated as BCG). Add about 5 ml and react by shaking to convert the primary amino group to azomethine. The solution is titrated with a 0.01 mol / L alcoholic hydrochloric acid standard solution using a potentiometric titrator, and the inflection point of the titration curve is taken as the end point of titration. The titration is performed on two samples and a blank test is performed.
The total (Y) of the secondary amine value and the tertiary amine value is calculated by the following formula.
Total of secondary amine value and tertiary amine value (Y) = (A′−B ′) × f × 0.56111 / S ′
A ′: ml number of 0.01 mol / l hydrochloric acid standard solution required for this test B ′: ml number of 0.01 mol / l hydrochloric acid standard solution required for blank test f: force of 0.01 mol / l hydrochloric acid standard solution Value S ': Amount of sample collected (g)
(3) Calculation of secondary amine value (X) The secondary amine value is calculated from the tertiary amine value obtained in (1) and (2) above and the total (Y) of the secondary amine value and the tertiary amine value according to the following formula. (X) is calculated.
Secondary amine value (X) = Total of secondary amine value and tertiary amine value (Y)-tertiary amine value

(A)の製造方法としては、公知の方法が利用できる。具体的には、攪拌可能な耐圧容器に上記炭素数2〜8の脂肪族第1級アミンを仕込み、不活性ガス(窒素及びアルゴン等)で十分に置換後、減圧下で脱水を行い、反応温度約80〜160℃で上記アルキレンオキサイドを投入し反応させる方法が挙げられる。また、反応時は必要により公知の触媒を使用してもよい。触媒は反応の最初から加えても、途中から加えてもよい。
触媒としては、金属原子を含有しない触媒{テトラメチルアンモニウムハイドロキサイド等の第4級アンモニウム水酸化物並びにテトラメチルエチレンジアミン及び1,8−ジアザビシクロ[5.4.0]−7−ウンデセン(DBU)等の第3級アミン等}及び金属原子含有触媒(水酸化ナトリウム及び水酸化カリウム等のアルカリ金属水酸化物、アルカリ土類金属水酸化物並びにアルカリ土類金属酸化物等)が挙げられる。
尚、本発明において、複数の(A)を使用する場合は、それぞれ別に製造してもよく、複数の脂肪族第1級アミンの混合物を原料として用いて上記のアルキレンオキサイド付加反応を行ってもよい。
As a manufacturing method of (A), a well-known method can be utilized. Specifically, the aliphatic primary amine having 2 to 8 carbon atoms is charged into a stirrable pressure vessel, sufficiently substituted with an inert gas (nitrogen, argon, etc.), dehydrated under reduced pressure, and reacted. Examples include a method in which the alkylene oxide is added and reacted at a temperature of about 80 to 160 ° C. Moreover, you may use a well-known catalyst as needed at the time of reaction. The catalyst may be added from the beginning of the reaction or from the middle.
As the catalyst, a catalyst containing no metal atom {quaternary ammonium hydroxide such as tetramethylammonium hydroxide and tetramethylethylenediamine and 1,8-diazabicyclo [5.4.0] -7-undecene (DBU) And the like, and metal atom-containing catalysts (alkali metal hydroxides such as sodium hydroxide and potassium hydroxide, alkaline earth metal hydroxides and alkaline earth metal oxides).
In the present invention, when a plurality of (A) are used, they may be produced separately, or the above alkylene oxide addition reaction may be carried out using a mixture of a plurality of aliphatic primary amines as a raw material. Good.

本発明の(A)の2級アミン価と3級アミン価の合計(Y)に対する2級アミン価(X)の比率[(X)/(Y)]は、1級アミノ基1個当たりのアルキレンオキサイドの仕込み量により調整することができる。例えば、1級アミノ基1個当たり1.5モル以上のアルキレンオキサイドを反応させることで(X)/(Y)を0.5以下に調整することができる。   The ratio [(X) / (Y)] of the secondary amine value (X) to the total (Y) of the secondary amine value and tertiary amine value of (A) of the present invention is as follows. It can adjust with the preparation amount of alkylene oxide. For example, (X) / (Y) can be adjusted to 0.5 or less by reacting 1.5 moles or more of alkylene oxide per primary amino group.

本発明の洗浄剤は、更に以下の界面活性剤(B)、キレート剤(C)及びアルカリ成分(D)からなる群から選ばれる1種以上の成分を含有することができる。   The cleaning agent of the present invention can further contain one or more components selected from the group consisting of the following surfactant (B), chelating agent (C) and alkali component (D).

本発明の洗浄剤は、界面活性剤(B)を含有することにより、電子材料用基板への洗浄剤のぬれ性及びパーティクルの再付着防止性が向上し、洗浄効率が更によくなる。
また、本発明の洗浄剤は、キレート剤(C)を含有することにより、電子材料用基板のエッチング性のコントロール性(適度にエッチングする性能)及び洗浄性が更に向上する。
更に、本発明の洗浄剤は、アルカリ成分(D)を含有することにより、洗浄性が更に向上する。
By containing the surfactant (B), the cleaning agent of the present invention improves the wettability of the cleaning agent to the electronic material substrate and the anti-reattachment property of particles, and the cleaning efficiency is further improved.
Moreover, the cleaning agent of this invention contains a chelating agent (C), and the controllability (ability to etch moderately) and the cleaning property of the board | substrate for electronic materials further improve.
Furthermore, the cleaning agent of the present invention further improves the cleaning properties by containing the alkali component (D).

界面活性剤(B)としては、非イオン性界面活性剤(B−1)、アニオン性界面活性剤(B−2)、カチオン性界面活性剤(B−3)及び両性界面活性剤(B−4)が挙げられる。   As surfactant (B), nonionic surfactant (B-1), anionic surfactant (B-2), cationic surfactant (B-3) and amphoteric surfactant (B- 4).

本発明の洗浄剤に用いる非イオン性界面活性剤(B−1)としては、(A)以外のアルキレンオキサイド付加型非イオン性界面活性剤(B−1a)及び多価アルコール型非イオン界面活性剤(B−1b)等が挙げられる。   Examples of the nonionic surfactant (B-1) used in the cleaning agent of the present invention include alkylene oxide addition type nonionic surfactants (B-1a) other than (A) and polyhydric alcohol type nonionic surfactants. An agent (B-1b) etc. are mentioned.

(B−1a)としては、高級アルコール(炭素数8〜18)アルキレン(炭素数2〜4)オキサイド(活性水素1個当たりの付加モル数1〜30)付加物、フェノール又はアルキル(炭素数1〜12)フェノールのエチレンオキサイド(活性水素1個当たりの付加モル数1〜30)付加物、脂肪酸(炭素数8〜18)エチレンオキサイド(活性水素1個当たりの付加モル数1〜30)付加物、脂肪族アミン(炭素数9〜24)のアルキレンオキサイド付加物(活性水素1個当たりの付加モル数1〜30)、ポリプロピレングリコール(数平均分子量200〜4000)エチレンオキサイド(活性水素1個当たりの付加モル数1〜50)付加物及びポリオキシエチレン(活性水素1個当たりの付加モル数1〜30)アルキル(炭素数1〜20)アリルエーテル、ソルビタンモノラウレートエチレンオキサイド(付加モル数1〜30)付加物、ソルビタンモノオレートエチレンオキサイド(付加モル数1〜30)付加物等の多価(2〜8価又はそれ以上)アルコール(炭素数2〜30)の脂肪酸(炭素数8〜24)エステルエチレンオキサイド付加物(活性水素1個あたりの付加モル数1〜30)等が挙げられる。 As (B-1a), higher alcohol (8 to 18 carbon atoms) alkylene (2 to 4 carbon atoms) oxide (1 to 30 added moles per active hydrogen) adduct, phenol or alkyl (1 carbon atom) -12) Ethylene oxide (addition mole number 1-30 per active hydrogen) adduct of phenol, fatty acid (carbon number 8-18) ethylene oxide (addition mole number 1-30 per active hydrogen) adduct , Alkylene oxide adducts of aliphatic amines (9 to 24 carbon atoms) (addition moles 1 to 30 per active hydrogen), polypropylene glycol (number average molecular weight 200 to 4000) ethylene oxide (per active hydrogen) Addition mole number 1-50) adduct and polyoxyethylene (addition mole number 1-30 per active hydrogen) alkyl (carbon number 1-20) Polyhydric (2 to 8 or more) alcohols (carbon) such as ril ether, sorbitan monolaurate ethylene oxide (addition mole number 1 to 30) adduct, sorbitan monooleate ethylene oxide (addition mole number 1 to 30) adduct (E.g., 2 to 30) fatty acid (carbon number 8 to 24) ester ethylene oxide adduct (added mole number 1 to 30 per active hydrogen).

(B−1b)としては、グリセリンモノステアレート、グリセリンモノオレート、ソルビタンモノラウレート、ソルビタンモノオレート等の多価(2〜8価又はそれ以上)アルコール(炭素数2〜30)の脂肪酸(炭素数8〜24)エステル並びにラウリン酸モノエタノールアミド及びラウリン酸ジエタノールアミド等の脂肪酸アルカノールアミド等が挙げられる。 As (B-1b), fatty acid (carbon) having a polyhydric (2 to 8 or more valent) alcohol (2 to 30 or more carbon atoms) such as glycerin monostearate, glycerin monooleate, sorbitan monolaurate, or sorbitan monooleate 8-24) Esters and fatty acid alkanolamides such as lauric acid monoethanolamide and lauric acid diethanolamide.

(B−1)の内、洗浄性の観点から、好ましいのは(B−1a)であり、更に好ましいのは高級アルコール(炭素数10〜16)アルキレン(炭素数2〜3)オキサイド(活性水素1個当たりの付加モル数2〜20)付加物、フェノール又はアルキル(炭素数1〜18)フェノールのエチレンオキサイド(活性水素1個当たりの付加モル数2〜20)付加物及び脂肪族アミン(炭素数9〜18)のアルキレンオキサイド付加物(活性水素1個当たりの付加モル数2〜20)である。   Among (B-1), from the viewpoint of detergency, (B-1a) is preferable, and more preferable is a higher alcohol (10 to 16 carbon atoms) alkylene (2 to 3 carbon atoms) oxide (active hydrogen). Addition moles per unit 2-20) adducts, phenol or alkyl (1-18 carbon atoms) ethylene oxide (addition mols 2-20 per active hydrogen) adduct and aliphatic amines (carbon (Equation 9-18) alkylene oxide adduct (2-20 added moles per active hydrogen).

アニオン性界面活性剤(B−2)としては、高分子型アニオン性界面活性剤(B−2a)及び低分子型アニオン性界面活性剤(B−2b)が挙げられる。   Examples of the anionic surfactant (B-2) include a high molecular weight anionic surfactant (B-2a) and a low molecular weight anionic surfactant (B-2b).

高分子型アニオン性界面活性剤(B−2a)としては、スルホン酸(塩)基、硫酸エステル(塩)基、リン酸エステル(塩)基、ホスホン酸(塩)基及びカルボン酸(塩)基からなる群から選ばれる少なくとも1種の基を有し、1,000〜800,000の重量平均分子量(以下、Mwと略記)を有する高分子型アニオン性界面活性剤が挙げられる。高分子型アニオン性界面活性剤は、通常、1分子中に少なくとも2個以上の繰り返し単位を有する。(B−2a)の具体例としては、以下の(B−2a−1)〜(B−2a−5)等が挙げられる。   Polymeric anionic surfactant (B-2a) includes sulfonic acid (salt) group, sulfate ester (salt) group, phosphate ester (salt) group, phosphonic acid (salt) group and carboxylic acid (salt) Examples thereof include polymeric anionic surfactants having at least one group selected from the group consisting of groups and having a weight average molecular weight of 1,000 to 800,000 (hereinafter abbreviated as Mw). The polymer type anionic surfactant usually has at least two repeating units in one molecule. Specific examples of (B-2a) include the following (B-2a-1) to (B-2a-5).

スルホン酸(塩)基を有する高分子型アニオン性界面活性剤(B−2a−1):
ポリスチレンスルホン酸、スチレン/スチレンスルホン酸共重合体、ポリ{2−(メタ)アクリロイルアミノ−2,2−ジメチルエタンスルホン酸}、2−(メタ)アクリロイルアミノ−2,2−ジメチルエタンスルホン酸/スチレン共重合体、2−(メタ)アクリロイルアミノ−2,2−ジメチルエタンスルホン酸/アクリルアミド共重合体、2−(メタ)アクリロイルアミノ−2,2−ジメチルエタンスルホン酸/(メタ)アクリル酸共重合体、2−(メタ)アクリロイルアミノ−2,2−ジメチルエタンスルホン酸/(メタ)アクリル酸/アクリルアミド共重合体、2−(メタ)アクリロイルアミノ−2,2−ジメチルエタンスルホン酸/スチレン/アクリルアミド共重合体、2−(メタ)アクリロイルアミノ−2,2−ジメチルエタンスルホン酸/スチレン/(メタ)アクリル酸共重合体、ナフタレンスルホン酸ホルムアルデヒド縮合物、メチルナフタレンスルホン酸ホルムアルデヒド縮合物、ジメチルナフタレンスルホン酸ホルムアルデヒド縮合物、アントラセンスルホン酸ホルムアルデヒド縮合物、メラミンスルホン酸ホルムアルデヒド縮合物、アニリンスルホン酸−フェノール−ホルムアルデヒド縮合物及びこれらの塩等;
Polymer type anionic surfactant (B-2a-1) having a sulfonic acid (salt) group:
Polystyrene sulfonic acid, styrene / styrene sulfonic acid copolymer, poly {2- (meth) acryloylamino-2,2-dimethylethanesulfonic acid}, 2- (meth) acryloylamino-2,2-dimethylethanesulfonic acid / Styrene copolymer, 2- (meth) acryloylamino-2,2-dimethylethanesulfonic acid / acrylamide copolymer, 2- (meth) acryloylamino-2,2-dimethylethanesulfonic acid / (meth) acrylic acid co Polymer, 2- (meth) acryloylamino-2,2-dimethylethanesulfonic acid / (meth) acrylic acid / acrylamide copolymer, 2- (meth) acryloylamino-2,2-dimethylethanesulfonic acid / styrene / Acrylamide copolymer, 2- (meth) acryloylamino-2,2-dimethyl eta Sulfonic acid / styrene / (meth) acrylic acid copolymer, naphthalene sulfonic acid formaldehyde condensate, methyl naphthalene sulfonic acid formaldehyde condensate, dimethyl naphthalene sulfonic acid formaldehyde condensate, anthracene sulfonic acid formaldehyde condensate, melamine sulfonic acid formaldehyde condensate Aniline sulfonic acid-phenol-formaldehyde condensates and salts thereof;

硫酸エステル(塩)基を有する高分子型アニオン性界面活性剤(B−2a−2):
ポリ{2−ヒドロキシエチル(メタ)アクリレート硫酸エステル}、2−ヒドロキシエチルアクリレート/2−ヒドロキシエチルアクリレート硫酸エステル共重合体及び2−ヒドロキシエチルメタクリレート/2−ヒドロキシエチルメタクリレート硫酸エステル共重合体、ポリ{2−ヒドロキシエチル(メタ)アクリレート}の硫酸エステル化物、ポリ{(メタ)アクリロイルオキシポリオキシアルキレン硫酸エステル}、(メタ)アクリロイルオキシポリオキシアルキレン硫酸エステル/アクリル酸共重合体及びセルロース、メチルセルロース又はエチルセルロースの硫酸エステル化物及びこれらの塩等;
Polymeric anionic surfactant (B-2a-2) having a sulfate (salt) group:
Poly {2-hydroxyethyl (meth) acrylate sulfate}, 2-hydroxyethyl acrylate / 2-hydroxyethyl acrylate sulfate copolymer and 2-hydroxyethyl methacrylate / 2-hydroxyethyl methacrylate sulfate copolymer, poly { 2-hydroxyethyl (meth) acrylate} sulfated ester, poly {(meth) acryloyloxypolyoxyalkylene sulfate}, (meth) acryloyloxypolyoxyalkylene sulfate / acrylic acid copolymer and cellulose, methylcellulose or ethylcellulose Sulfates of these and their salts, etc .;

リン酸エステル(塩)基を有する高分子型アニオン性界面活性剤(B−2a−3):
ポリ{2−ヒドロキシエチル(メタ)アクリレートリン酸エステル}、2−ヒドロキシエチルアクリレート/2−ヒドロキシエチルアクリレートリン酸エステル共重合体及び2−ヒドロキシエチルメタクリレート/2−ヒドロキシエチルメタクリレートリン酸エステル共重合体、ポリ{2−ヒドロキシエチル(メタ)アクリレート}のリン酸エステル化物、ポリ{(メタ)アクリロイルオキシポリオキシアルキレンリン酸エステル}、(メタ)アクリロイルオキシポリオキシアルキレンリン酸エステル/アクリル酸共重合体及びセルロース、メチルセルロース又はエチルセルロースのリン酸エステル化物及びこれらの塩等;
Polymeric anionic surfactant (B-2a-3) having a phosphate ester (salt) group:
Poly {2-hydroxyethyl (meth) acrylate phosphate}, 2-hydroxyethyl acrylate / 2-hydroxyethyl acrylate phosphate copolymer and 2-hydroxyethyl methacrylate / 2-hydroxyethyl methacrylate phosphate copolymer , Phosphoric acid ester of poly {2-hydroxyethyl (meth) acrylate}, poly {(meth) acryloyloxypolyoxyalkylene phosphoric acid ester}, (meth) acryloyloxy polyoxyalkylene phosphoric acid ester / acrylic acid copolymer And phosphoric acid ester of cellulose, methylcellulose or ethylcellulose and their salts;

ホスホン酸(塩)基を有する高分子型アニオン性界面活性剤(B−2a−4):
ポリ{(メタ)アクリロイルオキシエチルホスフェート}、2−ヒドロキシエチルアクリレート/アクリロイルオキシエチルホスフェート共重合体及び2−ヒドロキシエチルメタクリレート/メタクリロイルオキシエチルホスフェート共重合体、ナフタレンホスホン酸ホルムアルデヒド縮合物、メチルナフタレンホスホン酸ホルムアルデヒド縮合物、ジメチルナフタレンホスホン酸ホルムアルデヒド縮合物、アントラセンホスホン酸ホルムアルデヒド縮合物及びアニリンホスホン酸−フェノール−ホルムアルデヒド縮合物及びこれらの塩等;
Polymer type anionic surfactant (B-2a-4) having a phosphonic acid (salt) group:
Poly {(meth) acryloyloxyethyl phosphate}, 2-hydroxyethyl acrylate / acryloyloxyethyl phosphate copolymer and 2-hydroxyethyl methacrylate / methacryloyloxyethyl phosphate copolymer, naphthalenephosphonic acid formaldehyde condensate, methylnaphthalenephosphonic acid Formaldehyde condensate, dimethylnaphthalenephosphonic acid formaldehyde condensate, anthracenephosphonic acid formaldehyde condensate, aniline phosphonic acid-phenol-formaldehyde condensate and their salts, etc .;

カルボン酸(塩)基を有する高分子型アニオン性界面活性剤(B−2a−5):
ポリ(メタ)アクリル酸、(メタ)アクリル酸−マレイン酸共重合体、(メタ)アクリル酸−イタコン酸共重合体、(メタ)アクリル酸−フマル酸共重合体、(メタ)アクリル酸/酢酸ビニル共重合体及び2−ヒドロキシエチルメタクリレート/(メタ)アクリル酸共重合体、ポリ{2−ヒドロキシエチル(メタ)アクリレート}のカルボキシメチル化物、カルボキシメチルセルロース、カルボキシメチルメチルセルロース、カルボキシメチルエチルセルロース、安息香酸ホルムアルデヒド縮合物及び安息香酸−フェノール−ホルムアルデヒド縮合物及びこれらの塩等。
Polymeric anionic surfactant (B-2a-5) having a carboxylic acid (salt) group:
Poly (meth) acrylic acid, (meth) acrylic acid-maleic acid copolymer, (meth) acrylic acid-itaconic acid copolymer, (meth) acrylic acid-fumaric acid copolymer, (meth) acrylic acid / acetic acid Vinyl copolymer and 2-hydroxyethyl methacrylate / (meth) acrylic acid copolymer, poly {2-hydroxyethyl (meth) acrylate} carboxymethylated product, carboxymethylcellulose, carboxymethylmethylcellulose, carboxymethylethylcellulose, benzoic acid formaldehyde Condensates and benzoic acid-phenol-formaldehyde condensates and their salts.

(B−2a)のMwは、パーティクルの再付着防止性及び低泡性の観点等から、通常1,000〜1,000,000、好ましくは1,200〜400,000、更に好ましくは1,500〜80,000、特に好ましくは2,000〜40,000である。
尚、本発明におけるMw及び数平均分子量は、ゲルパーミエーションクロマトグラフィー(以下、GPCと略記)によって、ポリエチレンオキサイドを基準物質として40℃で測定される。例えば、装置本体:HLC−8120(東ソー株式会社製)、カラム:東ソー株式会社製TSKgel α6000、G3000 PWXL、検出器:装置本体内蔵の示差屈折計検出器、溶離液:0.5%酢酸ソーダ・水/メタノール(体積比70/30)、溶離液流量:1.0ml/分、カラム温度:40℃、試料:0.25%の溶離液溶液、注入量:200μl、標準物質:東ソー(株)製TSK TANDARD POLYETHYLENE OXIDE、データ処理ソフト:GPC−8020modelII(東ソー株式会社製)。
上記及び以下において特に規定しない限り、%は重量%を表す。
The Mw of (B-2a) is usually 1,000 to 1,000,000, preferably 1,200 to 400,000, more preferably 1, from the viewpoint of preventing reattachment of particles and low foaming properties. 500 to 80,000, particularly preferably 2,000 to 40,000.
In the present invention, the Mw and number average molecular weight are measured at 40 ° C. using polyethylene oxide as a reference substance by gel permeation chromatography (hereinafter abbreviated as GPC). For example, apparatus body: HLC-8120 (manufactured by Tosoh Corporation), column: TSKgel α6000, G3000 PWXL, manufactured by Tosoh Corporation, detector: differential refractometer detector built in the apparatus body, eluent: 0.5% sodium acetate Water / methanol (volume ratio 70/30), eluent flow rate: 1.0 ml / min, column temperature: 40 ° C., sample: 0.25% eluent solution, injection volume: 200 μl, standard substance: Tosoh Corporation Made by TSK TANDARD POLYETHYLENE OXIDE, data processing software: GPC-8020 model II (manufactured by Tosoh Corporation).
Unless otherwise specified above and below,% represents% by weight.

低分子型アニオン性界面活性剤(B−2b)としては、低分子型スルホン酸系界面活性剤(B−2b−1)、低分子型硫酸エステル系界面活性剤(B−2b−2)、低分子型脂肪酸系界面活性剤(B−2b−3)及び低分子型リン酸エステル系界面活性剤(B−2b−4)等の分子量(Mw又は構造に基づく計算値の分子量)が1,000未満のアニオン性界面活性剤が挙げられる。
低分子型スルホン酸系界面活性剤(B−2b−1)としては、炭素数6〜24のアルコールのスルホコハク酸(モノ又はジ)エステル(塩)、炭素数8〜24のα−オレフィンのスルホン酸化物(塩)、炭素数8〜14のアルキル基を有するアルキルベンゼンスルホン酸(塩)、石油スルホネート(塩)、トルエンスルホン酸(塩)、キシレンスルホン酸(塩)及びクメンスルホン酸(塩)等が挙げられる。(B−2b−1)の具体例としては、ジオクチルスルホコハク酸(塩)、パラトルエンスルホン酸(塩)、オルトトルエンスルホン酸(塩)、メタキシレンスルホン酸(塩)及びパラキシレンスルホン酸(塩)等が挙げられる。
As the low molecular type anionic surfactant (B-2b), a low molecular type sulfonic acid type surfactant (B-2b-1), a low molecular type sulfate ester type surfactant (B-2b-2), Low molecular weight fatty acid surfactant (B-2b-3) and low molecular phosphate ester surfactant (B-2b-4) etc. have a molecular weight (Mw or calculated molecular weight based on structure) of 1, And anionic surfactants of less than 000.
Examples of the low molecular weight sulfonic acid surfactant (B-2b-1) include sulfosuccinic acid (mono or di) esters (salts) of alcohols having 6 to 24 carbon atoms, and sulfones of α-olefins having 8 to 24 carbon atoms. Oxides (salts), alkylbenzene sulfonic acids (salts) having an alkyl group having 8 to 14 carbon atoms, petroleum sulfonates (salts), toluene sulfonic acids (salts), xylene sulphonic acids (salts), cumene sulphonic acids (salts), etc. Is mentioned. Specific examples of (B-2b-1) include dioctylsulfosuccinic acid (salt), paratoluenesulfonic acid (salt), orthotoluenesulfonic acid (salt), metaxylenesulfonic acid (salt), and paraxylenesulfonic acid (salt). ) And the like.

低分子型硫酸エステル系界面活性剤(B−2b−2)としては、炭素数8〜18の脂肪族アルコールの硫酸エステル(塩)、炭素数8〜18の脂肪族アルコールのエチレンオキサイド1〜10モル付加物の硫酸エステル(塩)、硫酸化油(塩)、硫酸化脂肪酸エステル(塩)及び硫酸化オレフィン(塩)等が挙げられる。(B−2b−2)の具体例としては、2−エチルヘキサノール硫酸エステル(塩)、オクタノール硫酸エステル(塩)、1,10−デカンジオールジ硫酸エステル(塩)及びラウリルアルコールのエチレンオキサイド(5モル)付加物のジ硫酸エステル(塩)等が挙げられる。   Examples of the low-molecular-weight sulfate ester surfactant (B-2b-2) include sulfuric acid esters (salts) of aliphatic alcohols having 8 to 18 carbon atoms, ethylene oxides 1 to 10 of aliphatic alcohols having 8 to 18 carbon atoms. Mole adduct sulfates (salts), sulfated oils (salts), sulfated fatty acid esters (salts), sulfated olefins (salts), and the like. Specific examples of (B-2b-2) include 2-ethylhexanol sulfate (salt), octanol sulfate (salt), 1,10-decandiol disulfate (salt), and ethylene oxide of lauryl alcohol (5 Mol) adduct disulfate (salt) and the like.

低分子型脂肪酸系界面活性剤(B−2b−3)としては、炭素数8〜18の脂肪酸(塩)及び炭素数8〜18の脂肪族アルコールのエーテルカルボン酸(塩)等が挙げられる。(B−2b−3)の具体例としては、n−オクタン酸(塩)、2−エチルヘキサン酸(塩)、n−ノナン酸(塩)、イソノナン酸(塩)、オレイン酸(塩)及びステアリン酸(塩)等が挙げられる。   Examples of the low molecular weight fatty acid surfactant (B-2b-3) include fatty acid (salt) having 8 to 18 carbon atoms and ether carboxylic acid (salt) of an aliphatic alcohol having 8 to 18 carbon atoms. Specific examples of (B-2b-3) include n-octanoic acid (salt), 2-ethylhexanoic acid (salt), n-nonanoic acid (salt), isononanoic acid (salt), oleic acid (salt) and Examples include stearic acid (salt).

低分子型リン酸エステル系界面活性剤(B−2b−4)としては、炭素数8〜24の高級アルコールのリン酸(モノ又はジ)エステル(塩)及び炭素数8〜24の高級アルコールのアルキレンオキサイド付加物のリン酸(モノ又はジ)エステル(塩)等が挙げられる。(B−2b−4)の具体例としては、ラウリルアルコールモノリン酸エステル(塩)、ラウリルアルコールのエチレンオキサイド(5モル)付加物のリン酸モノエステル(塩)及びオクチルアルコールジリン酸エステル(塩)等が挙げられる。   Examples of the low molecular phosphate ester surfactant (B-2b-4) include phosphoric acid (mono or di) esters (salts) of higher alcohols having 8 to 24 carbon atoms and higher alcohols having 8 to 24 carbon atoms. Examples include phosphoric acid (mono or di) esters (salts) of alkylene oxide adducts. Specific examples of (B-2b-4) include lauryl alcohol monophosphate (salt), phosphate monoester (salt) of ethylene oxide (5 mol) adduct of lauryl alcohol and octyl alcohol diphosphate (salt). Etc.

アニオン性界面活性剤(B−2)の内好ましいのは、再付着防止性の観点から高分子型アニオン性界面活性剤(B−2a)、低分子型スルホン酸系界面活性剤(B−2b−1)、低分子型硫酸エステル系界面活性剤(B−2b−2)及び低分子型脂肪酸系界面活性剤(B−2b−3)であり、更に好ましいのは(B−2a)、(B−2b−1)及び(B−2b−2)、特に好ましいのはポリアクリル酸(塩)、ポリスチレンスルホン酸(塩)、ナフタレンスルホン酸ホルムアルデヒド縮合物の塩、アクリルアミド−2−メチルプロパンスルホン酸/アクリル酸共重合体の塩,メタクリロイルオキシポリオキシアルキレン硫酸エステル/アクリル酸共重合体の塩、オクチルベンゼンスルホン酸(塩)、パラトルエンスルホン酸(塩)、メタキシレンスルホン酸(塩)及び2−エチルヘキサノール硫酸エステル(塩)である。
(B−2)は単独で用いてもよいし、2種以上を併用して用いてもよい。パーティクルの分散性の観点から、2種以上を併用する方がより好ましい。
Among the anionic surfactants (B-2), preferred are a high molecular weight anionic surfactant (B-2a) and a low molecular weight sulfonic acid surfactant (B-2b) from the viewpoint of the anti-redeposition property. -1), low molecular weight sulfate ester surfactant (B-2b-2) and low molecular weight fatty acid surfactant (B-2b-3), and more preferred are (B-2a), ( B-2b-1) and (B-2b-2), particularly preferably polyacrylic acid (salt), polystyrene sulfonic acid (salt), salt of naphthalene sulfonic acid formaldehyde condensate, acrylamido-2-methylpropane sulfonic acid / Acrylic acid copolymer salt, methacryloyloxypolyoxyalkylene sulfate / acrylic acid copolymer salt, octylbenzenesulfonic acid (salt), p-toluenesulfonic acid (salt), metaxy Nsuruhon an acid (salt) and 2-ethylhexanol sulfate (salt).
(B-2) may be used alone or in combination of two or more. From the viewpoint of particle dispersibility, it is more preferable to use two or more kinds in combination.

アニオン性界面活性剤(B−2)が塩を形成する場合、その塩としては例えば上述した酸のアルカリ金属塩、アルカリ土類金属塩、炭素数0〜25のアンモニウム塩、炭素数1〜36の脂肪族アミン塩、炭素数4〜10のアミジン塩、炭素数1〜23の第1級又は第2級アルカノールアミン塩、炭素数1又は9〜23のモノアルキルジアルカノールアミン塩、炭素数1〜23のジアルキルモノアルカノールアミン塩、炭素数1〜23のトリアルカノールアミン塩、炭素数6〜20の芳香族又は芳香脂肪族アミン塩及び本願発明の(A)による塩が挙げられる。これらは単独で使用してもよいし、2種以上を併用してもよい。   When the anionic surfactant (B-2) forms a salt, examples of the salt include alkali metal salts, alkaline earth metal salts, ammonium salts having 0 to 25 carbon atoms, and 1 to 36 carbon atoms. Aliphatic amine salt, C 4-10 amidine salt, C 1-23 primary or secondary alkanolamine salt, C 1 or 9-23 monoalkyl dialkanol amine salt, C 1 -23 dialkyl monoalkanolamine salts, trialkanolamine salts having 1 to 23 carbon atoms, aromatic or araliphatic amine salts having 6 to 20 carbon atoms, and salts according to (A) of the present invention. These may be used alone or in combination of two or more.

アルカリ金属としては、ナトリウム及びカリウム等が挙げられる。
アルカリ土類金属としては、カルシウム及びマグネシウム等が挙げられる。
Examples of the alkali metal include sodium and potassium.
Examples of the alkaline earth metal include calcium and magnesium.

炭素数0〜25のアンモニウムとしては、アンモニウム、テトラメチルアンモニウム、トリメチルビニルアンモニウム、トリメチルフェニルアンモニウム、ベンジルトリエチルアンモニウム、ドデシルトリメチルアンモニウム、テトラブチルアンモニウム、トリメチルテトラデシルアンモニウム、ベンジルトリブチルアンモニウム、テトラペンチルアンモニウム、エチルヘキサデシルジメチルアンモニウム及びオクタデシルトリメチルアンモニウム等が挙げられる。   Examples of ammonium having 0 to 25 carbon atoms include ammonium, tetramethylammonium, trimethylvinylammonium, trimethylphenylammonium, benzyltriethylammonium, dodecyltriethylammonium, tetrabutylammonium, trimethyltetradecylammonium, benzyltributylammonium, tetrapentylammonium and ethyl. Examples include hexadecyldimethylammonium and octadecyltrimethylammonium.

炭素数1〜36の脂肪族アミンとしては、炭素数1〜12のアルキルアミン、炭素数2〜6のアルキレンジアミン、炭素数3〜7の環状アミン及びポリ(n=2〜6)アルキレン(炭素数2〜6)ポリ(n=3〜7)アミン等が挙げられる。
炭素数1〜12のアルキルアミンとしては、炭素数1〜6のモノアルキルアミン(メチルアミン、エチルアミン、プロピルアミン、イソプロピルアミン、ブチルアミン及びヘキシルアミン等)並びに炭素数2〜12のジアルキルアミン(ジメチルアミン、エチルメチルアミン、プロピルメチルアミン、ブチルメチルアミン、ジエチルアミン、プロピルエチルアミン、ジイソプロピルアミン及びジヘキシルアミン等)等が挙げられる。
炭素数2〜6のアルキレンジアミンとしては、エチレンジアミン、1,2−又は1,3−プロピレンジアミン、テトラメチレンジアミン、ペンタメチレンジアミン及びヘキサメチレンジアミン等が挙げられる。
炭素数3〜7の環状アミンとしては、シクロプロピルアミン、シクロヘキシルアミン、ピペリジン、ピペラジン、キヌクリジン、2−ピリジンアミン、cis−3−メチルシクロヘキシルアミン及び1,4−ジアザビシクロ[2.2.2]オクタン(DABCO)等が挙げられる。
ポリ(n=2〜6)アルキレン(炭素数2〜6)ポリ(n=3〜7)アミンとしては、ジエチレントリアミン、ジプロピレントリアミン、ジヘキシレントリアミン、トリエチレンテトラミン、テトラエチレンペンタミン、ペンタエチレンヘキサミン及びヘキサエチレンヘプタミン等が挙げられる。
Examples of the aliphatic amine having 1 to 36 carbon atoms include alkyl amine having 1 to 12 carbon atoms, alkylene diamine having 2 to 6 carbon atoms, cyclic amine having 3 to 7 carbon atoms, and poly (n = 2 to 6) alkylene (carbon). Formula 2-6) Poly (n = 3-7) amine etc. are mentioned.
Examples of the alkylamine having 1 to 12 carbon atoms include monoalkylamines having 1 to 6 carbon atoms (such as methylamine, ethylamine, propylamine, isopropylamine, butylamine and hexylamine) and dialkylamines having 2 to 12 carbon atoms (dimethylamine). Ethylmethylamine, propylmethylamine, butylmethylamine, diethylamine, propylethylamine, diisopropylamine and dihexylamine).
Examples of the alkylene diamine having 2 to 6 carbon atoms include ethylene diamine, 1,2- or 1,3-propylene diamine, tetramethylene diamine, pentamethylene diamine and hexamethylene diamine.
Examples of the cyclic amine having 3 to 7 carbon atoms include cyclopropylamine, cyclohexylamine, piperidine, piperazine, quinuclidine, 2-pyridineamine, cis-3-methylcyclohexylamine and 1,4-diazabicyclo [2.2.2] octane. (DABCO).
As poly (n = 2-6) alkylene (2-6 carbon atoms) poly (n = 3-7) amine, diethylenetriamine, dipropylenetriamine, dihexylenetriamine, triethylenetetramine, tetraethylenepentamine, pentaethylenehexamine And hexaethyleneheptamine.

炭素数4〜10のアミジン化合物としては、1,8−ジアザビシクロ[5.4.0]−7−ウンデセン(以下、DBUと略記)、1,5−ジアザビシクロ[4.3.0]−5−ノネン(以下、DBNと略記)、1H−イミダゾール、2−メチル−1H−イミダゾール及び2−エチル−1H−イミダゾール、2−ブチルベンゾイミダゾール及び2−(4−チアゾリル)ベンズイミダゾール等が挙げられる。   Examples of the amidine compound having 4 to 10 carbon atoms include 1,8-diazabicyclo [5.4.0] -7-undecene (hereinafter abbreviated as DBU), 1,5-diazabicyclo [4.3.0] -5. Nonene (hereinafter abbreviated as DBN), 1H-imidazole, 2-methyl-1H-imidazole, 2-ethyl-1H-imidazole, 2-butylbenzimidazole, 2- (4-thiazolyl) benzimidazole, and the like.

炭素数1〜23の第1級又は第2級アルカノールアミンとしては、モノエタノールアミン、ジエタノールアミン、2−アミノ−2−メチル−1−プロパノール、N−(アミノエチル)エタノールアミン及び2−(2−アミノエトキシ)エタノール等が挙げられる。
炭素数1又は9〜23のモノアルキルジアルカノールアミンとしては、N−メチルジエタノールアミン及びN−メチルジプロパノールアミン等が挙げられる。
炭素数1〜23のジアルキルモノアルカノールアミンとしては、N,N−ジメチルエタノールアミン及びN,N−ジエチルエタノールアミン等が挙げられる。
炭素数1〜23のトリアルカノールアミンとしては、トリエタノールアミン及びトリプロパノールアミン等が挙げられる。
Examples of the primary or secondary alkanolamine having 1 to 23 carbon atoms include monoethanolamine, diethanolamine, 2-amino-2-methyl-1-propanol, N- (aminoethyl) ethanolamine and 2- (2- Aminoethoxy) ethanol and the like.
Examples of the monoalkyl dialkanolamine having 1 or 9 to 23 carbon atoms include N-methyldiethanolamine and N-methyldipropanolamine.
Examples of the C1-C23 dialkylmonoalkanolamine include N, N-dimethylethanolamine and N, N-diethylethanolamine.
Examples of the trialkanolamine having 1 to 23 carbon atoms include triethanolamine and tripropanolamine.

炭素数6〜20の芳香族又は芳香脂肪族アミンにおける炭素数6〜20の芳香族又は芳香脂肪族アミンとしては、アニリン、フェニレンジアミン、トリレンジアミン、メチレンジアニリン、ジフェニルエーテルジアミン、ナフタレンジアミン、アントラセンジアミン、ベンジルアミン及びキシリレンジアミン等が挙げられる。   Examples of the aromatic or araliphatic amine having 6 to 20 carbon atoms in the aromatic or araliphatic amine having 6 to 20 carbon atoms include aniline, phenylenediamine, tolylenediamine, methylenedianiline, diphenyl ether diamine, naphthalenediamine, and anthracene. Examples include diamine, benzylamine, and xylylenediamine.

これらの内、パーティクル除去性の観点から、アルカリ金属塩、炭素数0〜25のアンモニウム塩、炭素数1〜36の脂肪族アミン塩、炭素数4〜10のアミジン塩、炭素数1〜23のアルカノールアミン塩が好ましく、また基板への金属汚染の観点から、更に好ましいのは、炭素数0〜25のアンモニウム塩、炭素数1〜36の脂肪族アミン塩、炭素数4〜10のアミジン塩及び炭素数1〜23のアルカノールアミン塩であり、特に好ましいのは、炭素数1〜36の脂肪族アミン塩、炭素数4〜10のアミジン塩、炭素数1〜23のアルカノールアミン塩、最も好ましいのは、DABCO、DBU、DBN、1H−イミダゾール、2−メチル−1H−イミダゾール、2−エチル−1H−イミダゾール、モノエタノールアミン、ジエタノールアミン、トリエタノールアミン及びN−メチルジエタノールアミンの塩である。
尚、本発明の脂肪族第1級アミンのアルキレンオキサイド付加物(A)をこれらのアニオン性界面活性剤(B−2)の塩を形成させるための塩基として用いることもできる。
Among these, from the viewpoint of particle removability, alkali metal salts, ammonium salts having 0 to 25 carbon atoms, aliphatic amine salts having 1 to 36 carbon atoms, amidine salts having 4 to 10 carbon atoms, and 1 to 23 carbon atoms. Alkanolamine salts are preferable, and from the viewpoint of metal contamination of the substrate, more preferable are ammonium salts having 0 to 25 carbon atoms, aliphatic amine salts having 1 to 36 carbon atoms, amidine salts having 4 to 10 carbon atoms, and Alkanolamine salts having 1 to 23 carbon atoms, particularly preferred are aliphatic amine salts having 1 to 36 carbon atoms, amidine salts having 4 to 10 carbon atoms, alkanolamine salts having 1 to 23 carbon atoms, and most preferred. DABCO, DBU, DBN, 1H-imidazole, 2-methyl-1H-imidazole, 2-ethyl-1H-imidazole, monoethanolamine, diethanol Min, a salt of triethanolamine and N- methyldiethanolamine.
The alkylene oxide adduct (A) of the aliphatic primary amine of the present invention can also be used as a base for forming a salt of these anionic surfactants (B-2).

カチオン性界面活性剤(B−3)としては、4級アンモニウム塩型の界面活性剤(B−3a){例えば、アルキル(炭素数1〜30)トリメチルアンモニウム塩、ジアルキル(炭素数1〜30)ジメチルアンモニウム塩、窒素環含有第4級アンモニウム塩、ポリ(付加モル数2〜15)オキシアルキレン(炭素数2〜4)鎖含有第4級アンモニウム塩及びアルキル(炭素数1〜30)アミドアルキル(炭素数1〜10)ジアルキル(炭素数1〜4)メチルアンモニウム塩等}及びアミン系界面活性剤(B−3b){例えば、炭素数3〜90の脂肪族3級アミン、炭素数3〜90の脂環式(含窒素ヘテロ環を含む)3級アミン及び炭素数3〜90のヒドロキシアルキル基含有3級アミンの無機酸(塩酸、硫酸、硝酸、スルファミン酸及びリン酸等等)塩又は有機酸(ギ酸、酢酸、コハク酸、乳酸、リンゴ酸、酪酸、マレイン酸及びシュウ酸等)塩等}等が挙げられる。   As the cationic surfactant (B-3), a quaternary ammonium salt type surfactant (B-3a) {for example, alkyl (C1-30) trimethylammonium salt, dialkyl (C1-30) Dimethylammonium salt, nitrogen ring-containing quaternary ammonium salt, poly (addition mole number 2 to 15) oxyalkylene (carbon number 2 to 4) chain-containing quaternary ammonium salt and alkyl (carbon number 1 to 30) amidoalkyl ( Carbon number 1-10) dialkyl (carbon number 1-4) methylammonium salt and the like} and amine surfactant (B-3b) {for example, an aliphatic tertiary amine having 3 to 90 carbon atoms, 3 to 90 carbon atoms Inorganic acids (hydrochloric acid, sulfuric acid, nitric acid, sulfamic acid and phosphoric acid) of alicyclic (including nitrogen-containing heterocycles) tertiary amines and hydroxyalkyl group-containing tertiary amines having 3 to 90 carbon atoms Etc.) salt or an organic acid (formic acid, acetic acid, succinic acid, lactic acid, malic acid, butyric acid, maleic acid and oxalic acid) salts and the like}.

両性界面活性剤(B−4)としては、ベタイン型両性界面活性剤(B−4a){例えば、アルキル(炭素数1〜30)ジメチルベタイン、アルキル(炭素数1〜30)アミドアルキル(炭素数1〜4)ジメチルベタイン、アルキル(炭素数1〜30)ジヒドロキシアルキル(炭素数1〜30)ベタイン及びスルフォベタイン型等}、アミノ酸型両性界面活性剤(B−4b)[例えば、アラニン型{アルキル(炭素数1〜30)アミノプロピオン酸型及びアルキル(炭素数1〜30)イミノジプロピオン酸型等}、グリシン型{アルキル(炭素数1〜30)アミノ酢酸型等}]及びアミノスルホン酸塩型両性界面活性剤(B−4c){例えば、アルキル(炭素数1〜30)タウリン型両性界面活性剤等}等が挙げられる。   As the amphoteric surfactant (B-4), a betaine-type amphoteric surfactant (B-4a) {for example, alkyl (C1-30) dimethylbetaine, alkyl (C1-30) amide alkyl (carbon number) 1-4) Dimethylbetaine, alkyl (C1-30) dihydroxyalkyl (C1-30) betaine and sulfobetaine type}, amino acid type amphoteric surfactant (B-4b) [for example, alanine type { Alkyl (C1-30) aminopropionic acid type and alkyl (C1-30) iminodipropionic acid type}, glycine type {alkyl (C1-30) aminoacetic acid type}] and aminosulfonic acid Salt type amphoteric surfactant (B-4c) {for example, alkyl (carbon number 1 to 30) taurine type amphoteric surfactant} and the like.

界面活性剤(B)の内、パーティクルの再付着防止の観点から好ましいのは、アニオン性界面活性剤(B−2)、及び非イオン性界面活性剤(B−1)と(B−2)の併用であり、更に好ましいのは(B−1)と(B−2)の併用である。併用の場合の(B−1)及び(B−2)の含有比率[(B−1)/(B−2)]は、洗浄性及び起泡性の観点から好ましくは、6以下、更に好ましくは0.1〜5、特に好ましくは0.2〜0.8である。   Of the surfactants (B), anionic surfactants (B-2) and nonionic surfactants (B-1) and (B-2) are preferable from the viewpoint of preventing reattachment of particles. The combination of (B-1) and (B-2) is more preferable. The content ratio [(B-1) / (B-2)] of (B-1) and (B-2) in the case of combined use is preferably 6 or less, more preferably from the viewpoint of detergency and foaming properties. Is 0.1 to 5, particularly preferably 0.2 to 0.8.

また本発明の電子材料用洗浄剤は、炭素数2〜8の脂肪族第1級アミンのアルキレンオキサイド付加物(A)と界面活性剤(B−1)と併用することにより、特に電子材料用基板に対するぬれ性が向上する。この場合の(A)と(B−1)の含有比率[(A)/(B−1)]は、上記の観点から好ましくは、100以下、更に好ましくは0.1〜50、特に好ましくは1〜20である。   Moreover, the cleaning agent for electronic materials of the present invention is particularly suitable for electronic materials by using together with an alkylene oxide adduct (A) of an aliphatic primary amine having 2 to 8 carbon atoms and a surfactant (B-1). The wettability with respect to the substrate is improved. In this case, the content ratio [(A) / (B-1)] of (A) and (B-1) is preferably 100 or less, more preferably 0.1 to 50, particularly preferably from the above viewpoint. 1-20.

キレート剤(C)としては、アミノポリカルボン酸(塩)(C−1){例えば、エチレンジアミンテトラ酢酸(EDTA)(塩)、ジエチレントリアミンペンタ酢酸(DTPA)(塩)、トリエチレンテトラミンヘキサ酢酸(TTHA)(塩)、ヒドロキシエチルエチレンジアミン三酢酸(HEDTA)(塩)、ジヒドロキシエチルエチレンジアミン四酢酸(DHEDDA)(塩)、ニトリロ酸酢酸(NTA)(塩)、ヒドロキシエチルイミノ二酢酸(HIDA)(塩)、β−アラニンジ酢酸(塩)、アスパラギン酸ジ酢酸(塩)、メチルグリシンジ酢酸(塩)、イミノジコハク酸(塩)、セリンジ酢酸(塩)、ヒドロキシイミノジコハク酸(塩)、ジヒドロキシエチルグリシン(塩)、アスパラギン酸(塩)及びグルタミン酸(塩)等};
ヒドロキシカルボン酸(塩)(C−2){例えば、ヒドロキシ酢酸(塩)、酒石酸(塩)、クエン酸(塩)及びグルコン酸(塩)等};
シクロカルボン酸(塩)(C−3){例えば、ピロメリット酸(塩)、ベンゾポリカルボン酸(塩)及びシクロペンタンテトラカルボン酸(塩)等};
エーテルカルボン酸(塩)(C−4)(例えば、カルボキシメチルタルトロネート、カルボキシメチルオキシサクシネート、オキシジサクシネート、酒石酸モノサクシネート及び酒石酸ジサクシネート等);
その他カルボン酸(塩)(C−5){例えば、マレイン酸誘導体及びシュウ酸(塩)等};
ホスホン酸(塩)(C−6){例えば、メチルジホスホン酸(塩)、アミノトリ(メチレンホスホン酸)(塩)、1−ヒドロキシエチリデン−1、1−ジホスホン酸(塩)、エチレンジアミンテトラ(メチレンホスホン酸)(塩)、ヘキサメチレンジアミンテトラ(メチレンホスホン酸)(塩)、プロピレンジアミンテトラ(メチレンホスホン酸)(塩)、ジエチレントリアミンペンタ(メチレンホスホン酸)(塩)、トリエチレンテトラミンヘキサ(メチレンホスホン酸)(塩)、トリアミノトリエチルアミンヘキサ(メチレンホスホン酸)(塩)、トランス−1、2−シクロヘキサンジアミンテトラ(メチレンホスホン酸)(塩)、グリコールエーテルジアミンテトラ(メチレンホスホン酸)(塩)及びテトラエチレンペンタミンヘプタ(メチレンホスホン酸)(塩)等};
縮合リン酸(塩)(C−7){例えば、メタリン酸(塩)、トリポリリン酸(塩)及びヘキサメタリン酸(塩)等};
等が挙げられる。
As the chelating agent (C), aminopolycarboxylic acid (salt) (C-1) {for example, ethylenediaminetetraacetic acid (EDTA) (salt), diethylenetriaminepentaacetic acid (DTPA) (salt), triethylenetetraminehexaacetic acid (TTHA) ) (Salt), hydroxyethylethylenediaminetriacetic acid (HEDTA) (salt), dihydroxyethylethylenediaminetetraacetic acid (DHEDDA) (salt), nitriloacetic acid (NTA) (salt), hydroxyethyliminodiacetic acid (HIDA) (salt) , Β-alanine diacetate (salt), aspartate diacetate (salt), methylglycine diacetate (salt), iminodisuccinate (salt), serine diacetate (salt), hydroxyiminodisuccinate (salt), dihydroxyethyl glycine ( Salt), aspartic acid (salt), glutamic acid (salt), etc.};
Hydroxycarboxylic acid (salt) (C-2) {eg, hydroxyacetic acid (salt), tartaric acid (salt), citric acid (salt), gluconic acid (salt), etc.};
Cyclocarboxylic acid (salt) (C-3) {for example, pyromellitic acid (salt), benzopolycarboxylic acid (salt), cyclopentanetetracarboxylic acid (salt), etc.};
Ether carboxylic acid (salt) (C-4) (for example, carboxymethyl tartronate, carboxymethyloxysuccinate, oxydisuccinate, tartaric acid monosuccinate and tartaric acid disuccinate);
Other carboxylic acid (salt) (C-5) {for example, maleic acid derivative and oxalic acid (salt), etc.};
Phosphonic acid (salt) (C-6) {for example, methyldiphosphonic acid (salt), aminotri (methylenephosphonic acid) (salt), 1-hydroxyethylidene-1, 1-diphosphonic acid (salt), ethylenediaminetetra (methylene Phosphonic acid) (salt), hexamethylenediaminetetra (methylenephosphonic acid) (salt), propylenediaminetetra (methylenephosphonic acid) (salt), diethylenetriaminepenta (methylenephosphonic acid) (salt), triethylenetetramine hexa (methylenephosphone) Acid) (salt), triaminotriethylamine hexa (methylenephosphonic acid) (salt), trans-1,2-cyclohexanediaminetetra (methylenephosphonic acid) (salt), glycol etherdiaminetetra (methylenephosphonic acid) (salt) and Tetraethylenepentamine hepta Methylene phosphonic acid) (salt), etc.};
Condensed phosphoric acid (salt) (C-7) {eg, metaphosphoric acid (salt), tripolyphosphoric acid (salt), hexametaphosphoric acid (salt), etc.};
Etc.

尚、(C)が塩を形成する場合、その塩としては、上述のアニオン性界面活性剤(B−2)の塩で例示したものと同様のカチオン成分を有するものが挙げられる。また、これらは単独で使用しても、2種以上を併用してもよい。
これらの内で基板のエッチング性コントロール及び洗浄性の観点から好ましいのは、(C−1)、(C−2)、(C−6)、(C−7)及びこれらの塩であり、更に好ましいのは(C−1)、(C−6)、(C−7)及びこれらの塩、特に好ましいのはエチレンジアミンテトラ酢酸(塩)(EDTA)、ジエチレントリアミンペンタ酢酸(塩)(DTPA)、ジヒドロキシエチルエチレンジアミン四酢酸(塩)(DHEDDA)、アスパラギン酸ジ酢酸(塩)、アスパラギン酸(塩)、グルタミン酸(塩)、1−ヒドロキシエチリデン−1、1−ジホスホン酸(塩)、エチレンジアミンテトラ(メチレンホスホン酸)(塩)、メタリン酸(塩)及びヘキサメタリン酸(塩)、最も好ましいのはエチレンジアミンテトラ酢酸(塩)(EDTA)、1−ヒドロキシエチリデン−1、1−ジホスホン酸(塩)及びヘキサメタリン酸(塩)である。
When (C) forms a salt, examples of the salt include those having a cation component similar to that exemplified for the salt of the above-mentioned anionic surfactant (B-2). Moreover, these may be used independently or may use 2 or more types together.
Of these, (C-1), (C-2), (C-6), (C-7), and salts thereof are preferable from the viewpoints of etching control and cleaning properties of the substrate. Preferred are (C-1), (C-6), (C-7) and their salts, particularly preferred are ethylenediaminetetraacetic acid (salt) (EDTA), diethylenetriaminepentaacetic acid (salt) (DTPA), dihydroxy Ethylethylenediaminetetraacetic acid (salt) (DHEDDA), aspartic acid diacetic acid (salt), aspartic acid (salt), glutamic acid (salt), 1-hydroxyethylidene-1, 1-diphosphonic acid (salt), ethylenediaminetetra (methylenephosphone) Acid) (salt), metaphosphoric acid (salt) and hexametaphosphoric acid (salt), most preferably ethylenediaminetetraacetic acid (salt) (EDTA) 1-hydroxyethylidene-1,1-diphosphonic acid (salt) and hexametaphosphoric acid (salt).

アルカリ成分(D)としては、一般式(1)で表される第4級アンモニウム塩(D−1)、アンモニア(D−2)、炭素数1〜36の脂肪族アミン(D−3)、炭素数4〜10のアミジン(D−4)、炭素数1〜23の第1級又は第2級アルカノールアミン、炭素数1又は9〜23のモノアルキルジアルカノールアミン、炭素数1〜23のジアルキルモノアルカノールアミン及び炭素数1〜23のトリアルカノールアミンからなる群から選ばれる1種以上のアルカノールアミン(D−5)、炭素数6〜20の芳香族又は芳香脂肪族アミン(D−6)、金属水酸化物(D−7)、炭酸塩(D−8)、ケイ酸塩(D−9)及びこれらの混合物が挙げられる。   As the alkali component (D), a quaternary ammonium salt (D-1) represented by the general formula (1), ammonia (D-2), an aliphatic amine having 1 to 36 carbon atoms (D-3), C4-10 amidine (D-4), C1-23 primary or secondary alkanolamine, C1 or 9-23 monoalkyl dialkanolamine, C1-23 dialkyl One or more alkanolamines (D-5) selected from the group consisting of monoalkanolamines and trialkanolamines having 1 to 23 carbon atoms, aromatic or araliphatic amines having 6 to 20 carbon atoms (D-6), Examples include metal hydroxide (D-7), carbonate (D-8), silicate (D-9), and mixtures thereof.

Figure 0005553985
Figure 0005553985

式中、R1、R2、R3及びR4は、それぞれ独立に炭素数1〜24のアルキル基又は−(R5O)r−Hで表される基であり、R5は炭素数2〜4のアルキレン基、rは1〜6の整数を表す。
炭素数1〜24のアルキル基としては、メチル、エチル、プロピル、ブチル、ペンチル、ヘキシル、ヘプチル、オクチル、ノニル、デシル、ウンデシル、ドデシル、トリデシル、テトラデシル、ペンタデシル、ヘキサデシル、ヘプタデシル、オクタデシル、ノナデシル、エイコシル、ヘキコシル、ドコシル、トリコシル及びテトラコシル基等が挙げられる。炭素数2〜4のアルキレン基としては、エチレン、プロピレン及びブチレン等が挙げられる。rは1〜3が好ましい。
In the formula, R 1 , R 2 , R 3 and R 4 are each independently an alkyl group having 1 to 24 carbon atoms or a group represented by — (R 5 O) r—H, and R 5 is a carbon number. 2-4 alkylene group, r represents the integer of 1-6.
Examples of the alkyl group having 1 to 24 carbon atoms include methyl, ethyl, propyl, butyl, pentyl, hexyl, heptyl, octyl, nonyl, decyl, undecyl, dodecyl, tridecyl, tetradecyl, pentadecyl, hexadecyl, heptadecyl, octadecyl, nonadecyl, eicosyl , Hexosyl, docosyl, tricosyl, and tetracosyl groups. Examples of the alkylene group having 2 to 4 carbon atoms include ethylene, propylene and butylene. r is preferably 1 to 3.

(D−1)の具体例としては、テトラメチルアンモニウムハイドロキサイド、トリメチルエチルアンモニウムハイドロキサイド、テトラエチルアンモニウムハイドロキサイド、トリエチルメチルアンモニウムハイドロキサイド、テトラプロピルアンモニウムハイドロキサイド、テトラブチルアンモニウムハイドロキサイド、テトラペンチルアンモニウムハイドロキサイド、テトラヘキシルアンモニウムハイドロキサイド、ヒドロキシエチルトリメチルアンモニウムハイドロキサイド、ヒドロキシエチルトリエチルアンモニウムハイドロキサイド、ビス(ヒドロキシエチル)ジメチルアンモニウムハイドロキサイド、トリス(ヒドロキシエチル)メチルアンモニウムハイドロキサイド等。   Specific examples of (D-1) include tetramethylammonium hydroxide, trimethylethylammonium hydroxide, tetraethylammonium hydroxide, triethylmethylammonium hydroxide, tetrapropylammonium hydroxide, tetrabutylammonium hydroxide. Side, tetrapentylammonium hydroxide, tetrahexylammonium hydroxide, hydroxyethyltrimethylammonium hydroxide, hydroxyethyltriethylammonium hydroxide, bis (hydroxyethyl) dimethylammonium hydroxide, tris (hydroxyethyl) methylammonium Hydroxide etc.

(D−3)としては、前記アニオン性界面活性剤(B−2)において例示した炭素数1〜36の脂肪族アミンと同様のものが挙げられる。   As (D-3), the same thing as the C1-C36 aliphatic amine illustrated in the said anionic surfactant (B-2) is mentioned.

(D−4)としては、前記アニオン性界面活性剤(B−2)において例示した炭素数4〜10のアミジンと同様のものが挙げられる。   As (D-4), the same thing as the C4-C10 amidine illustrated in the said anionic surfactant (B-2) is mentioned.

(D−5)における炭素数1〜23の第1級又は第2級アルカノールアミン、炭素数1又は9〜23のモノアルキルジアルカノールアミン、炭素数1〜23のジアルキルモノアルカノールアミン及び炭素数1〜23のトリアルカノールアミンとしては、前記アニオン性界面活性剤(B−2)において例示したものと同様のものが挙げられる。   (D-5) primary or secondary alkanolamine having 1 to 23 carbon atoms, monoalkyl dialkanolamine having 1 or 9 to 23 carbon atoms, dialkyl monoalkanolamine having 1 to 23 carbon atoms and 1 carbon atom Examples of the trialkanolamine of ˜23 include the same ones as exemplified in the anionic surfactant (B-2).

(D−6)としては、前記アニオン性界面活性剤(B−2)において例示した炭素数6〜20の芳香族又は芳香脂肪族アミンと同様のものが挙げられる。   As (D-6), the same thing as the C6-C20 aromatic or araliphatic amine illustrated in the said anionic surfactant (B-2) is mentioned.

金属水酸化物(D−7)としては、アルカリ金属水酸化物(例えば、水酸化リチウム、水酸化ナトリウム及び水酸化カリウム等)並びにアルカリ土類金属水酸化物(水酸化カルシウム、水酸化マグネシウム及び水酸化バリウム等)等が挙げられる。   Examples of the metal hydroxide (D-7) include alkali metal hydroxides (for example, lithium hydroxide, sodium hydroxide and potassium hydroxide) and alkaline earth metal hydroxides (calcium hydroxide, magnesium hydroxide and Barium hydroxide, etc.).

炭酸塩(D−8)としては、アルカリ金属炭酸塩(例えば、炭酸ナトリウム及び炭酸カリウム等)並びにアルカリ土類金属炭酸塩(例えば、炭酸カルシウム、炭酸マグネシウム及び炭酸バリウム等)等が挙げられる。   Examples of the carbonate (D-8) include alkali metal carbonates (for example, sodium carbonate and potassium carbonate) and alkaline earth metal carbonates (for example, calcium carbonate, magnesium carbonate and barium carbonate).

ケイ酸塩(D−9)としては、アルカリ金属ケイ酸塩(例えば、ケイ酸ナトリウム及びケイ酸カリウム等)、アルカリ土類金属ケイ酸塩(例えば、ケイ酸カルシウム、ケイ酸マグネシウム及びケイ酸バリウム等)等が挙げられる。   Silicates (D-9) include alkali metal silicates (for example, sodium silicate and potassium silicate), alkaline earth metal silicates (for example, calcium silicate, magnesium silicate and barium silicate). Etc.).

(D)の内、洗浄性の観点から、第4級アンモニウム塩(D−1)、炭素数1〜36の脂肪族アミン(D−3)、炭素数4〜10のアミジン(D−4)、アルカノールアミン(D−5)、金属水酸化物(D−7)及びこれらの混合物が好ましく、洗浄性とリンス性の観点等から、更に好ましいのは(D−1)、(D−4)、(D−5)、(D−7)及びこれらの混合物であり、特に好ましいのは、テトラメチルアンモニウムハイドロキサイド、テトラエチルアンモニウムハイドロキサイド、DBU、DBN、1H−イミダゾール、2−メチル−1H−イミダゾール、2−エチル−1H−イミダゾール、モノエタノールアミン、ジエタノールアミン、トリエタノールアミン、N−メチル−ジエタノールアミン、水酸化ナトリウム、水酸化カリウム及びこれらの混合物である。   Among (D), from the viewpoint of detergency, a quaternary ammonium salt (D-1), a C1-C36 aliphatic amine (D-3), and a C4-10 amidine (D-4) , Alkanolamine (D-5), metal hydroxide (D-7) and a mixture thereof are preferable, and (D-1) and (D-4) are more preferable from the viewpoints of detergency and rinseability. , (D-5), (D-7) and mixtures thereof, tetramethylammonium hydroxide, tetraethylammonium hydroxide, DBU, DBN, 1H-imidazole, 2-methyl-1H are particularly preferable. -Imidazole, 2-ethyl-1H-imidazole, monoethanolamine, diethanolamine, triethanolamine, N-methyl-diethanolamine, sodium hydroxide, potassium hydroxide And mixtures thereof.

本発明の洗浄剤は、その効果を損なわない範囲において、更に分散剤(E)、3価以上の多価アルコール(F)、親水性有機溶剤(G)、還元剤(H)及びその他の添加剤(I)からなる群から選ばれる1種以上の成分を含有もしてもよい。   As long as the effect of the cleaning agent of the present invention is not impaired, the dispersant (E), a trihydric or higher polyhydric alcohol (F), a hydrophilic organic solvent (G), a reducing agent (H) and other additives are added. You may also contain 1 or more types of components chosen from the group which consists of agent (I).

分散剤(E)としては、従来から微粒子の分散剤として使用されているもの、例えば、繰り返し単位が4つ以上の多糖類及びその誘導体(ヒドロキシエチルセルロース、カチオン化セルロース、ヒドロキシメチルセルロース、ヒドロキシプロピルセルロース、グァーガム、カチオン化グァーガム、キサンタンガム、アルギン酸塩及びカチオン化デンプン等)、ポバール並びにリン酸エステル{フィチン酸、ジ(ポリオキシエチレン)アルキルエーテルリン酸及びトリ(ポリオキシエチレン)アルキルエーテルリン酸等}等が挙げられる。尚、前記(B−2a)も分散剤としての効果を有する。   As the dispersant (E), those conventionally used as fine particle dispersants, for example, polysaccharides having 4 or more repeating units and derivatives thereof (hydroxyethylcellulose, cationized cellulose, hydroxymethylcellulose, hydroxypropylcellulose, Guar gum, cationized guar gum, xanthan gum, alginate and cationized starch, etc.), poval and phosphate esters {phytic acid, di (polyoxyethylene) alkyl ether phosphate, tri (polyoxyethylene) alkyl ether phosphate, etc.}, etc. Is mentioned. The (B-2a) also has an effect as a dispersant.

3価以上の多価アルコール(F)は、パーティクル及び有機性汚れに対する洗浄性を高める効果を有し、(F)としては以下の(F1)〜(F5)等が挙げられる。
(F1)脂肪族多価アルコール(グリセリン、トリメチロールエタン、トリメチロールプロパン及びペンタエリスリトール等);
(F2)(F1)の脱水縮合物(ジグリセリン、トリグリセリン、テトラグリセリン及びペンタグリセリン等);
(F3)糖類[単糖類{ペントース(アラビノース、キシロース、リボース、キシルロース及びリブロース等)、ヘキソース(グルコース、マンノース、ガラクトース、フルクトース、ソルボース及びタガトース等)及びヘプトース(セドヘプツロース等)等}、二糖類(トレハロース、サッカロース、マルトース、セロビオース、ゲンチオビオース及びラクトース等)及び三糖類(ラフィノース、マルトトリオース等)等];
(F4)糖アルコール(アラビトール、アドニトール、キシリトール、ソルビトール、マンニトール及びズルシトール等);
(F5)トリスフェノール(トリスフェノールPA等);
並びにこれらのアルキレンオキサイド(炭素数2〜4)付加物(付加モル数1〜7モル)等。(F)は単独で使用しても、2種以上を併用してもよい。
The trihydric or higher polyhydric alcohol (F) has an effect of improving the cleaning properties against particles and organic dirt, and examples of (F) include the following (F1) to (F5).
(F1) aliphatic polyhydric alcohols (glycerin, trimethylolethane, trimethylolpropane, pentaerythritol, etc.);
(F2) Dehydration condensate of (F1) (diglycerin, triglycerin, tetraglycerin, pentaglycerin, etc.);
(F3) Saccharides [monosaccharides {pentose (arabinose, xylose, ribose, xylulose, ribulose, etc.), hexose (glucose, mannose, galactose, fructose, sorbose, tagatose, etc.) and heptose (sedheptulose etc.)}, disaccharide (trehalose, etc.) Saccharose, maltose, cellobiose, gentiobiose and lactose) and trisaccharides (raffinose, maltotriose, etc.)];
(F4) sugar alcohols (arabitol, adonitol, xylitol, sorbitol, mannitol, dulcitol, etc.);
(F5) Trisphenol (such as trisphenol PA);
In addition, these alkylene oxide (carbon number 2 to 4) adducts (addition mole number 1 to 7 moles) and the like. (F) may be used alone or in combination of two or more.

(F)の内、基板の腐食を防止する効果の高い点から、(F1)、(F2)、(F3)及び(F4)が好ましく、更に好ましいのはグリセリン、サッカロース及びソルビトールである。   Among (F), (F1), (F2), (F3) and (F4) are preferable from the viewpoint of high effect of preventing corrosion of the substrate, and glycerin, saccharose and sorbitol are more preferable.

親水性溶剤(G)は、洗浄性を高める効果を有し、(G)としては、20℃における水に対する溶解度[(G)/100gH2O]が3以上、好ましくは10以上の有機溶剤が挙げられる。
(G)の具体例としては、スルホキシド(ジメチルスルホキシド等);スルホン{ジメチルスルホン、ジエチルスルホン、ビス(2−ヒドロキシエチル)スルホン、スルホラン、3−メチルスルホラン及び2,4−ジメチルスルホラン等};アミド{N,N−ジメチルホルムアミド、N−メチルホルムアミド、N,N−ジメチルアセトアミド及びN,N−ジメチルプロピオンアミド等};ラクタム{N−メチル−2−ピロリドン、N−エチル−2−ピロリドン及びN−ヒドロキシメチル−2−ピロリドン等};ラクトン{β−プロピオラクトン、β−ブチロラクトン、γ−ブチロラクトン、γ−バレロラクトン及びδ−バレロラクトン等};アルコール{メタノ−ル、エタノ−ル及びイソプロパノ−ル等};グリコール及びグリコールエーテル{エチレングリコール、エチレングリコールモノメチルエーテル、トリエチレングリコールモノメチルエーテル、エチレングリコールモノエチルエーテル、ジエチレングリコール、ジエチレングリコールモノメチルエーテル、ジエチレングリコールモノエチルエーテル、ジエチレングリコールモノブチルエーテル、ジエチレングリコールモノヘキシルエーテル、プロピレングリコール、プロピレングリコールモノメチルエーテル、ジプロピレングリコールモノメチルエーテル、ブチレングリコール、ジエチレングリコールジメチルエーテル、ジエチレングリコールジエチルエーテル、トリエチレングリコールジメチルエーテル及びトリエチレングリコールジエチルエーテル等};オキサゾリジノン(N−メチル−2−オキサゾリジノン及び3,5−ジメチル−2−オキサゾリジノン等);ニトリル(アセトニトリル、プロピオニトリル、ブチロニトリル、アクリロニトリル及びメタクリルニトリル等);カーボネート(エチレンカーボネート及びプロピオンカーボネート等);ケトン(アセトン、ジエチルケトン、アセトフェノン、メチルエチルケトン、シクロヘキサノン、シクロペンタノン及びジアセトンアルコール等);環状エーテル(テトラヒドロフラン及びテトラヒドロピラン等)等が挙げられる。(G)は単独で使用しても、2種以上を併用してもよい。
The hydrophilic solvent (G) has an effect of improving detergency, and (G) includes an organic solvent having a solubility [(G) / 100 gH 2 O] in water at 20 ° C. of 3 or more, preferably 10 or more. Can be mentioned.
Specific examples of (G) include sulfoxide (dimethylsulfoxide, etc.); sulfone {dimethylsulfone, diethylsulfone, bis (2-hydroxyethyl) sulfone, sulfolane, 3-methylsulfolane, 2,4-dimethylsulfolane, etc.}; amide {N, N-dimethylformamide, N-methylformamide, N, N-dimethylacetamide, N, N-dimethylpropionamide and the like}; lactam {N-methyl-2-pyrrolidone, N-ethyl-2-pyrrolidone and N- Hydroxymethyl-2-pyrrolidone and the like}; Lactone {β-propiolactone, β-butyrolactone, γ-butyrolactone, γ-valerolactone and δ-valerolactone, etc.}; Alcohol {methanol, ethanol and isopropanol Etc .; glycols and glycol ethers { Ethylene glycol, ethylene glycol monomethyl ether, triethylene glycol monomethyl ether, ethylene glycol monoethyl ether, diethylene glycol, diethylene glycol monomethyl ether, diethylene glycol monoethyl ether, diethylene glycol monobutyl ether, diethylene glycol monohexyl ether, propylene glycol, propylene glycol monomethyl ether, dipropylene Glycol monomethyl ether, butylene glycol, diethylene glycol dimethyl ether, diethylene glycol diethyl ether, triethylene glycol dimethyl ether and triethylene glycol diethyl ether, etc.}; oxazolidinone (N-methyl-2-oxazolidinone and 3, -Dimethyl-2-oxazolidinone, etc.); nitriles (acetonitrile, propionitrile, butyronitrile, acrylonitrile, methacrylonitrile, etc.); carbonates (ethylene carbonate, propion carbonate, etc.); ketones (acetone, diethyl ketone, acetophenone, methyl ethyl ketone, cyclohexanone, cyclohexanone) Pentanone and diacetone alcohol); cyclic ethers (tetrahydrofuran and tetrahydropyran) and the like. (G) may be used alone or in combination of two or more.

(G)の内で、洗浄性及び洗浄剤中の有効成分が電子材料表面に残留することを防止する観点等から、グリコール及びグリコールエーテルが好ましく、更に好ましいのは、エチレングリコールモノメチルエーテル、ジエチレングリコールモノメチルエーテル、トリエチレングリコールモノメチルエーテル、ジエチレングリコールモノブチルエーテル及びジエチレングリコールモノヘキシルエーテルである。   Among (G), glycols and glycol ethers are preferable from the viewpoint of cleaning properties and preventing the active ingredients in the cleaning agent from remaining on the surface of the electronic material, and more preferable are ethylene glycol monomethyl ether and diethylene glycol monomethyl. Ethers, triethylene glycol monomethyl ether, diethylene glycol monobutyl ether and diethylene glycol monohexyl ether.

還元剤(H)は、基板のエッチング性を適度にコントロールする効果を有し、(H)としては、有機還元剤(H−1)及び無機還元剤(H−2)が挙げられる。有機還元剤(H−1)としては、脂肪族有機還元剤(H−1a)、芳香族有機還元剤(H−1b)及びその他の有機還元剤(H−1c)が挙げられる。   The reducing agent (H) has an effect of appropriately controlling the etching property of the substrate, and examples of (H) include an organic reducing agent (H-1) and an inorganic reducing agent (H-2). Examples of the organic reducing agent (H-1) include an aliphatic organic reducing agent (H-1a), an aromatic organic reducing agent (H-1b), and other organic reducing agents (H-1c).

脂肪族有機還元剤(H−1a)としては、炭素数1〜12の有機酸類、炭素数1〜12のアルデヒド類及び炭素数6〜9のレダクトン類等が挙げられる。
炭素数1〜12の有機酸類としては、ギ酸、酢酸、コハク酸、乳酸、リンゴ酸、酪酸、マレイン酸、2−オキソプロパン酸、マロン酸、没食子酸及びこれらの塩が挙げられる。
炭素数1〜12のアルデヒド類としては、ホルムアルデヒド、アセトアルデヒド、プロピオンアルデヒド及びビニルアルデヒド等が挙げられる。
炭素数6〜9のレダクトン類としては、L−アスコルビン酸、イソアスコルビン酸、L−アスコルビン酸硫酸エステル、L−アスコルビン酸リン酸エステル、L−アスコルビン酸2−グルコシド、L−アスコルビン酸パルミチン酸エステル、テトライソパルミチン酸L−アスコルビル、アスコルビン酸イソパルミネート、エリソルビン酸、エリソルビン酸リン酸エステル、エリソルビン酸パルミチン酸エステル、テトライソパルミチン酸エリソビル及びこれらの塩等が挙げられる。
Examples of the aliphatic organic reducing agent (H-1a) include organic acids having 1 to 12 carbon atoms, aldehydes having 1 to 12 carbon atoms, and reductones having 6 to 9 carbon atoms.
Examples of the organic acids having 1 to 12 carbon atoms include formic acid, acetic acid, succinic acid, lactic acid, malic acid, butyric acid, maleic acid, 2-oxopropanoic acid, malonic acid, gallic acid, and salts thereof.
Examples of aldehydes having 1 to 12 carbon atoms include formaldehyde, acetaldehyde, propionaldehyde, and vinyl aldehyde.
Examples of reductones having 6 to 9 carbon atoms include L-ascorbic acid, isoascorbic acid, L-ascorbic acid sulfate, L-ascorbic acid phosphate, L-ascorbic acid 2-glucoside, and L-ascorbyl palmitate , Tetraisopalmitic acid L-ascorbyl, ascorbic acid isopalmitate, erythorbic acid, erythorbic acid phosphate ester, erythorbic acid palmitic acid ester, tetraisopalmitic acid erythovir, and salts thereof.

芳香族有機還元剤(H−1b)としては、炭素数7〜12の芳香族アルデヒド、炭素数6〜9の芳香族アミン及び炭素数6〜30のフェノール化合物等が挙げられる。
炭素数7〜12の芳香族アルデヒドとしては、ベンズアルデヒド及びシンナムアルデヒド等が挙げられる。
炭素数6〜9の芳香族アミンとしては、p−フェニレンジアミン及びp−アミノフェノール等が挙げられる。
Examples of the aromatic organic reducing agent (H-1b) include aromatic aldehydes having 7 to 12 carbon atoms, aromatic amines having 6 to 9 carbon atoms, and phenol compounds having 6 to 30 carbon atoms.
Examples of the aromatic aldehyde having 7 to 12 carbon atoms include benzaldehyde and cinnamaldehyde.
Examples of the aromatic amine having 6 to 9 carbon atoms include p-phenylenediamine and p-aminophenol.

炭素数6〜30のフェノール化合物としては、一価フェノール及びポリフェノールが挙げられる。一価フェノールとしては、3−ヒドロキシフラボン及びトコフェロール(α−、β−、γ−、δ−、ε−又はη−トコフェロール等)等が挙げられる。ポリフェノールとしては、3,4,5−トリヒドロキシ安息香酸、ピロカテコール、レゾルシノール、ヒドロキノン、ナフトレゾルシノール、ピロガロール及びフロログルシノール等が挙げられる。   Examples of the phenol compound having 6 to 30 carbon atoms include monohydric phenol and polyphenol. Examples of the monohydric phenol include 3-hydroxyflavone and tocopherol (such as α-, β-, γ-, δ-, ε-, or η-tocopherol). Examples of the polyphenol include 3,4,5-trihydroxybenzoic acid, pyrocatechol, resorcinol, hydroquinone, naphthoresorcinol, pyrogallol and phloroglucinol.

その他の有機還元剤(H−1c)としては、リン系還元剤(トリス‐2‐カルボキシエチルホスフィン等)、ボラン系錯体(ボラン−tert−ブチルアミン錯体、ボラン−N,N−ジエチルアニリン錯体及びボラン−トリメチルアミン錯体等)、チオール系還元剤(L−システイン及びアミノエタンチオール等)及びヒドロキシルアミン系還元剤(ヒドロキシルアミン及びジエチルヒドロキシルアミン等)等が挙げられる。尚、前述の(F3)及び(F4)として例示した糖類及び糖アルコールも有機還元剤としての効果を有する。   Examples of other organic reducing agents (H-1c) include phosphorus reducing agents (such as tris-2-carboxyethylphosphine), borane complexes (borane-tert-butylamine complex, borane-N, N-diethylaniline complex, and borane). -Trimethylamine complex, etc.), thiol-based reducing agents (L-cysteine, aminoethanethiol, etc.) and hydroxylamine-based reducing agents (hydroxylamine, diethylhydroxylamine, etc.). The sugars and sugar alcohols exemplified as the above (F3) and (F4) also have an effect as an organic reducing agent.

無機還元剤(H−2)としては、硫黄のオキソ酸類{亜硫酸(塩)、二亜硫酸(塩)、亜ジチオン酸(塩)、チオ硫酸(塩)、ジチオン酸(塩)及びポリチオン酸(塩)等}、リンのオキソ酸類{亜リン酸(塩)、亜リン酸水素酸(塩)及び次亜リン酸(塩)等}並びにその他の無機還元剤(硫酸第1鉄、塩化第2スズ、水酸化シアノホウ素ナトリウム及び水酸化ホウ素ナトリウム等)等が挙げられる。
(H)は単独で使用してもよいし、2種以上を併用してもよい。
尚、還元剤(H)が塩を形成する場合、その塩としては上記(B−2)の塩で例示したものと同様のカチオン成分を有するものが使用できる。
As the inorganic reducing agent (H-2), sulfur oxoacids {sulfurous acid (salt), disulfurous acid (salt), dithionic acid (salt), thiosulfuric acid (salt), dithionic acid (salt) and polythionic acid (salt) ), Etc., phosphorus oxo acids {phosphorous acid (salt), hydrophosphorous acid (salt), hypophosphorous acid (salt), etc.} and other inorganic reducing agents (ferrous sulfate, stannic chloride) , Sodium cyanoborohydride, sodium borohydride, etc.).
(H) may be used alone or in combination of two or more.
In addition, when a reducing agent (H) forms a salt, what has a cation component similar to what was illustrated with the salt of the said (B-2) can be used as the salt.

これらの還元剤(H)の内、洗浄剤のエッチング性コントロール及び洗浄剤中のイオンによる基板の再汚染の観点から、炭素数1〜12のアルデヒド類、炭素数6〜9のレダクトン類、炭素数1〜30の脂肪族アミン、チオール系還元剤、硫黄のオキソ酸類及びリンのオキソ酸類が好ましく、更に好ましいのはホルムアルデヒド、L−アスコルビン酸(塩)、イソアスコルビン酸(塩)、エリソルビン酸(塩)、モノエタノールアミン、ジエタノールアミン、N−メチル−ジエタノールアミン、L−システイン、アミノエタンチオール、亜硫酸(塩)、二亜硫酸(塩)、亜ジチオン酸(塩)、チオ硫酸(塩)、亜リン酸(塩)、亜リン酸水素酸(塩)及び次亜リン酸(塩)、特に好ましいのはL−アスコルビン酸(塩)、L−システイン、亜硫酸(塩)、亜ジチオン酸(塩)、亜リン酸(塩)、亜リン酸水素酸(塩)及び次亜リン酸(塩)である。   Among these reducing agents (H), from the viewpoint of controlling the etching property of the cleaning agent and recontamination of the substrate with ions in the cleaning agent, aldehydes having 1 to 12 carbon atoms, reductones having 6 to 9 carbon atoms, carbon An aliphatic amine having a number of 1 to 30, a thiol-based reducing agent, a sulfur oxo acid and a phosphorus oxo acid are preferable, and more preferable are formaldehyde, L-ascorbic acid (salt), isoascorbic acid (salt), erythorbic acid ( Salt), monoethanolamine, diethanolamine, N-methyl-diethanolamine, L-cysteine, aminoethanethiol, sulfurous acid (salt), disulfite (salt), dithionite (salt), thiosulfuric acid (salt), phosphorous acid (Salt), hydrophosphorous acid (salt) and hypophosphorous acid (salt), particularly preferred are L-ascorbic acid (salt), L-cysteine, Acid (salt), dithionite (salt), phosphorous acid (salt), a hydrogen phosphite acid (salt) and hypophosphorous acid (salt).

その他の添加剤(I)としては、酸化防止剤、防錆剤、pH調整剤、緩衝剤、消泡剤、防腐剤及びハイドロトロープ剤等が挙げられる。   Examples of other additives (I) include antioxidants, rust inhibitors, pH adjusters, buffers, antifoaming agents, preservatives, and hydrotropes.

酸化防止剤としては、フェノール系酸化防止剤{2,6−ジ−t−ブチルフェノール、2−t−ブチル−4−メトキシフェノール及び2,4−ジメチル−6−t−ブチルフェノール等}、アミン系酸化防止剤{モノオクチルジフェニルアミン及びモノノニルジフェニルアミン等のモノアルキルジフェニルアミン;4,4’−ジブチルジフェニルアミン及び4,4’−ジペンチルジフェニルアミン等のジアルキルジフェニルアミン;テトラブチルジフェニルアミン及びテトラヘキシルジフェニルアミン等のポリアルキルジフェニルアミン;α−ナフチルアミン及びフェニル−α−ナフチルアミン等のナフチルアミン等}、硫黄系化合物{フェノチアジン、ペンタエリスリトール−テトラキス−(3−ラウリルチオプロピオネート)及びビス(3,5−tert−ブチル−4−ヒドロキシベンジル)スルフィド等}並びにリン系酸化防止剤{ビス(2,4−ジ−t−ブチルフェニル)ペンタエリスリトールジホスファイト、フェニルジイソデシルホスフィト、ジフェニルジイソオクチルホスファイト及びトリフェニルホスファイト等}等が挙げられる。
これらは、単独で使用しても、2種以上を併用してもよい。
Antioxidants include phenolic antioxidants {2,6-di-t-butylphenol, 2-t-butyl-4-methoxyphenol, 2,4-dimethyl-6-t-butylphenol, etc.}, amine-based oxidation Inhibitors {monoalkyldiphenylamines such as monooctyldiphenylamine and monononyldiphenylamine; dialkyldiphenylamines such as 4,4'-dibutyldiphenylamine and 4,4'-dipentyldiphenylamine; polyalkyldiphenylamines such as tetrabutyldiphenylamine and tetrahexyldiphenylamine; Naphthylamine such as naphthylamine and phenyl-α-naphthylamine}, sulfur compounds {phenothiazine, pentaerythritol-tetrakis- (3-laurylthiopropionate) and bis (3,5 tert-butyl-4-hydroxybenzyl) sulfide and the like} and phosphorus antioxidants {bis (2,4-di-tert-butylphenyl) pentaerythritol diphosphite, phenyldiisodecylphosphite, diphenyldiisooctylphosphite and Triphenyl phosphite etc.}.
These may be used alone or in combination of two or more.

防錆剤としては、ベンゾトリアゾール、トリルトリアゾール、炭素数2〜10の炭化水素基を有するベンゾトリアゾール、ベンゾイミダゾール、炭素数2〜20炭化水素基を有するイミダゾール、炭素数2〜20炭化水素基を有するチアゾール及び2−メルカプトベンゾチアゾール等の含窒素有機防錆剤;ドデセニルコハク酸ハーフエステル、オクタデセニルコハク酸無水物及びドデセニルコハク酸アミド等のアルキル又はアルケニルコハク酸;ソルビタンモノオレエート、グリセリンモノオレエート及びペンタエリスリトールモノオレエート等の多価アルコール部分エステル等を挙げられる。
これらは単独で使用しても、2種以上を併用してもよい。
Examples of the rust preventive include benzotriazole, tolyltriazole, benzotriazole having 2 to 10 carbon atoms, benzimidazole, imidazole having 2 to 20 carbon atoms, and 2 to 20 hydrocarbon groups. Nitrogen-containing organic rust preventives such as thiazole and 2-mercaptobenzothiazole; alkyl or alkenyl succinic acids such as dodecenyl succinic acid half ester, octadecenyl succinic anhydride and dodecenyl succinic acid amide; sorbitan monooleate, glycerin monoole Polyhydric alcohol partial esters such as acrylate and pentaerythritol monooleate.
These may be used alone or in combination of two or more.

pH調整剤としては、無機酸(塩酸、硫酸、硝酸、スルファミン酸及びリン酸等)並びに上述の金属水酸化物(D−7)等の無機アルカリ等が挙げられ、これらは単独で使用しても、2種以上併用してもよい。   Examples of pH adjusters include inorganic acids (hydrochloric acid, sulfuric acid, nitric acid, sulfamic acid, phosphoric acid, etc.) and inorganic alkalis such as the above-mentioned metal hydroxides (D-7). These are used alone. Also, two or more of them may be used in combination.

緩衝剤としては、緩衝作用を有する有機酸、無機酸及びこれらの塩を用いることができる。
有機酸としては、前記の(H−1a)で例示した炭素数1〜12の有機酸類等を挙げることができ、無機酸としては、例えばリン酸及びホウ酸を挙げることができる。また、これらの酸の塩としては、上述のアニオン性界面活性剤(B−2)での塩で例示したものと同様のカチオン成分有するものが挙げられる。
緩衝剤は単独で使用しても、2種以上併用してもよい。
As the buffering agent, an organic acid, an inorganic acid and a salt thereof having a buffering action can be used.
Examples of the organic acid include organic acids having 1 to 12 carbon atoms exemplified in the above (H-1a), and examples of the inorganic acid include phosphoric acid and boric acid. Moreover, as a salt of these acids, what has a cation component similar to what was illustrated with the salt with the above-mentioned anionic surfactant (B-2) is mentioned.
The buffer may be used alone or in combination of two or more.

消泡剤としては、シリコーン消泡剤{ジメチルシリコーン、フルオロシリコーン又はポリエーテルシリコーンを構成成分とする消泡剤等}等が挙げられる。これらは単独で使用しても、2種以上を併用してもよい。   Examples of antifoaming agents include silicone antifoaming agents {antifoaming agents containing dimethyl silicone, fluorosilicone, or polyether silicone as a constituent component}. These may be used alone or in combination of two or more.

防腐剤としては、トリアジン誘導体{ヘキサヒドロ−1,3,5−トリス(2−ヒドロキシエチル)−S−トリアジン等}、イソチアゾリン誘導体{1,2−ベンズイソチアゾリン−3−オン、2−メチル−4−イソチアゾリン−3−オン及び5−クロロ−2−メチル−4−イソチアゾリン−3−オン等}、ピリジン誘導体{ピリジン2−ピリジンチオール−1−オキサイド(塩)等}、モルホリン誘導体{4−(2−ニトロブチル)モルホリン及び4,4−(2−エチル−2−ニトロトリメチレン)−ジモルホリン等}、ベンズイミダゾール誘導体{2−(4−チアゾリル)ベンズイミダゾール等}並びにその他の防腐剤{ポリ[オキシエチレン(ジメチルイミノ)エチレン(ジメチルイミノ)エチレン]ジクロライド、p−クロロ−m−キシレノール、フェノキシエタノール、フェノキシプロパノール、アセトキシジメチルジオキサン、イソプロピルメチルフェノール、テトラクロロイソフタロニトリル、ビスブロモアセトキシエタン、3−ヨード−2−プロピニルブチルカーバメート及び2−ブロモ−2−ニトロプロパン−1,3−ジオール等}等が挙げられる。これらは単独で使用しても、2種以上を併用してもよい。
尚、防腐剤が塩を形成する場合、その塩としては、上述のアニオン性界面活性剤(B−2)の塩で例示したものと同様のカチオン成分を有するものが挙げられる。
Examples of preservatives include triazine derivatives {hexahydro-1,3,5-tris (2-hydroxyethyl) -S-triazine and the like}, isothiazoline derivatives {1,2-benzisothiazolin-3-one, 2-methyl-4- Isothiazolin-3-one and 5-chloro-2-methyl-4-isothiazolin-3-one etc.}, pyridine derivative {pyridine 2-pyridinethiol-1-oxide (salt) etc.}, morpholine derivative {4- (2- Nitrobutyl) morpholine and 4,4- (2-ethyl-2-nitrotrimethylene) -dimorpholine and the like}, benzimidazole derivatives {2- (4-thiazolyl) benzimidazole and the like} and other preservatives {poly [oxyethylene ( Dimethylimino) ethylene (dimethylimino) ethylene] dichloride, p-chloro-m- Silenol, phenoxyethanol, phenoxypropanol, acetoxydimethyldioxane, isopropylmethylphenol, tetrachloroisophthalonitrile, bisbromoacetoxyethane, 3-iodo-2-propynylbutylcarbamate and 2-bromo-2-nitropropane-1,3-diol Etc.}. These may be used alone or in combination of two or more.
In the case where the preservative forms a salt, examples of the salt include those having a cation component similar to that exemplified for the salt of the above-mentioned anionic surfactant (B-2).

ハイドロトロープ剤としては、レゾルシン及びサリチル酸(塩)等が挙げられる。また、これらの酸の塩としては、上述のアニオン性界面活性剤(B−2)の塩で例示したものと同様のカチオン成分を有するものが挙げられる。ハイドロープ剤は単独で使用しても、2種以上併用してもよい。
尚、スルホン酸系界面活性剤(B−2b−1)として例示したトルエンスルホン酸(塩)、キシレンスルホン酸(塩)及びクメンスルホン酸(塩)もハイドロトロープ剤としての効果を有する。
Examples of the hydrotrope include resorcin and salicylic acid (salt). Moreover, as a salt of these acids, what has the same cation component as what was illustrated with the salt of the above-mentioned anionic surfactant (B-2) is mentioned. Hydrope agents may be used alone or in combination of two or more.
In addition, toluenesulfonic acid (salt), xylenesulfonic acid (salt), and cumenesulfonic acid (salt) exemplified as the sulfonic acid surfactant (B-2b-1) also have an effect as a hydrotrope agent.

本発明の電子材料用洗浄剤は、上述の通り、炭素数2〜8の脂肪族第1級アミンのアルキレンオキサイド付加物(A)並びに必要により界面活性剤(B)、キレート剤(C)、アルカリ成分(D)、分散剤(E)、3価以上の多価アルコール(F)、親水性有機溶剤(G)、還元剤(H)及びその他の添加剤(I)を含有するが、更に水、特にイオン交換水(導電率0.2μS/cm以下)又は超純水(電気抵抗率18MΩ・cm以上)を含有してもよい。
本発明において有効成分とは水以外の成分を言う。
As described above, the cleaning agent for electronic materials of the present invention includes an alkylene oxide adduct (A) of an aliphatic primary amine having 2 to 8 carbon atoms and, if necessary, a surfactant (B), a chelating agent (C), It contains an alkali component (D), a dispersant (E), a trihydric or higher polyhydric alcohol (F), a hydrophilic organic solvent (G), a reducing agent (H) and other additives (I). Water, particularly ion-exchanged water (conductivity: 0.2 μS / cm or less) or ultrapure water (electric resistivity: 18 MΩ · cm or more) may be contained.
In the present invention, the active ingredient means an ingredient other than water.

本発明の洗浄剤における(A)の含有量は、洗浄剤の有効成分の重量に基づいて、好ましくは1〜100%、更に好ましくは10〜75%、特に好ましくは20〜70%である。   The content of (A) in the cleaning agent of the present invention is preferably 1 to 100%, more preferably 10 to 75%, particularly preferably 20 to 70% based on the weight of the active ingredient of the cleaning agent.

本発明の洗浄剤が(B)を含有する場合、その含有量は、洗浄性の観点から、本発明の洗浄剤の有効成分の重量に基づいて、1〜60%が好ましく、更に好ましくは5〜50%、特に好ましくは10〜40%である。
また、同様の観点から(A)と(B)の重量比率[(A)/(B)]は、0.01〜50が好ましく、特に好ましくは0.05〜10、最も好ましくは0.1〜2である。
When the cleaning agent of the present invention contains (B), the content thereof is preferably 1 to 60%, more preferably 5 based on the weight of the active ingredient of the cleaning agent of the present invention, from the viewpoint of detergency. -50%, particularly preferably 10-40%.
Further, from the same viewpoint, the weight ratio [(A) / (B)] of (A) and (B) is preferably 0.01 to 50, particularly preferably 0.05 to 10, and most preferably 0.1. ~ 2.

本発明の洗浄剤が(C)を含有する場合、(C)の含有量は、基板のエッチング性コントロール及び洗浄性の観点から、本発明の洗浄剤の有効成分の重量に基づいて、好ましくは0.1〜30%、更に好ましくは0.5〜20%、特に好ましくは2〜10%である。   When the cleaning agent of the present invention contains (C), the content of (C) is preferably based on the weight of the active ingredient of the cleaning agent of the present invention from the viewpoints of etching control and cleaning properties of the substrate. It is 0.1 to 30%, more preferably 0.5 to 20%, particularly preferably 2 to 10%.

本発明の洗浄剤が(D)を含有する場合、その含有量は、洗浄性の観点等から、本発明の洗浄剤の有効成分の重量に基づいて好ましくは0.1〜30%、更に好ましくは0.5〜20%、特に好ましくは1〜15%である。   When the cleaning agent of the present invention contains (D), the content is preferably 0.1 to 30%, more preferably based on the weight of the active ingredient of the cleaning agent of the present invention, from the viewpoint of detergency. Is 0.5 to 20%, particularly preferably 1 to 15%.

本発明の洗浄剤が(E)を含有する場合、その含有量は、本発明の洗浄剤の有効成分の重量に基づいて、好ましくは0.1〜10%、更に好ましくは0.2〜8%、特に好ましくは0.5〜5%である。   When the cleaning agent of the present invention contains (E), its content is preferably 0.1 to 10%, more preferably 0.2 to 8 based on the weight of the active ingredient of the cleaning agent of the present invention. %, Particularly preferably 0.5 to 5%.

本発明の洗浄剤が(F)を含有する場合、その含有量は、洗浄剤の有効成分の重量に基づいて、好ましくは0.5〜50%、更に好ましくは1〜30%、特に好ましくは2〜20%である。   When the cleaning agent of the present invention contains (F), the content is preferably 0.5 to 50%, more preferably 1 to 30%, particularly preferably based on the weight of the active ingredient of the cleaning agent. 2 to 20%.

本発明の洗浄剤が(G)を含有する場合、その含有量は、洗浄剤の有効成分の重量に基づいて、0.5〜50%、更に好ましくは1〜30%、特に好ましくは2〜20%である。   When the cleaning agent of the present invention contains (G), the content thereof is 0.5 to 50%, more preferably 1 to 30%, particularly preferably 2 to 2, based on the weight of the active ingredient of the cleaning agent. 20%.

本発明の洗浄剤が(H)を含有する場合、その含有量は、洗浄剤の有効成分の重量に基づいて1〜30%が好ましく、更に好ましくは2〜20%、特に好ましくは3〜15%である。この範囲であると、基板のエッチング性をコントロールする点から好ましい。   When the cleaning agent of the present invention contains (H), the content is preferably 1 to 30%, more preferably 2 to 20%, particularly preferably 3 to 15 based on the weight of the active ingredient of the cleaning agent. %. This range is preferable from the viewpoint of controlling the etching property of the substrate.

本発明の洗浄剤が(I)を含有する場合、それぞれの添加剤の含有量は、酸化防止剤、防錆剤、緩衝剤、防腐剤及びハイドロトロープ剤が、洗浄剤の有効成分の重量に基づいて好ましくは0.1〜10%、更に好ましくは0.2〜8%、特に好ましくは0.5〜5%である。また消泡剤の添加量は好ましくは0.01〜2%、更に好ましくは0.05〜1.5%、特に好ましくは0.1〜1%である。また、pH調整剤は洗浄剤の有効成分の重量に基づいて好ましくは1〜90%、更に好ましくは2〜85%、特に好ましくは5〜80%である。また、その他の添加剤(I)の合計の含有量は、洗浄剤の有効成分の重量に基づいて1〜90%、更に好ましくは2〜85%、特に好ましくは5〜80%である。   When the cleaning agent of the present invention contains (I), the content of each additive is such that the antioxidant, rust inhibitor, buffering agent, preservative and hydrotrope agent are in the weight of the active ingredient of the cleaning agent. Preferably, it is 0.1 to 10%, more preferably 0.2 to 8%, and particularly preferably 0.5 to 5%. The amount of antifoaming agent added is preferably 0.01 to 2%, more preferably 0.05 to 1.5%, and particularly preferably 0.1 to 1%. The pH adjuster is preferably 1 to 90%, more preferably 2 to 85%, particularly preferably 5 to 80% based on the weight of the active ingredient of the cleaning agent. The total content of other additives (I) is 1 to 90%, more preferably 2 to 85%, and particularly preferably 5 to 80% based on the weight of the active ingredient of the cleaning agent.

尚、前記(B)〜(I)の間で、組成が同一で重複する場合は、それぞれの成分が該当する添加効果を奏する量を他の成分としての効果に関わりなく使用するのではなく、他の成分としての効果も同時に得られることをも考慮し、使用目的に応じて使用量を調整するものとする。   In addition, when the composition is the same and overlaps between (B) to (I), the amount of each component exerting the corresponding addition effect is not used regardless of the effect as the other component, Considering that the effects as other components can be obtained at the same time, the amount used is adjusted according to the purpose of use.

本発明の洗浄剤は、本発明の炭素数2〜8の脂肪族第1級アミンのアルキレンオキサイド付加物(A)のみからなる場合を除き、(A)と、(B)〜(I)及び水からなる群から選ばれる少なくとも1種の成分とを混合することによって製造される。
本発明の洗浄剤の製造における混合溶解装置としては、特に限定されないが、櫂型羽根を装備した攪拌混合装置や螺旋型羽根を装備した攪拌混合装置等が使用できる。
The cleaning agent of the present invention includes (A), (B) to (I), and (A), except for the case of consisting only of an alkylene oxide adduct (A) of an aliphatic primary amine having 2 to 8 carbon atoms of the present invention. It is produced by mixing at least one component selected from the group consisting of water.
The mixing / dissolving device in the production of the cleaning agent of the present invention is not particularly limited, and a stirring / mixing device equipped with a saddle type blade, a stirring / mixing device equipped with a spiral blade, and the like can be used.

本発明の電子材料用洗浄剤の有効成分濃度は、運搬効率の観点からは、1〜100%であることが好ましく、更に好ましくは2〜90%、特に好ましくは5〜70%、最も好ましくは10〜50%である。
また、本発明の電子材料用洗浄剤を使用する際には、必要により、希釈水、特にイオン交換水(導電率0.2μS/cm以下)又は超純水(電気抵抗率18MΩ・cm以上)で希釈して、有効成分濃度を0.1〜15%、特に0.2〜10%にすることが、使用時の作業性及びコストの観点から好ましい。
The active ingredient concentration of the electronic material cleaning agent of the present invention is preferably 1 to 100%, more preferably 2 to 90%, particularly preferably 5 to 70%, and most preferably from the viewpoint of transportation efficiency. 10 to 50%.
When using the electronic material cleaning agent of the present invention, if necessary, diluted water, particularly ion-exchanged water (conductivity: 0.2 μS / cm or less) or ultrapure water (electric resistivity: 18 MΩ · cm or more). It is preferable from the viewpoint of workability and cost at the time of use that the active ingredient concentration is 0.1 to 15%, particularly 0.2 to 10%.

本発明の洗浄剤の有効成分濃度0.1〜15%における25℃でのpHは、パーティクルや有機物に対する洗浄性の観点から、好ましくは7〜14、特に好ましくは8.5〜13、最も好ましくは8〜12である。
尚、本発明においてpHは、pHメーター(株式会社堀場製作所製、M−12)を用いて測定温度25℃で測定される。
The pH at 25 ° C. in the active ingredient concentration of 0.1 to 15% of the cleaning agent of the present invention is preferably from 7 to 14, particularly preferably from 8.5 to 13, and most preferably from the viewpoint of detergency against particles and organic substances. Is 8-12.
In the present invention, the pH is measured at a measurement temperature of 25 ° C. using a pH meter (manufactured by Horiba, Ltd., M-12).

本発明の洗浄剤の有効成分濃度0.1〜15%における、電子材料基板に対する洗浄剤の接触角(25℃)は、好ましくは10°以下であり、更に好ましくは5°以下、特に好ましくは3°以下である。接触角が低い程、基板表面上での濡れ広がりが良好であり、短時間で良好な洗浄性を実現できる点から好ましい。尚、接触角の測定は、例えば、全自動接触角計[協和界面科学(株)社製、PD−W]を用いて測定することができる。   The contact angle (25 ° C.) of the cleaning agent with respect to the electronic material substrate at an active ingredient concentration of 0.1 to 15% of the cleaning agent of the present invention is preferably 10 ° or less, more preferably 5 ° or less, particularly preferably. 3 ° or less. The lower the contact angle, the better the wetting and spreading on the substrate surface, and the better cleaning performance can be realized in a short time. In addition, the measurement of a contact angle can be measured using a fully automatic contact angle meter [Kyowa Interface Science Co., Ltd. product, PD-W], for example.

本発明の洗浄剤中のNa、K、Ca、Fe、Cu、Al、Pb、Ni及びZn原子の各金属含有量は、金属汚染を防ぐ観点から、洗浄剤の有効成分の重量に基づいて20ppm以下が好ましく、更に好ましくは10ppm以下、特に好ましくは5ppm以下である。
これらの金属原子の含有量の測定方法としては、公知の方法、例えば原子吸光法、ICP発光分析法及びICP質量分析法が利用できる。
Each metal content of Na, K, Ca, Fe, Cu, Al, Pb, Ni and Zn atoms in the cleaning agent of the present invention is 20 ppm based on the weight of the active ingredient of the cleaning agent from the viewpoint of preventing metal contamination. The following is preferable, more preferably 10 ppm or less, and particularly preferably 5 ppm or less.
As a method for measuring the content of these metal atoms, known methods such as atomic absorption spectrometry, ICP emission analysis, and ICP mass spectrometry can be used.

本発明の洗浄剤の有効成分濃度0.1〜15%における表面張力(25℃)は、電子材料への洗浄剤のぬれ性の観点から、好ましくは65mN/m以下であり、更に好ましくは50mN/m以下、特に好ましくは40mN/m以下である。本発明における表面張力は、JIS K3362:1998の輪環法:対応ISO 304に従って測定できる。   The surface tension (25 ° C.) at an active ingredient concentration of 0.1 to 15% of the cleaning agent of the present invention is preferably 65 mN / m or less, more preferably 50 mN, from the viewpoint of the wettability of the cleaning agent to an electronic material. / M or less, particularly preferably 40 mN / m or less. The surface tension in the present invention can be measured in accordance with JIS K3362: 1998 Annulus Method: Corresponding ISO 304.

洗浄剤の有効成分濃度0.1〜15%における電気伝導率(mS/cm)は、パーティクルの再付着防止性及びエッチング性の観点から、好ましくは0.2〜10.0、更に好ましくは0.5〜5.0、特に好ましくは1.0〜3.5である。   The electric conductivity (mS / cm) at an active ingredient concentration of 0.1 to 15% of the cleaning agent is preferably 0.2 to 10.0, more preferably 0, from the viewpoint of preventing reattachment of particles and etching properties. 0.5 to 5.0, particularly preferably 1.0 to 3.5.

本発明の電子材料の洗浄方法は、上記の洗浄剤を用いて電子材料を洗浄する洗浄方法である。洗浄の対象となる電子材料としては、磁気ディスク基板(ガラス基板、アルミニウム基板及びNi−Pメッキが施されたアルミニウム基板)、フラットパネルディスプレイ基板(液晶パネル用のガラス基板、カラーフィルター基板、アレイ基板、プラズマディスプレイ用基板及び有機EL用基板等)、半導体基板(半導体素子及びシリコンウェハ等)、フォトマスク基板、太陽電池用基板(シリコン基板及び薄膜型太陽電池用ガラス基板等)、光学レンズ、プリント配線基板、光通信用ケーブル並びにLED等が挙げられる。
本発明の洗浄方法は、磁気ディスク基板、フラットパネルディスプレイ基板、半導体基板、フォトマスク基板及び太陽電池用基板の製造工程における洗浄方法として特に好適である。
洗浄対象物(汚れ)は、油分(クーラント等)、人体からの汚れ(指紋及び皮脂等)、可塑剤(ジオクチルフタレート等)、有機パーティクル等の有機物並びに無機パーティクル[研磨剤(コロイダルシリカ、アルミナ、酸化セリウム及びダイヤモンド等)及び研磨屑(ガラスカレット等)等]等の無機物が挙げられる。
The electronic material cleaning method of the present invention is a cleaning method for cleaning an electronic material using the above-described cleaning agent. Electronic materials to be cleaned include magnetic disk substrates (glass substrates, aluminum substrates and aluminum substrates with Ni-P plating), flat panel display substrates (glass substrates for liquid crystal panels, color filter substrates, array substrates) , Plasma display substrates, organic EL substrates, etc.), semiconductor substrates (semiconductor elements, silicon wafers, etc.), photomask substrates, solar cell substrates (silicon substrates, glass substrates for thin film solar cells, etc.), optical lenses, prints Examples include wiring boards, optical communication cables, and LEDs.
The cleaning method of the present invention is particularly suitable as a cleaning method in a manufacturing process of a magnetic disk substrate, a flat panel display substrate, a semiconductor substrate, a photomask substrate, and a solar cell substrate.
Objects to be cleaned (dirt) include oil (coolant etc.), dirt from human body (fingerprints and sebum etc.), plasticizer (dioctyl phthalate etc.), organic matter such as organic particles and inorganic particles [polishing agent (colloidal silica, alumina, Inorganic materials such as cerium oxide and diamond) and polishing scraps (glass cullet, etc.).

本発明の洗浄方法は、パーティクルの除去性に極めて優れていることから、上記基板の製造工程の内、研磨剤、研磨屑及び研削屑等のパーティクルの除去を目的とする洗浄工程で行うことが好ましく、より具体的には研削工程後の洗浄工程、研磨工程後の洗浄工程での洗浄方法として適用することが好ましい。
また、基板表面に大気中に浮遊する汚れ(パーティクル及び有機物汚れ等)が強固に付着することを防止する為に、上記の洗浄工程前後において当該基板を本発明の洗浄剤に浸漬してもよい。
前記の研磨工程が、研磨剤としてアルミナ、コロイダルシリカ、酸化セリウム又はダイヤモンドのいずれかを用いる研磨工程であると、本発明の洗浄方法の効果が特に発揮されやすい。
Since the cleaning method of the present invention is extremely excellent in particle removability, it can be carried out in a cleaning process for the purpose of removing particles such as abrasives, polishing debris and grinding debris among the above-mentioned substrate production processes. More specifically, it is preferably applied as a cleaning method in a cleaning step after the grinding step and a cleaning step after the polishing step.
In addition, in order to prevent dirt (particles and organic matter dirt, etc.) floating in the atmosphere from being firmly attached to the surface of the substrate, the substrate may be immersed in the cleaning agent of the present invention before and after the cleaning step. .
When the polishing step is a polishing step using any one of alumina, colloidal silica, cerium oxide or diamond as an abrasive, the effect of the cleaning method of the present invention is particularly easily exhibited.

本発明の洗浄方法における洗浄方式としては、超音波洗浄、シャワー洗浄、スプレー洗浄、ブラシ洗浄、浸漬洗浄、浸漬揺動洗浄及び枚葉式洗浄からなる群から選ばれる少なくとも1種の洗浄方式が挙げられ、いずれの方式であっても本発明の洗浄方法の効果が発揮されやすい。   The cleaning method in the cleaning method of the present invention includes at least one cleaning method selected from the group consisting of ultrasonic cleaning, shower cleaning, spray cleaning, brush cleaning, immersion cleaning, immersion rocking cleaning, and single wafer cleaning. In any case, the effect of the cleaning method of the present invention is easily exhibited.

本発明の洗浄剤を使用する際の洗浄温度(℃)としては、洗浄性の観点から、10〜80℃が好ましく、更に好ましくは15〜70、特に好ましくは20〜60である。   The washing temperature (° C.) when using the cleaning agent of the present invention is preferably 10 to 80 ° C., more preferably 15 to 70, and particularly preferably 20 to 60 from the viewpoint of detergency.

尚、本発明の洗浄方法で洗浄された電子材料に対する水の接触角(25℃)は、好ましくは20°以下であり、更に好ましくは10°以下、特に好ましくは3°以下である。接触角がこの範囲にあるとが、洗浄後に高い清浄度を得られる点から好ましい   The contact angle (25 ° C.) of water with the electronic material cleaned by the cleaning method of the present invention is preferably 20 ° or less, more preferably 10 ° or less, and particularly preferably 3 ° or less. It is preferable that the contact angle is within this range from the viewpoint of obtaining a high cleanliness after washing.

本発明の洗浄方法で洗浄した後の電子材料表面の表面粗さ(Ra)は、電子材料の表面平坦性の観点から、好ましくは0.5nm以下、更に好ましくは0.001〜0.3nm、特に好ましくは0.05〜0.25nmである。
尚、表面粗さ(Ra)は、エスアイアイ・ナノテクノロジー社製、E−sweepを用いて下記の条件により測定した。
測定モード :DFM(タッピングモード)
スキャンエリア:10μm×10μm
走査線数 :256本(Y方向スキャン)
補正 :X,Y方向のフラット補正あり
The surface roughness (Ra) of the surface of the electronic material after being cleaned by the cleaning method of the present invention is preferably 0.5 nm or less, more preferably 0.001 to 0.3 nm, from the viewpoint of surface flatness of the electronic material. Especially preferably, it is 0.05-0.25 nm.
In addition, surface roughness (Ra) was measured on condition of the following using the SII nanotechnology company make and E-sweep.
Measurement mode: DFM (tapping mode)
Scan area: 10 μm × 10 μm
Number of scan lines: 256 (Y-direction scan)
Correction: Flat correction in X and Y directions

本発明の電子材料の製造方法は、前記の洗浄方法で電子材料を洗浄する工程を含む電子材料の製造方法であり、特に磁気ディスク基板、フラットパネルディスプレイ基板、半導体基板、フォトマスク基板及び太陽電池用基板の製造方法として好適である。   The method for producing an electronic material of the present invention is a method for producing an electronic material including a step of washing the electronic material by the above-described washing method, and in particular, a magnetic disk substrate, a flat panel display substrate, a semiconductor substrate, a photomask substrate and a solar cell It is suitable as a method for manufacturing a manufacturing substrate.

以下、実施例により本発明を更に詳細に説明するが、本発明はこれに限定されるものではない。以下におけるポリマーのGPCによるMwは前述の条件により測定した。また、以下におけるアミン価比率は、2級アミン価と3級アミン価の合計(Y)に対する2級アミン価(X)の比率[(X)/(Y)]を表す。尚、以下において、超純水は比抵抗値が18MΩ以上のものを使用した。   EXAMPLES Hereinafter, although an Example demonstrates this invention further in detail, this invention is not limited to this. In the following, the Mw of the polymer by GPC was measured under the aforementioned conditions. Moreover, the amine value ratio in the following represents the ratio [(X) / (Y)] of the secondary amine value (X) to the total (Y) of the secondary amine value and the tertiary amine value. In the following, ultrapure water having a specific resistance value of 18 MΩ or more was used.

[製造例1]
撹拌及び温度調節可能な耐圧反応容器に、シクロヘキシルアミン99重量部(1.0モル部)を仕込み、アルゴンガスで置換してから減圧にし、95℃に昇温した。同温度にてエチレンオキサイド110重量部(2.1モル部)を耐圧反応容器の内圧が0.3MPa以上にならないようにして、徐々に滴下した。約1.5時間の誘導期間を経て90〜110℃の範囲で温度コントロールを行ない、計4時間で反応させた。滴下終了後、95℃で耐圧反応容器の内圧が滴下開始時と同じ圧力を示すまで30分反応を行い、シクロヘキシルアミンエチレンオキサイド付加物(A−1)を得た。(A−1)のアミン価比率[(X)/(Y)]は、0.002であった。
[Production Example 1]
A pressure-resistant reaction vessel capable of stirring and temperature adjustment was charged with 99 parts by weight (1.0 mole part) of cyclohexylamine, replaced with argon gas, decompressed, and heated to 95 ° C. At the same temperature, 110 parts by weight (2.1 mol parts) of ethylene oxide was gradually added dropwise so that the internal pressure of the pressure resistant reactor did not exceed 0.3 MPa. The temperature was controlled in the range of 90 to 110 ° C. after an induction period of about 1.5 hours, and the reaction was performed in a total of 4 hours. After completion of the dropping, the reaction was carried out at 95 ° C. for 30 minutes until the internal pressure of the pressure-resistant reaction vessel showed the same pressure as when the dropping was started, to obtain a cyclohexylamine ethylene oxide adduct (A-1). The amine value ratio [(X) / (Y)] of (A-1) was 0.002.

[製造例2]
撹拌及び温度調節可能な耐圧反応容器に、シクロオクチルアミン127重量部(1.0モル部)を仕込み、アルゴンガスで置換してから減圧にし、95℃に昇温した。同温度にてエチレンオキサイド85.8重量部(1.95モル部)を耐圧反応容器の内圧が0.3MPa以上にならないようにして、徐々に滴下した。約1.5時間の誘導期間を経て90〜110℃の範囲で温度コントロールを行ない、計4時間で反応させた。滴下終了後、95℃で耐圧反応容器の内圧が滴下開始時と同じ圧力を示すまで30分反応を行い、シクロオクチルアミンエチレンオキサイド付加物(A−2)を得た。(A−2)のアミン価比率[(X)/(Y)]は、0.05であった。
[Production Example 2]
In a pressure-resistant reaction vessel capable of stirring and temperature adjustment, 127 parts by weight (1.0 mol part) of cyclooctylamine was charged, replaced with argon gas, decompressed, and heated to 95 ° C. At the same temperature, 85.8 parts by weight (1.95 parts by mole) of ethylene oxide was gradually added dropwise so that the internal pressure of the pressure resistant reactor did not become 0.3 MPa or more. The temperature was controlled in the range of 90 to 110 ° C. after an induction period of about 1.5 hours, and the reaction was performed in a total of 4 hours. After completion of the dropping, the reaction was carried out at 95 ° C. for 30 minutes until the internal pressure of the pressure-resistant reaction vessel showed the same pressure as that at the start of dropping to obtain a cyclooctylamine ethylene oxide adduct (A-2). The amine value ratio [(X) / (Y)] of (A-2) was 0.05.

[製造例3]
撹拌及び温度調節可能な耐圧反応容器に、シクロヘキシルアミン99重量部(1.0モル部)を仕込み、アルゴンガスで置換してから減圧にし、95℃に昇温した。同温度にてエチレンオキサイド81.4重量部(1.85モル部)を耐圧反応容器の内圧が0.3MPa以上にならないようにして、徐々に滴下した。約1.5時間の誘導期間を経て90〜110℃の範囲で温度コントロールを行ない、計4時間で反応させた。滴下終了後、95℃で耐圧反応容器の内圧が滴下開始時と同じ圧力を示すまで30分反応を行い、シクロヘキシルアミンエチレンオキサイド付加物(A−3)を得た。(A−3)のアミン価比率[(X)/(Y)]は、0.15であった。
[Production Example 3]
A pressure-resistant reaction vessel capable of stirring and temperature adjustment was charged with 99 parts by weight (1.0 mole part) of cyclohexylamine, replaced with argon gas, decompressed, and heated to 95 ° C. At the same temperature, 81.4 parts by weight (1.85 moles) of ethylene oxide was gradually added dropwise so that the internal pressure of the pressure resistant reactor did not become 0.3 MPa or more. The temperature was controlled in the range of 90 to 110 ° C. after an induction period of about 1.5 hours, and the reaction was performed in a total of 4 hours. After completion of the dropping, the reaction was carried out at 95 ° C. for 30 minutes until the internal pressure of the pressure-resistant reaction vessel showed the same pressure as that at the start of dropping, to obtain a cyclohexylamine ethylene oxide adduct (A-3). The amine value ratio [(X) / (Y)] of (A-3) was 0.15.

[製造例4]
撹拌及び温度調節可能な耐圧反応容器に、ラウリルアルコール186重量部(1.0モル部)及び水酸化カリウム0.5重量部を仕込み、100℃、30mmHg以下の減圧下で30分間脱水した。エチレンオキサイド396重量部(9.0モル部)を、反応温度160℃を保ちながら、3時間かけて滴下した後、160℃で2時間熟成し、液状の粗製ポリエーテルを得た。この粗製ポリエーテルを約80℃まで冷却し、超純水6重量部及び陽イオン交換樹脂{オルガノ株式会社製、アンバーライトIR120B(I)}100重量部を加えて、室温(約20℃)で30分間撹拌した後、減圧濾過及び脱水を行い、ノニオン性界面活性剤であるラウリルアルコールのエチレンオキサイド9モル付加物(B−1−1)を得た。
[Production Example 4]
186 parts by weight (1.0 part by mole) of lauryl alcohol and 0.5 part by weight of potassium hydroxide were charged into a pressure-resistant reaction vessel capable of stirring and temperature adjustment, and dehydrated for 30 minutes under reduced pressure at 100 ° C. and 30 mmHg or less. 396 parts by weight (9.0 mole parts) of ethylene oxide was added dropwise over 3 hours while maintaining a reaction temperature of 160 ° C., and then aged at 160 ° C. for 2 hours to obtain a liquid crude polyether. The crude polyether was cooled to about 80 ° C., 6 parts by weight of ultrapure water and 100 parts by weight of cation exchange resin {manufactured by Organo Corporation, Amberlite IR120B (I)} were added at room temperature (about 20 ° C.). After stirring for 30 minutes, vacuum filtration and dehydration were performed to obtain an ethylene oxide 9-mol adduct (B-1-1) of lauryl alcohol which is a nonionic surfactant.

[製造例5]
撹拌及び温度調節可能な耐圧反応容器に、ラウリルアミン296重量部(1.6モル部)を仕込み、アルゴンガスで置換してから減圧にし、95℃に昇温した。同温度にてエチレンオキサイド140.8重量部(3.2モル部:アミン1モル部に対して2.0モル部)を耐圧反応容器の内圧が0.3MPa以上にならないようにして、徐々に滴下した。約1.5時間の誘導期間を経て90〜110℃の範囲で温度コントロールを行ない、計4時間で反応させた。滴下終了後、95℃で耐圧反応容器の内圧が滴下開始時と同じ圧力を示すまで30分反応を行った。
得られたラウリルアミンのエチレンオキサイド2.0モル付加物(X−1)にテトラメチルエチレンジアミン0.8重量部[(X−1)に対して純分0.183%]を空気が混入しないように添加し、95℃にて1時間減圧脱水した。温度を70℃に下げてからエチレンオキサイド563.2重量部(12.8モル部:アミン1モル部に対して8.0モル部)を耐圧反応容器の内圧が0.2MPa以上にならないようにして、温度を70〜90℃に温度コントロールし、3時間かけて滴下した。滴下終了後、70℃で耐圧反応容器の内圧が滴下開始時と同じ圧力を示すまで30分反応を行い、ノニオン界面活性剤であるラウリルアミンのエチレンオキサイド10モル付加物(B−1−2)を得た。
[Production Example 5]
In a pressure-resistant reaction vessel capable of stirring and temperature adjustment, 296 parts by weight (1.6 mol parts) of laurylamine was charged, replaced with argon gas, decompressed, and heated to 95 ° C. At the same temperature, 140.8 parts by weight of ethylene oxide (3.2 parts by mole: 2.0 parts by mole with respect to 1 part by mole of amine) was gradually added so that the internal pressure of the pressure resistant reactor would not be 0.3 MPa or more. It was dripped. The temperature was controlled in the range of 90 to 110 ° C. after an induction period of about 1.5 hours, and the reaction was performed in a total of 4 hours. After completion of the dropping, the reaction was carried out at 95 ° C. for 30 minutes until the internal pressure of the pressure-resistant reaction vessel showed the same pressure as when the dropping was started.
The resulting 2.0 moles of ethylene oxide 2.0 mol adduct (X-1) of laurylamine was mixed with 0.8 parts by weight of tetramethylethylenediamine [0.183% pure with respect to (X-1)] so that air would not enter. And dehydrated under reduced pressure at 95 ° C. for 1 hour. After the temperature is lowered to 70 ° C., 563.2 parts by weight of ethylene oxide (12.8 mole parts: 8.0 mole parts relative to 1 mole of amine) should be set so that the internal pressure of the pressure resistant reactor does not exceed 0.2 MPa. Then, the temperature was controlled at 70 to 90 ° C., and the solution was added dropwise over 3 hours. After completion of the dropping, the reaction is carried out at 70 ° C. for 30 minutes until the internal pressure of the pressure-resistant reaction vessel shows the same pressure as that at the start of dropping, and 10 mol adduct of ethylene oxide of laurylamine which is a nonionic surfactant (B-1-2) Got.

[製造例6]
攪拌及び温度調節が可能な反応容器にイソプロピルアルコール300重量部及び超純水100重量部を仕込み、窒素置換後、75℃に昇温した。撹拌下で、アクリル酸の75%水溶液407重量部及びジメチル−2,2’−アゾビスイソブチレートの15%イソプロピルアルコール溶液95重量部を3.5時間かけて同時に滴下した。滴下終了後、75℃で5時間撹拌した後、系内が固化しないように超純水を間欠的に投入し、イソプロピルアルコールが検出できなくなるまで水とイソプロピルアルコールの混合物を留去した。得られたポリアクリル酸水溶液をDBU(約450重量部)でpHが7になるまで中和し、超純水で濃度調整することにより、アニオン性界面活性剤であるポリアクリル酸DBU塩(B−2−1)の40%水溶液を得た。尚、(B−2−1)のMwは10,000であった。
[Production Example 6]
In a reaction vessel capable of stirring and temperature adjustment, 300 parts by weight of isopropyl alcohol and 100 parts by weight of ultrapure water were charged, and the temperature was raised to 75 ° C. after purging with nitrogen. Under stirring, 407 parts by weight of a 75% aqueous solution of acrylic acid and 95 parts by weight of a 15% isopropyl alcohol solution of dimethyl-2,2′-azobisisobutyrate were simultaneously added dropwise over 3.5 hours. After completion of the dropwise addition, the mixture was stirred at 75 ° C. for 5 hours, and then ultrapure water was intermittently added so that the system did not solidify, and the mixture of water and isopropyl alcohol was distilled off until isopropyl alcohol could not be detected. The obtained polyacrylic acid aqueous solution is neutralized with DBU (about 450 parts by weight) until the pH becomes 7, and the concentration is adjusted with ultrapure water, whereby the polyacrylic acid DBU salt (B 2-1) 40% aqueous solution was obtained. In addition, Mw of (B-2-1) was 10,000.

[製造例7]
撹拌、温度調節及び還流が可能な攪拌付き反応容器にエチレンジクロライド100重量部を仕込み、攪拌下、窒素置換した後に90℃まで昇温し、エチレンジクロライドを還流させた。スチレン120重量部と、予め2,2’−アゾビスイソブチロニトリル1.7重量部をエチレンジクロライド20重量部に溶かした開始剤溶液を、それぞれ別々に6時間かけて同時に反応容器内に滴下し、滴下終了後更に1時間重合を行った。重合後、窒素シール下で20℃に冷却した後、温度を20℃にコントロールしながら無水硫酸105重量部を10時間かけて滴下し、滴下終了後更に3時間スルホン化反応させた。反応後、溶媒を留去し固化させた後、超純水345重量部を投入して溶解し、ポリスチレンスルホン酸水溶液を得た。得られたポリスチレンスルホン酸水溶液を25%テトラメチルアンモニウムハイドロキサイド水溶液(約400重量部)でpHが7になるまで中和し、超純水で濃度調整することにより、アニオン性界面活性剤であるポリスチレンスルホン酸テトラメチルアンモニウム塩(B−2−2)の40%水溶液を得た。尚、(B−2−2)のMwは、40,000、スルホン化率は97%であった。
[Production Example 7]
100 parts by weight of ethylene dichloride was charged in a reaction vessel with stirring capable of stirring, temperature adjustment and refluxing, and after purging with nitrogen under stirring, the temperature was raised to 90 ° C. to reflux ethylene dichloride. An initiator solution prepared by dissolving 120 parts by weight of styrene and 1.7 parts by weight of 2,2′-azobisisobutyronitrile in 20 parts by weight of ethylene dichloride is dropped into the reaction vessel at the same time over 6 hours. Then, after completion of the dropwise addition, polymerization was further performed for 1 hour. After the polymerization, the mixture was cooled to 20 ° C. under a nitrogen seal, 105 parts by weight of sulfuric anhydride was added dropwise over 10 hours while controlling the temperature at 20 ° C., and the sulfonation reaction was further performed for 3 hours. After the reaction, the solvent was distilled off and solidified, and then 345 parts by weight of ultrapure water was added and dissolved to obtain a polystyrenesulfonic acid aqueous solution. By neutralizing the obtained polystyrene sulfonic acid aqueous solution with 25% tetramethylammonium hydroxide aqueous solution (about 400 parts by weight) until the pH becomes 7, and adjusting the concentration with ultrapure water, an anionic surfactant is used. A 40% aqueous solution of a certain polystyrenesulfonic acid tetramethylammonium salt (B-2-2) was obtained. In addition, Mw of (B-2-2) was 40,000, and the sulfonation rate was 97%.

[製造例8]
攪拌及び温度調節が可能な反応容器にナフタレンスルホン酸21重量部及び超純水10重量部を仕込み、撹拌下、系内の温度を80℃に保ちながら、37%ホルムアルデヒド8重量部を3時間かけて滴下した。滴下終了後、105℃に昇温して25時間反応した後、室温(約25℃)まで冷却して水浴中、25℃に調整しながらDBUを徐々に加え、pH6.5に調製した(DBU約15重量部使用)。超純水を加えて固形分を40%に調整して、アニオン性界面活性剤であるナフタレンスルホン酸ホルマリン縮合物のDBU塩(B−2−3)の40%水溶液を得た。尚、(B−2−3)のMwは、5,000であった。
[Production Example 8]
A reaction vessel capable of stirring and temperature adjustment was charged with 21 parts by weight of naphthalene sulfonic acid and 10 parts by weight of ultrapure water, and 8 parts by weight of 37% formaldehyde was added over 3 hours while maintaining the temperature in the system at 80 ° C. with stirring. And dripped. After completion of the dropwise addition, the temperature was raised to 105 ° C. and reacted for 25 hours, then cooled to room temperature (about 25 ° C.), DBU was gradually added while adjusting to 25 ° C. in a water bath, and adjusted to pH 6.5 (DBU). About 15 parts by weight). Ultrapure water was added to adjust the solid content to 40% to obtain a 40% aqueous solution of a DBU salt (B-2-3) of a naphthalenesulfonic acid formalin condensate as an anionic surfactant. In addition, Mw of (B-2-3) was 5,000.

[製造例9]
アクリル酸の75%水溶液407重量部の代わりに、アクリルアミド−2−メチルプロパンスルホン酸227重量部、アクリル酸78重量部及び超純水131重量部からなる70%モノマー水溶液436重量部を使用したこと以外は、製造例6と同様に重合して、アクリルアミド−2−メチルプロパンスルホン酸/アクリル酸共重合体水溶液を得た。得られたアクリルアミド−2−メチルプロパンスルホン酸/アクリル酸共重合体水溶液に、温度をを25℃に制御しながらDBUを徐々に加えてpH6.5に調製して(DBU約280重量部使用)超純水で濃度調整することにより、アニオン性界面活性剤であるアクリルアミド−2−メチルプロパンスルホン酸/アクリル酸共重合体DBU塩(B−2−4)の40%水溶液を得た。尚、(B−2−4)のMwは8,000であった。
[Production Example 9]
Instead of 407 parts by weight of 75% aqueous solution of acrylic acid, 436 parts by weight of 70% monomer aqueous solution consisting of 227 parts by weight of acrylamido-2-methylpropanesulfonic acid, 78 parts by weight of acrylic acid and 131 parts by weight of ultrapure water was used. Except for the above, polymerization was carried out in the same manner as in Production Example 6 to obtain an aqueous solution of acrylamide-2-methylpropanesulfonic acid / acrylic acid copolymer. DBU is gradually added to the obtained aqueous solution of acrylamide-2-methylpropanesulfonic acid / acrylic acid copolymer while controlling the temperature at 25 ° C. to adjust the pH to 6.5 (using about 280 parts by weight of DBU). By adjusting the concentration with ultrapure water, a 40% aqueous solution of acrylamide-2-methylpropanesulfonic acid / acrylic acid copolymer DBU salt (B-2-4), which is an anionic surfactant, was obtained. In addition, Mw of (B-2-4) was 8,000.

[製造例10]
アクリル酸の75%水溶液407重量部の代わりに、メタクリロイルオキシポリオキシアルキレン硫酸エステルナトリウム塩の50%水溶液[三洋化成(株)社製、エレミノールRS−30]320重量部及びアクリル酸145重量部からなる65%モノマー水溶液465重量部を使用したこと以外は、製造例6と同様に重合して、メタクリロイルオキシポリオキシアルキレン硫酸エステルナトリウム塩/アクリル酸共重合体水溶液を得た。得られた共重合体水溶液を固形分濃度が10%になるように超純水で希釈した後、陽イオン交換樹脂「アンバーライトIR−120B」(オルガノ株式会社製)を用いて、溶液中のナトリウムイオンが1ppm以下になるまで除去した。尚、ナトリウム含量はICP発光分析装置(VARIAN社製、Varian730−ES)を用いて測定した。得られたメタクリロイルオキシポリオキシアルキレン硫酸エステル/アクリル酸共重合体水溶液に、温度を25℃に制御しながら25%テトラメチルアンモニウムハイドロキサイド水溶液(約600重量部)を徐々に加えてpHが7になるまで中和し、超純水で濃度調整することにより、アニオン性界面活性剤であるメタクリロイルオキシポリオキシアルキレン硫酸エステル/アクリル酸共重合体テトラメチルアンモニウム塩(B−2−5)の10%水溶液を得た。尚、(B−2−5)のMwは9,000であった。
[Production Example 10]
Instead of 407 parts by weight of a 75% aqueous solution of acrylic acid, 320 parts by weight of 50% aqueous solution of methacryloyloxypolyoxyalkylene sulfate sodium salt [manufactured by Sanyo Chemical Co., Ltd., Eleminol RS-30] and 145 parts by weight of acrylic acid Polymerization was carried out in the same manner as in Production Example 6 except that 465 parts by weight of the 65% monomer aqueous solution was used to obtain a methacryloyloxypolyoxyalkylene sulfate sodium salt / acrylic acid copolymer aqueous solution. After diluting the obtained aqueous copolymer solution with ultrapure water so that the solid content concentration becomes 10%, using a cation exchange resin “Amberlite IR-120B” (manufactured by Organo Corporation), Sodium ions were removed until the concentration became 1 ppm or less. The sodium content was measured using an ICP emission analyzer (Varian730-ES, manufactured by VARIAN). A 25% tetramethylammonium hydroxide aqueous solution (about 600 parts by weight) was gradually added to the resulting aqueous methacryloyloxypolyoxyalkylene sulfate / acrylic acid copolymer solution while controlling the temperature at 25 ° C. The anionic surfactant methacryloyloxypolyoxyalkylene sulfate / acrylic acid copolymer tetramethylammonium salt (B-2-5) 10 % Aqueous solution was obtained. In addition, Mw of (B-2-5) was 9,000.

[製造例11]
オクチルベンゼンスルホン酸136重量部及び超純水245重量部をビーカーに仕込み、均一になるまで溶解した。得られたオクチルベンゼンスルホン酸水溶液にDBN(約65重量部)を徐々に加えてpHが7になるまで中和し、超純水で濃度調整することにより、アニオン性界面活性剤であるオクチルベンゼンスルホン酸DBN塩(B−2−6)の40%水溶液を得た。
[Production Example 11]
136 parts by weight of octylbenzenesulfonic acid and 245 parts by weight of ultrapure water were charged into a beaker and dissolved until uniform. The resulting octylbenzenesulfonic acid aqueous solution is gradually added with DBN (about 65 parts by weight) to neutralize it until the pH becomes 7, and the concentration is adjusted with ultrapure water, thereby octylbenzene as an anionic surfactant. A 40% aqueous solution of sulfonic acid DBN salt (B-2-6) was obtained.

[製造例12]
オクタン酸144重量部及び超純水300重量部をビーカーに仕込み、均一になるまで溶解した。得られたオクタン酸水溶液にジエタノールアミン(約105重量部)を徐々に加えてpHが7になるまで中和し、超純水で濃度調整することにより、アニオン性界面活性剤であるオクタン酸ジエタノールアミン塩(B−2−7)の40%水溶液を得た。
[Production Example 12]
144 parts by weight of octanoic acid and 300 parts by weight of ultrapure water were charged into a beaker and dissolved until uniform. Diethanolamine (about 105 parts by weight) is gradually added to the obtained octanoic acid aqueous solution to neutralize it until the pH becomes 7, and the concentration is adjusted with ultrapure water, thereby dioctamine octanoate which is an anionic surfactant. A 40% aqueous solution of (B-2-7) was obtained.

[比較製造例1]
撹拌及び温度調節可能な耐圧反応容器に、シクロオクチルアミン127重量部(1.0モル部)を仕込み、アルゴンガスで置換してから減圧にし、95℃に昇温した。同温度にてエチレンオキサイド61.6重量部(1.4モル部)を耐圧反応容器の内圧が0.3MPa以上にならないようにして、徐々に滴下した。約1.5時間の誘導期間を経て90〜110℃の範囲で温度コントロールを行ない、計4時間で反応させた。滴下終了後、95℃で耐圧反応容器の内圧が滴下開始時と同じ圧力を示すまで30分反応を行い、比較用のシクロオクチルアミンエチレンオキサイド付加物(A−4)を得た。(A−4)のアミン価比率[(X)/(Y)]は、0.6であった。
[Comparative Production Example 1]
In a pressure-resistant reaction vessel capable of stirring and temperature adjustment, 127 parts by weight (1.0 mol part) of cyclooctylamine was charged, replaced with argon gas, decompressed, and heated to 95 ° C. At the same temperature, 61.6 parts by weight (1.4 mole parts) of ethylene oxide was gradually added dropwise so that the internal pressure of the pressure resistant reactor did not exceed 0.3 MPa. The temperature was controlled in the range of 90 to 110 ° C. after an induction period of about 1.5 hours, and the reaction was performed in a total of 4 hours. After completion of the dropping, the reaction was carried out at 95 ° C. for 30 minutes until the internal pressure of the pressure-resistant reaction vessel showed the same pressure as when the dropping was started, to obtain a cyclooctylamine ethylene oxide adduct (A-4) for comparison. The amine value ratio [(X) / (Y)] of (A-4) was 0.6.

[比較製造例2]
撹拌及び温度調節可能な耐圧反応容器に、シクロヘキシルアミン99重量部(1.0モル部)を仕込み、アルゴンガスで置換してから減圧にし、95℃に昇温した。同温度にてエチレンオキサイド44重量部(1.0モル部)を耐圧反応容器の内圧が0.3MPa以上にならないようにして、徐々に滴下した。約1.5時間の誘導期間を経て90〜110℃の範囲で温度コントロールを行ない、計4時間で反応させた。滴下終了後、95℃で耐圧反応容器の内圧が滴下開始時と同じ圧力を示すまで30分反応を行い、比較用のシクロヘキシルアミンエチレンオキサイド付加物(A−5)を得た。(A−5)のアミン価比率[(X)/(Y)]は、1.0であった。
[Comparative Production Example 2]
A pressure-resistant reaction vessel capable of stirring and temperature adjustment was charged with 99 parts by weight (1.0 mole part) of cyclohexylamine, replaced with argon gas, decompressed, and heated to 95 ° C. At the same temperature, 44 parts by weight (1.0 mol part) of ethylene oxide was gradually added dropwise so that the internal pressure of the pressure resistant reactor did not become 0.3 MPa or more. The temperature was controlled in the range of 90 to 110 ° C. after an induction period of about 1.5 hours, and the reaction was performed in a total of 4 hours. After completion of the dropping, the reaction was carried out at 95 ° C. for 30 minutes until the internal pressure of the pressure-resistant reaction vessel showed the same pressure as that at the start of dropping to obtain a cyclohexylamine ethylene oxide adduct (A-5) for comparison. The amine value ratio [(X) / (Y)] of (A-5) was 1.0.

[実施例1〜13]及び[比較例1〜4]
表1及び表2に記載の各成分を、表1及び表2に記載の配合重量部数で、ビーカーを用いて20℃で均一混合して実施例1〜13及び比較例1〜4の洗浄剤を作製した。但し、表1及び表2に記載の(A)〜(D)及び(G)の重量部数は有効成分の重量部数であり、超純水の重量部数は(B−2−1)〜(B−2−7)及び後述のTMAH中の水を含む。尚、表1及び表2中の成分の略号は下記の通りである。
EDTA:エチレンジアミンテトラ酢酸
HEDP:1−ヒドロキシエチリデン−1,1−ジホスホン酸
TMAH:テトラメチルアンモニウムハイドロキサイド35%水溶液
KOH:水酸化カリウム
DBU:1,8−ジアザビシクロ[5.4.0]−7−ウンデセン
MDEA:N−メチルジエタノールアミン
DEGB:ジエチレングリコールモノブチルエーテル
TEGM:トリエチレングリコールモノメチルエーテル
[Examples 1 to 13] and [Comparative Examples 1 to 4]
Detergents of Examples 1 to 13 and Comparative Examples 1 to 4 by mixing each component described in Table 1 and Table 2 uniformly at 20 ° C. using a beaker in the blending weight parts described in Table 1 and Table 2. Was made. However, the weight parts of (A) to (D) and (G) shown in Tables 1 and 2 are parts by weight of the active ingredient, and parts by weight of ultrapure water are (B-2-1) to (B 2-7) and water in TMAH described later. In addition, the symbol of the component in Table 1 and Table 2 is as follows.
EDTA: ethylenediaminetetraacetic acid HEDP: 1-hydroxyethylidene-1,1-diphosphonic acid TMAH: tetramethylammonium hydroxide 35% aqueous solution KOH: potassium hydroxide DBU: 1,8-diazabicyclo [5.4.0] -7 -Undecene MDEA: N-methyldiethanolamine DEGB: diethylene glycol monobutyl ether TEGM: triethylene glycol monomethyl ether

実施例1〜13及び比較例1〜3の洗浄剤を、予め超純水で10倍量に希釈したものを試験用の洗浄剤として用いて、pH、洗浄性−1、2及び3、試験用の洗浄剤の接触角−1、2及び3並びに起泡性を測定又は評価した結果を表1及び表2に示す。   Using the detergents of Examples 1 to 13 and Comparative Examples 1 to 3 previously diluted 10 times with ultrapure water as test detergents, pH, detergency-1, 2 and 3, test Tables 1 and 2 show the results of measuring or evaluating the contact angles -1, 2 and 3 and the foaming properties of the cleaning agents.

尚、pH、洗浄性−1、2及び3、試験用の洗浄剤の接触角−1、2及び3並びに起泡性の測定又は評価は以下の方法で行った。   The pH, detergency-1, 2 and 3, contact angle -1, 2 and 3 of the test detergent and foaming property were measured or evaluated by the following method.

<pHの測定>
pHメーター(株式会社堀場製作所製、M−12)を用いて測定温度25℃で測定した。
<Measurement of pH>
The measurement was performed at a measurement temperature of 25 ° C. using a pH meter (manufactured by Horiba, Ltd., M-12).

<洗浄性−1>
研磨剤としての市販のコロイダルシリカスラリー(粒径約30nm)及び研磨布を用いて、3.5インチのNi−Pメッキされた磁気ディスク用アルミ基板を研磨した後、超純水で表面をリンス、窒素でブローすることにより、汚染基板を作製した。試験用の洗浄剤1,000重量部をガラス製ビーカーにとり、作製した汚染基板を浸漬し、超音波洗浄機(200kHz)内で、30℃、5分間の洗浄を行った。洗浄後、基板を取り出し、超純水で十分にリンスを行った後、窒素ガスでブローして乾燥し、下記の評価基準に従い、基板表面の洗浄性を微分干渉顕微鏡(Nikon社製、OPTIPHOT−2、倍率400倍)で評価した。尚、本評価は大気からの汚染を防ぐため、クラス1,000(HED−STD−209D、米国連邦規格、1988年)のクリーンルーム内で実施した。
◎:ほぼ完全に除去できている。
○:ほとんど洗浄できている。
△:若干粒子が残留している。
×:ほとんど洗浄できていない。
<Detergency-1>
Using a commercially available colloidal silica slurry (particle size of about 30 nm) as an abrasive and a polishing cloth, a 3.5-inch Ni-P plated aluminum substrate for a magnetic disk was polished, and then the surface was rinsed with ultrapure water. The contaminated substrate was prepared by blowing with nitrogen. 1,000 parts by weight of the cleaning agent for testing was placed in a glass beaker, the produced contaminated substrate was immersed, and was cleaned at 30 ° C. for 5 minutes in an ultrasonic cleaner (200 kHz). After cleaning, the substrate was taken out, thoroughly rinsed with ultrapure water, then blown with nitrogen gas and dried, and the substrate surface was cleaned according to the following evaluation criteria using a differential interference microscope (manufactured by Nikon, OPTIPHOT- 2 and 400 times magnification). This evaluation was performed in a clean room of class 1,000 (HED-STD-209D, US Federal Standard, 1988) to prevent contamination from the atmosphere.
A: Almost completely removed.
○: Almost cleaned.
Δ: Some particles remain.
X: Almost no washing

<洗浄性−2>
研磨剤として市販の酸化セリウムスラリー(フジミインコーポレーティド社製、CEPOL−120)を用い、2.5インチの磁気ディスク用ガラス基板を研磨した後、超純水で表面をリンス、窒素でブローすることにより、汚染基板を作製した以外は洗浄性−1と同様にして評価した。
<Detergency-2>
A commercially available cerium oxide slurry (manufactured by Fujimi Incorporated, CEPOL-120) is used as an abrasive, and a glass substrate for 2.5 inch magnetic disk is polished, and then the surface is rinsed with ultrapure water and blown with nitrogen. Thus, evaluation was conducted in the same manner as in the cleaning property-1, except that a contaminated substrate was produced.

<洗浄性−3>
市販のガラス基板(コーニング社製、ガラス板#1737、縦5cm×横5cm)をモデル汚染物質としてのオレイン酸(和光純薬株式会社製)の1%アセトン溶液中に浸漬した後に、基板を引き上げて自然乾燥して溶媒を除去することにより、汚染基板を作製した以外は洗浄性−1と同様にして評価した。
<Cleanability-3>
A commercially available glass substrate (Corning, glass plate # 1737, length 5 cm × width 5 cm) was dipped in a 1% acetone solution of oleic acid (made by Wako Pure Chemical Industries, Ltd.) as a model contaminant, and then the substrate was pulled up. It was evaluated in the same manner as in Detergency-1 except that a contaminated substrate was produced by removing the solvent by natural drying.

<試験用の洗浄剤の接触角−1>
3.5インチのNi−Pメッキされた磁気ディスク用アルミ基板に対する試験用の洗浄剤の接触角(25℃、10秒後)を、全自動接触角計[協和界面科学株式会社製、PD−W]を用いて測定した。
<Contact angle-1 of cleaning agent for test>
The contact angle (25 ° C., after 10 seconds) of the cleaning agent for the test with respect to the 3.5-inch Ni—P plated aluminum substrate for magnetic disk was measured using a fully automatic contact angle meter (PD-, manufactured by Kyowa Interface Science Co., Ltd.). W].

<試験用の洗浄剤の接触角−2>
2.5インチの磁気ディスク用ガラス基板を用いた以外は、試験用の洗浄剤の接触角−1と同様にして評価した。
<Contact angle-2 of cleaning agent for test>
Evaluations were made in the same manner as the contact angle-1 of the test cleaning agent, except that a 2.5-inch glass substrate for a magnetic disk was used.

<試験用の洗浄剤の接触角−3>
市販のガラス基板(コーニング社製、ガラス板#1737、縦5cm×横5cm)を用いた以外は、試験用の洗浄剤の接触角−1と同様にして評価した。
<Contact angle-3 of cleaning agent for test>
Evaluation was made in the same manner as the contact angle-1 of the cleaning agent for testing except that a commercially available glass substrate (Corning Corp., glass plate # 1737, length 5 cm × width 5 cm) was used.

<起泡性>
100mlのガラス製有栓メスシリンダー(JIS R3504「化学用体積計ガラス素材」の有栓メスシリンダーとして寸法が規定されたもの)に試験用の洗浄剤20mlを入れ、恒温水槽中で25℃に温調した後、有栓メスシリンダーの蓋を閉め、30秒間で60回上下に激しく振とうし、振とう直後と1分後の泡の量(ml)を測定した。振とう直後の泡の量が少ないほど起泡性が低く、1分後の泡の量が少ないほど消泡性が高い。
<Foamability>
Put 20 ml of test cleaning agent in a 100 ml glass-filled measuring cylinder (size specified as a plugged measuring cylinder of JIS R3504 “Chemical Volumetric Glass Material”) and warm to 25 ° C. in a constant temperature water bath. After the adjustment, the cap of the closed graduated cylinder was closed and shaken vigorously up and down 60 times in 30 seconds, and the amount of foam (ml) immediately after shaking and after 1 minute was measured. The smaller the amount of foam immediately after shaking, the lower the foaming property, and the smaller the amount of foam after 1 minute, the higher the defoaming property.

Figure 0005553985
Figure 0005553985

Figure 0005553985
Figure 0005553985

表1及び表2の結果から、本発明の洗浄剤は電子材料上に付着したパーティクルや有機物の除去性に優れることがわかる。また、本発明の洗浄剤は基板表面上の接触角を極めて小さくできることから、洗浄剤が速やかに基板表面に濡れ広がることができ、短時間で基板表面全体を均一に洗浄することができるといった効果も有する。また本発明の炭素数2〜8の脂肪族第1級アミンのアルキレンオキサイド付加物(A)は、起泡性が低く、使用時に泡による洗浄不良を引き起こすトラブルもない。   From the results of Tables 1 and 2, it can be seen that the cleaning agent of the present invention is excellent in the removability of particles and organic substances adhering to the electronic material. Further, since the cleaning agent of the present invention can extremely reduce the contact angle on the substrate surface, the cleaning agent can quickly spread on the substrate surface, and the entire substrate surface can be uniformly cleaned in a short time. Also have. Further, the alkylene oxide adduct (A) of an aliphatic primary amine having 2 to 8 carbon atoms of the present invention has a low foaming property and does not have a trouble that causes a poor cleaning due to foam when used.

本発明の電子材料用洗浄剤は、パーティクル(砥粒、ガラス粉、セラミック粉及び金属粉等)等を洗浄対象とする洗浄に好適に用いられる。
従って、本発明の電子材料用洗浄剤は、各種の電子材料[例えば磁気ディスク用基板(アルミ基板、NiP基板、ガラス基板、磁気ディスク及び磁気ヘッド等)、フラットパネルディスプレイ用基板(液晶パネル用のガラス基板、カラーフィルター基板、アレイ基板、プラズマディスプレイ用基板及び有機EL用基板等)、半導体用基板(半導体素子及びシリコンウェハ等)、太陽電池用基板(単結晶シリコン基板、多結晶シリコン基板、薄膜型太陽電池用基板、単結晶化合物基板、多結晶化合物基板、有機系太陽電池基板)、プリント配線基板、光学レンズ、フォトマスク用基板、光通信用ケーブル、LED、太陽電池用基板及び水晶振動子]の製造工程における洗浄工程において好適に使用することができる。
また、本発明の電子材料の洗浄方法は、特に電子材料が、磁気ディスク用基板、フラットパネルディスプレイ用基板、半導体用基板又は太陽電池用基板である場合に好適である。
The electronic material cleaning agent of the present invention is suitably used for cleaning with particles (abrasive grains, glass powder, ceramic powder, metal powder, etc.) and the like as objects to be cleaned.
Therefore, the cleaning agent for electronic materials of the present invention can be used for various electronic materials [for example, magnetic disk substrates (aluminum substrates, NiP substrates, glass substrates, magnetic disks, magnetic heads, etc.), flat panel display substrates (for liquid crystal panels). Glass substrate, color filter substrate, array substrate, plasma display substrate, organic EL substrate, etc.), semiconductor substrate (semiconductor element, silicon wafer, etc.), solar cell substrate (single crystal silicon substrate, polycrystalline silicon substrate, thin film) Type solar cell substrate, single crystal compound substrate, polycrystalline compound substrate, organic solar cell substrate), printed wiring board, optical lens, photomask substrate, optical communication cable, LED, solar cell substrate and crystal resonator ] Can be suitably used in the washing step in the production process.
The electronic material cleaning method of the present invention is particularly suitable when the electronic material is a magnetic disk substrate, a flat panel display substrate, a semiconductor substrate, or a solar cell substrate.

Claims (9)

炭素数6〜8の環状アミンである脂肪族第1級アミンのアルキレンオキサイド付加物(A)、ノニオン性界面活性剤(B−1)、アニオン性界面活性剤(B−2)及び水を含有してなり、前記(B−2)が炭素数4〜10のアミジン塩であって、前記(A)の2級アミン価と3級アミン価の合計(Y)に対する2級アミン価(X)の比率[(X)/(Y)]が、0.5以下であることを特徴とする電子材料用洗浄剤であって、洗浄剤の重量に基づく水の含有量が、50〜90重量%であり、かつ、親水性有機溶媒(G)の含有量が、洗浄剤における水以外の成分の重量に基づいて50重量%未満である電子材料用洗浄剤。 Contains an alkylene oxide adduct (A) of an aliphatic primary amine which is a cyclic amine having 6 to 8 carbon atoms, a nonionic surfactant (B-1) , an anionic surfactant (B-2) and water (B-2) is an amidine salt having 4 to 10 carbon atoms, and the secondary amine value (X) relative to the total (Y) of the secondary amine value and the tertiary amine value of (A). The electronic material cleaning agent, wherein the ratio [(X) / (Y)] is 0.5 or less, and the water content based on the weight of the cleaning agent is 50 to 90% by weight The cleaning agent for electronic materials, wherein the content of the hydrophilic organic solvent (G) is less than 50% by weight based on the weight of components other than water in the cleaning agent. 更に、キレート剤(C)及びアルカリ成分(D)からなる群から選ばれる1種以上を含有する請求項1記載の電子材料用洗浄剤。   Furthermore, the cleaning agent for electronic materials of Claim 1 containing 1 or more types chosen from the group which consists of a chelating agent (C) and an alkali component (D). 洗浄剤の有効成分0.1〜15重量%における25℃でのpHが、7〜14である請求項1又は2記載の電子材料用洗浄剤。 The cleaning agent for electronic materials according to claim 1 or 2 , wherein a pH at 25 ° C of 0.1 to 15% by weight of an active ingredient of the cleaning agent is 7 to 14. 洗浄剤の有効成分濃度0.1〜15重量%における、電子材料基板に対する洗浄剤の接触角が10°以下である請求項1〜のいずれか記載の電子材料用洗浄剤。 The cleaning agent for electronic materials according to any one of claims 1 to 3 , wherein a contact angle of the cleaning agent with respect to the electronic material substrate at an active ingredient concentration of 0.1 to 15% by weight of the cleaning agent is 10 ° or less. 前記電子材料が、磁気ディスク基板、フラットパネルディスプレイ基板、半導体基板又は太陽電池用基板である請求項1〜のいずれか記載の電子材料用洗浄剤。 Said electronic material, a magnetic disk substrate, for electronic materials cleaning agent according to any one of claims 1-4 which is a substrate for a flat panel display substrate, semiconductor substrate or solar cell. 請求項1〜のいずれか記載の洗浄剤を用いる電子材料の洗浄方法。 The cleaning method of the electronic material using the cleaning agent in any one of Claims 1-5 . 超音波洗浄、シャワー洗浄、スプレー洗浄、ブラシ洗浄、浸漬洗浄、浸漬揺動洗浄及び枚葉式洗浄からなる群より選ばれる1種以上の洗浄方法を用いて行われる請求項記載の電子材料の洗浄方法。 The electronic material according to claim 6 , wherein the electronic material is subjected to at least one cleaning method selected from the group consisting of ultrasonic cleaning, shower cleaning, spray cleaning, brush cleaning, immersion cleaning, immersion rocking cleaning, and single wafer cleaning. Cleaning method. 前記電子材料が、磁気ディスク基板、フラットパネルディスプレイ基板、半導体基板又は太陽電池基板である請求項又は記載の洗浄方法。 The cleaning method according to claim 6 or 7 , wherein the electronic material is a magnetic disk substrate, a flat panel display substrate, a semiconductor substrate, or a solar cell substrate. 請求項のいずれか記載の洗浄方法で電子材料用基板を洗浄する工程を含む電子材料の製造方法。 Method of manufacturing an electronic material comprising the step of cleaning an electronic material substrate in the cleaning method according to any one of claims 6-8.
JP2008315396A 2008-12-11 2008-12-11 Electronic material cleaner Expired - Fee Related JP5553985B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2008315396A JP5553985B2 (en) 2008-12-11 2008-12-11 Electronic material cleaner

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2008315396A JP5553985B2 (en) 2008-12-11 2008-12-11 Electronic material cleaner

Publications (2)

Publication Number Publication Date
JP2010138271A JP2010138271A (en) 2010-06-24
JP5553985B2 true JP5553985B2 (en) 2014-07-23

Family

ID=42348676

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2008315396A Expired - Fee Related JP5553985B2 (en) 2008-12-11 2008-12-11 Electronic material cleaner

Country Status (1)

Country Link
JP (1) JP5553985B2 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102042510B1 (en) * 2018-07-26 2019-11-08 (주)피스코 Cleaner for ultrasonic washer and cleaning method using thereof

Families Citing this family (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5689665B2 (en) * 2010-12-10 2015-03-25 富士フイルム株式会社 Method for preventing oxidation of metal film surface and antioxidant liquid
JP2012252772A (en) * 2011-05-12 2012-12-20 Sanyo Chem Ind Ltd Electronic material surface protective agent
KR20140053003A (en) * 2011-05-24 2014-05-07 아사히 가라스 가부시키가이샤 Cleaning agent and method for cleaning glass substrate
IN2014CN00877A (en) * 2011-08-09 2015-04-03 Basf Se
JP6066552B2 (en) 2011-12-06 2017-01-25 関東化學株式会社 Cleaning composition for electronic devices
TWI572711B (en) * 2012-10-16 2017-03-01 盟智科技股份有限公司 Cleaning composition for semiconductor manufacturing process and cleaning method
US9562211B2 (en) 2013-12-06 2017-02-07 Fujifilm Electronic Materials U.S.A., Inc. Cleaning formulation for removing residues on surfaces
JP2015170372A (en) * 2014-03-05 2015-09-28 三洋化成工業株式会社 Magnetic disk substrate cleaning agent
JP2015170373A (en) * 2014-03-05 2015-09-28 三洋化成工業株式会社 Method for manufacturing magnetic disk substrate
JP2015207712A (en) 2014-04-22 2015-11-19 三菱電機株式会社 Cleaning solvent, cleaning equipment, and method for cleaning mounting substrate
JP2016037606A (en) * 2014-08-08 2016-03-22 三洋化成工業株式会社 Detergent composition for electronic material, and production method for electronic material
JP2016094596A (en) * 2014-11-10 2016-05-26 三洋化成工業株式会社 Hard surface treatment agent
JP6761040B2 (en) * 2016-02-18 2020-09-23 エコラボ ユーエスエー インコーポレイティド Uses of solvents in bottle cleaning using amidine-based formulations
JP6791680B2 (en) * 2016-08-09 2020-11-25 株式会社フジミインコーポレーテッド Surface treatment composition and cleaning method using it
US20190300821A1 (en) * 2016-09-28 2019-10-03 Fujimi Incorporated Surface treatment composition
JP6987630B2 (en) * 2017-12-18 2022-01-05 花王株式会社 Detergent composition for hard disk substrates

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04142399A (en) * 1990-10-03 1992-05-15 New Japan Chem Co Ltd Nonhalogenous detergent composition
JPH0826347B2 (en) * 1990-08-31 1996-03-13 新日本理化株式会社 Chlorine-free detergent composition
JPH04122800A (en) * 1990-09-13 1992-04-23 New Japan Chem Co Ltd Halogen-free detergent composition
JP4063344B2 (en) * 1995-08-23 2008-03-19 旭化成ケミカルズ株式会社 Metal mask cleaning composition
JP2006306968A (en) * 2005-04-27 2006-11-09 Sanyo Chem Ind Ltd Detergent for electronic part
JP5000991B2 (en) * 2006-11-28 2012-08-15 三洋化成工業株式会社 Cleaning agent for electronics materials

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102042510B1 (en) * 2018-07-26 2019-11-08 (주)피스코 Cleaner for ultrasonic washer and cleaning method using thereof

Also Published As

Publication number Publication date
JP2010138271A (en) 2010-06-24

Similar Documents

Publication Publication Date Title
JP5553985B2 (en) Electronic material cleaner
JP5192953B2 (en) Glass substrate cleaner for magnetic disk
JP5410943B2 (en) Electronic material cleaner
TWI398514B (en) Cleaning agent for electronic material
JP5192952B2 (en) Magnetic disk substrate cleaning agent
JP5117480B2 (en) Electronic material cleaner
JP5155121B2 (en) Electronic material cleaner and cleaning method
JP2008182221A (en) Cleaning agent for semiconductor substrate
JP2008135576A (en) Cleaning agent for electronics material
JP5575420B2 (en) Magnetic disk substrate cleaning agent
JP5323017B2 (en) Magnetic disk substrate cleaning agent
CN101942667B (en) Formulations and method for post-cmp cleaning
JP2012072267A (en) Detergent for electronic material
JP2007335856A (en) Detergent for electronics material
KR100989542B1 (en) Cleaning solution for semiconductor substrate
KR20150087224A (en) Glass substrate cleaning method
JPWO2006025373A1 (en) Surfactant
KR20140053003A (en) Cleaning agent and method for cleaning glass substrate
JP5711589B2 (en) Magnetic disk substrate cleaning agent
JP2005060660A (en) Cleaning solution for semiconductor substrate
JP5324242B2 (en) Electronic material cleaner and cleaning method
JP2010109329A (en) Cleaner composition for electronic device substrate and method of cleaning electronic device substrate
JP2008045119A (en) Chemical agent for electronic material manufacturing process
JP2012197429A (en) Detergent for electronic material
JP2007146025A (en) Surfactant and detergent containing the same

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20110802

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20121219

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130115

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130218

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20131126

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140226

A911 Transfer of reconsideration by examiner before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20140306

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20140520

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20140528

R150 Certificate of patent or registration of utility model

Ref document number: 5553985

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

LAPS Cancellation because of no payment of annual fees