JP5294626B2 - 半導体基板処理チャンバ内のガス流を制御するための装置 - Google Patents

半導体基板処理チャンバ内のガス流を制御するための装置 Download PDF

Info

Publication number
JP5294626B2
JP5294626B2 JP2007507399A JP2007507399A JP5294626B2 JP 5294626 B2 JP5294626 B2 JP 5294626B2 JP 2007507399 A JP2007507399 A JP 2007507399A JP 2007507399 A JP2007507399 A JP 2007507399A JP 5294626 B2 JP5294626 B2 JP 5294626B2
Authority
JP
Japan
Prior art keywords
restraining plate
plate
chamber
exhaust port
restraining
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2007507399A
Other languages
English (en)
Other versions
JP2007533138A (ja
Inventor
キャロル べラ
ヒーイエオプ チァエ
ハミド タバソリ
ヤン イエ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2007533138A publication Critical patent/JP2007533138A/ja
Application granted granted Critical
Publication of JP5294626B2 publication Critical patent/JP5294626B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45587Mechanical means for changing the gas flow
    • C23C16/45591Fixed means, e.g. wings, baffles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C26/00Coating not provided for in groups C23C2/00 - C23C24/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • H01J37/32834Exhausting

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Materials Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Description

発明の分野
本発明は半導体基板処理システムに関する。より詳細には、本発明は半導体基板処理チャンバ内のガスの流れを制御するフロー制御アセンブリに関する。
関連技術の背景
集積回路は単一のチップ上に数百万のコンポーネンツ(例えば、トランジスタ、キャパシタ、抵抗など)を含みうる複雑なデバイスに進化した。チップの設計の進化は、継続的により速い回路、及び、より密度の高い回路を必要とする。集積度の高い回路の需要は集積回路コンポーネントの大きさを削減すことを必要とする。そのようなデバイスの特徴である最小限の大きさは、本技術分野においてクリティカルディメンションとして一般によばれている。一般に、このクリティカルディメンションには、ライン、コラム、開口部、ライン間の間隔などの最小線幅の特徴が含まれる。
これらのクリティカルディメンションが小さくなるにつれ、基板上の処理の均一性が高い歩留まりを維持するために至上命題となる。集積回路の製造において用いられる従来のプラズマエッチプロセスに関連する一つの問題は、基板上でのエッチレートの不均一性にあり、それは部分的には、活性種がエッチングされる基板の中心から外れて偏在することに起因するかもしれない。基板の中心から偏在する活性種の傾向に貢献する一つの要素は、チャンバの排気ポートの円周上の位置にある。排気ポートに最も近いチャンバの領域からガスがより多く排気されるので、活性種は、排気ポートの方向に引かれ、これにより、チャンバ及びその中に位置する基板の中央に関して、より偏在することとなる。この偏在が基板の表面でのエッチの不均一性という損失をもたらし、それは多大に性能に影響を及ぼし、集積回路を製造するコストを高くする。
従って、集積回路の製造過程において、材料層をエッチングするためのより改善された装置が本技術分野において必要とされる。
発明の概要
本発明は一般に、半導体基板処理チャンバにおいて、ガスの流れを制御するための装置を提供することである。一実施例において、本装置(フローコントローラ)は処理チャンバの底により支持されるベースと、垂直方向に離れた位置においてこのベースに結合されるサポートリングを含む。このサポートリングはチャンバ内で、基板が支持されるペデスタルを囲む。少なくとも一つの抑止プレートがサポートリングに接続され、サポートリングから周方向に伸びる。抑止プレートは基板上に位置する処理領域と排気ポンプに接続された排気ポートとの間に位置する。抑止プレートは処理プロセス領域から排気ポートの方向へのガスの流れを制御するようになっている。
詳細な説明
本発明は一般に、プラズマリアクタ内の半導体基板上での処理の均一性を改善するための装置に関するものである。本技術分野における当業者であれば、リアクティブイオンエッチ(RIE)チャンバ、電子サイクロトロン共鳴(ECR)チャンバなどを含むプラズマエッチチャンバの他の形態においても、本発明が実施されうることを理解するであろう。更に、本発明は、ガス流の制御により処理の間の基板表面上のプロセスの均一性が改善されうる、アトミックレイヤーデポジション(ALD)チャンバ、化学的蒸着(CVD)チャンバ、プラズマ励起化学的蒸着(PECVD)チャンバ、磁気励起プラズマ処理チャンバなどのいかなる処理チャンバにおいても用いられうる。
図1は本発明を用いた二周波の容量性プラズマソースリアクタの概略断面図を図示する。一実施例において、本発明のフローコントローラは、基板支持体の上に位置するガスの入口、及び、その基板支持体の下に位置するチャンバの排気もしくは排気ポートを有するチャンバと協働する。このフローコントローラはプロセスチャンバ内でガスフローを制御することが望まれる場合に有益である。本発明に適宜な処理チャンバの一例はカルフォルニア州サンタクララのアプライドマテリアルズインクから市販されているイネーブラー(ENABLER(商標名))処理チャンバである。
一実施例において、リアクタ102は電気的なグラウンド134に接続される導電性のチャンバの壁130を有するプロセスチャンバ110を含む。少なくとも一つのソレノイドセグメント112はチャンバの壁130の外部に位置する。チャンバの壁130はチャンバ110のクリーニングを可能にするセラミックライナー131を含む。各基板が処理された後、エッチプロセスの副産物及び残渣物は容易にライナー131から取り除かれる。ソレノイドセグメント112は少なくとも5ボルトを生成することができる直流電源154により制御される。
また、プロセスチャンバ110はプロセスチャンバ110の底108上に設けられ、シャワーヘッド132から離間した、基板支持ペデスタル116を含み、プロセス領域180がその間に形成される。基板支持ペデスタル116は、シャワーヘッド132の下方で、ペデスタル116の表面140上の基板114を保持するための静電チャック126を含む。
静電チャック126は、直流電源120及びマッチングネットワーク124を介してRFバイアス電源122に接続される支持ペデスタル116より制御される。バイアス電源122は、一般に50kHzから13.56MHzの調整可能な周波数、及び、0Wから5000ワットの間の電力を有する高周波(RF)信号を生成することができる。選択的に、バイアス電源122は直流電源またはパルス波形の直流電源であってもよい。
シャワーヘッド132は、様々なガスが特定のガス分配勾配を用いて、チャンバ110の処理領域180に供給されるように、複数のガス分配ゾーンを有し、支持ペデスタル116に対面する上部電極128に取り付けられる。上部電極128はインピーダンス変換器119(例えば4分の1波長のマッチングスタブ)を介して、RF電源118に接続される。電源118は一般に、約162MHzの同調可能な周波数、及び、約0から2000Wの間の電力を有する高周波(RF)信号を生成することができる。
チャンバ110の内部は、チャンバの壁130に形成された排気ポート135を介して真空ポンプ136に結合されている高真空の容器である。排気ポート135に設けられたスロットバルブ127は処理チャンバ110内の圧力をコントロールするために、真空ポンプ136と関連して用いられる。
フローコントローラ100は支持ペデスタル116及びチャンバの壁130に対して、離間して支持ペデスタル116を取り囲む。フローコントローラ100はプロセス領域180と排気ポート135との間を流れるガスの流れを制御する。一般に、フローコントローラ100は特定のチャンバ内、もしくは、所定のプロセス条件の下で、ガスの流れの特性を制御するよう構成されている。フローコントローラは特定のチャンバ内のガスの流れの特性を等化するために、もしくは、所望の均整のとれたガスの流れを維持するために、構成されうる。これは、チャンバの底108に対してフローコントローラを所定の高さに維持し、フローコントローラ100の外側の端とチャンバの壁130の内側の端との間の所定のギャップ158、及び、フローコントローラ100の内側の端と支持ペデスタル116の外側の端との間の所定のギャップ160が形成されるように、所定の高さ、幅、及び形状に、フローコントローラを構成することにより成し遂げられる。フローコントローラは、ギャップ158、160のいずれか、若しくは、両者がフローコントローラ100の周辺に沿って幅を変えるようなものであるように構成される。
図2Aはフローコントローラ100の一実施例の等角投影図法による部分展開図である。フローコントローラ100はベースプレート202、複数の支持ピン204、支持リング206、及び少なくとも一つの抑止プレート208を含む。ベースプレート202は(図1に示された)プロセスチャンバ110の底108に置かれ、基板支持ペデスタル116を取り囲む。ベースプレート202はボルト、ネジ、接着剤、溶接、係止などの適宜な方法により、底108に固着される。図2Aに示された実施例において、4つの穴210が、プロセスチャンバ110の底108にベースプレート202をボルト付けするために、ベースプレート202に設けられる。チャンバの底108には、フローコントローラ100を支持するために複数のベースプレートが用いられるかもしれない。
支持ピン204は、ベースプレート202の上方に支持リング206をしっかりと位置付けするために、ベースプレート202と支持リング206との間に接続される。支持ピン204は溶接、ネジ止め、杭止め、締まりばめ、ボルト止め、ボンディングなど適宜な方法により、ベースプレート202及び支持リング206に接続される。選択的に、支持ピン204は一体物の材料から成形、型どり、鍛造、もしくは機械研磨などによりベースプレート202及び支持リング206のどちらか、もしくは、その両者の一部分であるかもしれない。図2Aに示された実施例において、支持ピン204はファスナー260により(その一つは示されているが)のそれぞれのすぐ下のベースプレート202に形成された穴262を介してベースプレート202にほぼ垂直にボルト留めされる。支持ピン204は支持リング206を支持ピン204にボルト止めするための穴214を有するいくつかの突起212に沿って支持リング206に結合される。
支持ピン204は長さにおいてほぼ等しく典型的には、プロセスチャンバ110の底108にほぼ平行に、底の上方高さHの所で支持リング206を保持する。典型的には、高さHは特定の処理チャンバ内でのポンプへのガス流の特性を最適化するために変えられる。例えば、その高さは、抑止プレート208がペデスタル116の上に置かれた基板114の上部表面とほぼ同じ高さであり、かつ、ほぼ平行であるように選択される。選択的には、その高さは、ガス流の特性及びプロセスチャンバの形状に従い、より高い位置、若しくは、より低い位置となるかもしれない。ガス流のシュミレーションためのソフトウェアパッケージがフローコントローラ100のための最適な高さHを決定するために用いられうる。選択的に、異なる長さに作られた複数組の支持ピン204が最適な高さHを決定する実験的プロセスを行うために用いられうる。選択的に、支持ピン204は、異なる支持ピン204を用いることなく、高さHを変えることができるようにするために、ジャッキねじのような長さ方向に調整可能なものであってもよい。更に他の実施例において、長さを変えられる支持ピンが、抑止プレート208がペデスタルの表面140及び処理されている基板の表面とは並行にならないように、ペデスタルの表面140に対する抑止プレートの角度を変えるために用いられるかもしれない。
少なくとも一つの抑止プレート208が、バッフルとして動作し、その上または回りと通るガスの流れを変える。排気ポートの近くのガスの流れを最大限に制限し、排気ポートの反対側の流れを最小限に制限することにより、抑止プレート208は、ガスの入口から排気ポートに流れるガスを制限し、基板114の表面上のガスの流れを均一するように構成されている。この均一なガスの流れにより、プラズマが排気ポートの方向に引かれてしまうという傾向が緩和される。
一実施例において、少なくとも一つの抑止プレート208は、排気ポートの方向の部位のみに設けられ、排気ポートの反対の領域をオープンにする円環状のリングセグメントである。選択的に、少なくとも一つの抑止プレート208は、処理の間のチャンバ内のガス流を最適化するために、所望の大きさ、形状の環状のリングセグメントを形成する、それぞれが近傍に置かれた同じ、もしくは変動する、半径方向の幅の複数の環状のリングセグメントであるかもしれない。選択的に、抑止プレート208は最大のガスの流れの制限が排気ポートの近傍であり、最小のガスの流れの制限が排気ポートの反対側となるように方向付けられた、均一もしくは変動する、半径方向の幅を有する単一のプレートであるかもしれない。プロセスチャンバ内の排気ポートの形状、または、その位置若しくはその数が変われば、異なる形状のものが考えられるかもしれない。
少なくとも一つの抑止プレート208は、支持リング206に結合され、ボルト止め、ネジ止め、ボンディング、テーピングなどの周知の方法により取り付けられるかもしれない。抑止プレートは支持ピン204もしくはベース202に直接、結合されるかもしれない。図2Aに示された実施例において、抑止プレート208(a)-(c)の内部の端220が基板支持ペデスタル116の外側の端の極近くに維持されて、これにより(図1に示されるように)内部のギャップ160ができるように、抑止プレート208は支持リング206内の突起物212に形成された穴を介してボルト止めされる。内部のギャップ160は一般に、それを通るガスの流れを防ぐに十分に小さいものであり、特定のプロセスチャンバ内のガスの流れを最適化するためにその大きさは変えられるものである。
図2Aに示される実施例において、抑止プレートは、支持リング206に結合され、所望の大きさの弧を形成するために、それぞれ隣接して配置される一連のプレート208(a)-(c)を含む。弧もしく湾曲角度の大きさはプロセスチャンバの形状によって変わる。図2Aに示される抑止プレート208は、3つの抑止プレートに208(a)、(b)、(c)により135度となるように、各々45度の角度の湾曲角度を有する。しかしながら、抑止プレートは、チャンバ内の圧力及びガスを必要に応じ最適化するために、それよりも大きくもしくは小さいものであり得、また、より大きい若しくはより小さいギャップを残すために形状を変化させるものである。
例えば、図2Bはフローコントローラ100に用いられる抑止プレート208の一実施例の平面図を図示する。抑止プレート208の大きさは中央点250から測られる角度α及び中央点250に関して半径方向に計られる幅Wにより定義される。角度αを変えることにより、単一のプレートによる空気の流れの阻止を大きくしたり小さくしたりすることができる。小さい角度αにすれば流れの抑止をより大きく調整することができ、より大きい角度αであれば部品を減らすことができる。これにより最適な構成を見つけ出すための調整が可能となる。更に、特定の構成が決定されると、単一の抑止プレート208が単体で所望の領域をカバーするために必要な角度αにより作成されるかもしれない。
更に、(図1に示されるように)幅Wを変えれば、フローリストリクタ208の外側の端216とチャンバの壁130のライナー131との間で定義されるギャップ158の大きさを変えることとなる。これにより、フローリストリクタの外側の端216の周り及びそのギャップ158を介してのガスの流れを制御することができる。一実施例において、抑止プレート208の幅Wは実質的にギャップ158を閉ざすに十分なものであり、これによりギャップ158を介してのガスの流れを制限することができる。ギャップ158はフローリストリクタのプレートの周辺に沿って均一もしくは変動するかもしれない。ギャップ158の大きさにおける変化は、一つ若しくは複数の、傾斜を持った幅を有する抑止プレートを用いるなどして、滑らかとなりうる。選択的に、ギャップ158の大きさにおける変化は、異なる幅を有する複数のリストリクタプレートを用いるなどして段階的なものとなるかもしれない。
図3はプロセスチャンバ310内に設けられたフローコントローラ300の一実施例の平面図を図示する。この実施例はプロセスチャンバ310内のペデスタル316上に置かれた基板(図示せず)の表面を流れる空気の圧力及び速度を制御するために、一連の抑止プレート308(a)−(e)がどのように支持リング306上に配置されうるかを説明するものである。
この実施例において、5つの抑止プレート308(a)−(e)がペデスタル316にほぼ取り囲むように隣接して設けられている。組み立てられた抑止プレート308がペデスタル316の225度もしくは約8分の5(5/8)の部分を取り囲むように、それぞれの抑止プレートは約45度の角度αを有する。また、各抑止プレートは抑止プレート308の外側の端とチャンバの壁330との間で定義されるギャップ358をほぼ閉ざすに十分な幅Wを有する。抑止プレートの内側の端とペデスタル316の外側の端との間のギャップ362がほぼ閉じるように、抑止プレート308は置かれている。抑止プレート308はプロセスチャンバ310内に設けられた排気ポート355の位置に直径方向にほぼ反対する部位に開口部360を残すように抑止プレート308は配置され、これによりギャップ358、362を介してよりも、開口部360を介して、ペデスタルをより自由に通過する空気を流すことができる。
図4Aはプロセスチャンバ410内に設けられたフローコントローラ400の別の実施例の平面図である。この実施例において、抑止プレート408はプロセスチャンバ410内のペデスタル416上に設けられた基板を通る空気の圧力及び速度を最適化するための環状の形状を有する単一の部品である。抑止プレート408の幅は、円周方向に沿って、その大きさにおいて滑らかにもしくは断続的に変化しうる。例えば、図4Bは図4Aの抑止プレート408の平面図を図示し、抑止プレート408の幅Wは最大の幅W1から直径方向に反対の位置の最小の幅W2まで滑らかに傾斜する。図4Aに示される実施例において、抑止プレート408は最大の幅が排気ポート445の近傍に設けられ、排気ポートの近くに小さいギャップ458のみを残し、排気ポート445の反対側により大きい開口部460を残すように、方向付けられる。
例えば、22インチの内径のチャンバの壁430及び15インチの半径のペデスタル416を有するチャンバ410において,ペデスタル416とチャンバの側壁430との間の残りのチャネルは3と2分の1(3)インチの幅である。例示のフローコントローラ400は、最大の幅W1が2と4分の3(2)インチであり、直径方向に反対側の最小の幅W2が2と4分の1()インチであり、その幅が均一に傾斜する、外側の直径が18インチとなる円形を有するよう構成されている。フローコントローラ400は、最大の幅W2が排気ポート445の近傍に位置し、排気ポート445の近傍の領域において2分の1()インチの最小のギャップ458を残すように、チャンバ410内に位置付けられる。排気ポート445の反対側の開口部460は3と4分の1(3)インチの幅である。
選択的に、抑止プレート408は、その形に近似する異なる幅の複数のリングセグメントであってもよい。その近似はは滑らかなものであってもよいし、段階的なものであってもよい。抑止プレート408の形は、流れのモデリングのためのソフトウェアパッケージにより、又は、所望の形が得られるまで複数の平面プレートを用いて実験することにより、得られうる。
別の実施例において、単一の抑止プレートは他の楕円形状でも有りうる。ここで用いられるように、楕円とは広く意味で解釈され、いかなる長円形、楕円、円形、弧状の形、または、丸型の形をも意味する。形(プロフィール)という用語は一般的な形を総称し、基板支持ペデスタルへのフローリストリクタの隣接配置するための切り抜き部分をも含みうる。
図1及び図2Aに戻ると、動作中、基板114は支持ペデスタル116上に置かれ、チャンバの内部の雰囲気は大気圧以下の圧力まで下げられ、点火されたときにプラズマを生成するガス150(例えば、アルゴン、塩素化ガス、フッ素化ガスなど)が、シャワーヘッド132を介して、ガスパネル138からプロセスチャンバ110に供給される。ガス150は上側の電極128(アノード)にRF電源118からのパワーを印加することにより、プロセスチャンバ110内でプラズマ152へと点火される。磁界がソレノイドセグメント112を介してプラズマ152に印加され、支持ペデスタル116はバイアス電源122からの電力が供給されることによりバイアスされる。
基板114の処理の間、プラズマ152を形成するためにプロセスチャンバ110へガス150が導入されると、プロセスチャンバ110の動作圧力は、ポンプ136により排気ポート135を介してチャンバ110から排気される空気の容量を制御する排気ポート135に設けられたスロットルバルブ127により制御される。ガスがプロセスチャンバ110へと又はそこから流れるときに、フローコントローラ100を用いなければ、シャワーヘッド132、ペデスタル116、及び排気ポート135の位置関係により、基板114の表面上の圧力及び速度の不均一な配分となる。この不均一な圧力及び速度の配分はチャンバ内のプラズマ152の位置に影響し、従って、基板114の表面から取り除かれる材料のエッチレートに影響を及ぼす。
図5A及び図5Bは、フローコントローラを用いたとき、及び、用いないときの基板114の表面上でのエッチレートの均一性を示すように計測されたグラフである。図5Aは、フローコントローラを用いない場合の、基板512の表面上のより大きいエッチレート552の領域を示す。図から分かるように、チャンバ内の不均一なガスの流れによって、基板512の片方の側に活性種が移動する。より大きいエッチレート552の領域によって示されるように、活性種が偏在することにより、基板512のエッチレートの不均一性を生じせしめる。図5Bはフローコントローラを用いることによって、基板514の基板上のより大きいエッチレート554の改善された領域を図示する。図から分かるように、活性種は基板514の表面に中央部分に位置付けられ、より大きいエッチレート554のずっとより均一な領域が得られる。
本発明の様々な実施例について述べられてきたが、本発明の他の及び更なる実施例が本発明の基本範囲から逸脱することなく成し得、その範囲は以下の請求の範囲により決定される。
本発明の上述された特徴、効果及び目的が達成され、詳細に理解されるように、上に短く要約されたような本発明のより特定的な説明が添付の図面において図示される実施例を参照しながらなされる。
しかしながら、添付の図面は、本発明の典型的な実施例のみを説明するものであり、その範囲を制限するものと考えられるべきではなく、本発明は他に同等に有効な実施例も含みうる。
二周波の容量性プラズマソースリアクタの概略側面図である。 フローコントローラの一実施例の等角投影図法による部分展開図である。 図2Aのフローコントローラのフロー抑止プレートの一実施例の平面図である。 フローコントローラの一実施例を用いるプロセスチャンバの断面平面図である。 フローコントローラの別の実施例を用いるプロセスチャンバの部分平面図である。 図4Aのフローコントローラの抑止プレートの一実施例の平面図である。 フローコントローラを備えない半導体基板処理チャンバ内におけるプロセスの間の基板上のエッチレートの均一性を示すグラフである。 フローコントローラを備えた半導体基板処理チャンバ内におけるプロセスの間の基板上のエッチレートの均一性を示すグラフである。

Claims (19)

  1. 半導体基板処理チャンバ内の処理領域と排気ポートとの間のガスの流れを制御するための装置であって、
    前記半導体基板処理チャンバから取り外し可能なフローコントローラを含み、前記フローコントローラは、
    半導体処理チャンバ内に複数の支持足によって支持され、基板支持ペデスタルを少なくとも部分的に取り囲むよう構成された少なくとも一つの抑止プレートであって、前記抑止プレートは処理領域と排気ポートとの間を流れる少なくとも一つのガスの流れを制御するように構成され、前記少なくとも一つの抑止プレートは基板支持ペデスタル及び処理チャンバの内部側壁から横方向に離れて構成される少なくとも一つの抑止プレートと
    処理チャンバの底に結合されるようになっているベースと、
    垂直方向に離れた位置に位置するように前記複数の支持足を介して前記ベースに結合される支持リングであって、前記少なくとも一つの抑止プレートは前記支持リングに結合されている支持リングとを含む装置。
  2. 前記複数の支持足は、前記ベースと前記支持リングとの間に結合される請求項記載の装置。
  3. 前記支持足は前記基板支持ペデスタルの基板支持表面により定義される平面に対して平行でない方向に前記支持リングを維持する請求項記載の装置。
  4. 前記少なくとも一つの抑止プレートは前記基板支持ペデスタルを少なくとも部分的に取り囲む環状の形状を有する一つの抑止プレートである請求項1記載の装置。
  5. 前記抑止プレートは、前記抑止プレートの他の部分においてより、前記抑止プレートの一部分においてより広い幅を有する請求項記載の装置。
  6. 前記より広い幅を有する部分は前記排気ポートの近傍に位置するよう構成されている請求項記載の装置。
  7. 前記少なくとも一つの抑止プレートは複数の抑止プレートを更に含み、各抑止プレートは少なくとも一つの他の抑止プレートに隣接して設けられている請求項1記載の装置。
  8. 半導体基板処理システムであって、
    処理チャンバと、
    前記チャンバ内に設けられた基板支持ペデスタルと、
    前記処理チャンバ内の前記ペデスタルより上に形成され、前記支持ぺデスタルの上方の処理領域に処理ガスを供給するためのガス導入口と、
    前記チャンバの壁に形成された排気ポートと、
    前記半導体基板の処理チャンバから取り外し可能なフローコントローラを含み、前記フローコントローラは、
    前記半導体処理チャンバ内に複数の支持足によって支持され、前記基板支持ペデスタルを少なくとも部分的に取り囲む少なくとも一つの抑止プレートであって、前記抑止プレートは前記処理領域と前記排気ポートとの間に流れる少なくとも一つのガスの流れを制御し、前記抑止プレートは基板支持ペデスタル及び処理チャンバの内部側壁から横方向に離れている少なくとも一つの抑止プレートと
    前記処理チャンバの底に結合されるようになっているベースと、
    垂直方向に離れて位置するように前記複数の支持足を介して前記ベースに結合される支持リングであって、前記少なくとも一つの抑止プレートは前記支持リングに結合されている支持リングとを含む半導体基板処理システム。
  9. 複数の支持足は、前記ベースと前記支持リングとの間に結合される請求項記載のシステム。
  10. 前記支持足は前記基板支持ペデスタルの基板支持表面により定義される平面に対して非平行に前記支持リングを維持する請求項記載のシステム。
  11. 前記少なくとも一つの抑止プレートは弧状形状を有する複数の抑止プレートである請求項記載のシステム。
  12. 前記複数の抑止プレートは前記基板支持ペデスタルを実質的に取り囲む請求項11記載のシステム。
  13. 前記複数の抑止プレートの外側の端の少なくとも一部分は前記外側の端と前記排気ポートの近傍の前記チャンバの内部壁との間で定義されるギャップを小さくする請求項12記載のシステム。
  14. 前記少なくとも一つの抑止プレートは一つの抑止プレートである請求項記載のシステム。
  15. 前記一つの抑止プレートは前記基板支持ペデスタルを実質的に取り囲む環状な形状を有する請求項14記載のシステム。
  16. 前記一つの抑止プレートは前記一つの抑止プレートの他の部分においてより前記一つの抑止プレートの一部分においてより広い幅を有する請求項15記載のシステム。
  17. 前記より広い幅を有する前記部分は前記排気ポートの近傍に位置する請求項16記載のシステム。
  18. 前記一つの抑止プレートの外側の端の少なくとも一部分は前記外側の端と前記排気ポートの近傍の一部分に沿った前記チャンバの内側の壁との間で定義されるギャップを小さくする請求項17記載のシステム。
  19. 前記少なくとも一つの抑止プレートは前記基板支持ペデスタルを完全に取り囲む環状の形状を有し、前記一つの抑止プレートの他の部分の所より前記一つの抑止プレートの一部分においてより広い幅を有する一つの抑止プレートであり、前記一つの抑止プレートの外側の端の一部分は少なくとも前記排気ポートの近傍の一つの位置において、前記チャンバの内側の側壁に接触する請求項記載のシステム。
JP2007507399A 2004-04-08 2005-04-01 半導体基板処理チャンバ内のガス流を制御するための装置 Expired - Fee Related JP5294626B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/821,310 2004-04-08
US10/821,310 US8236105B2 (en) 2004-04-08 2004-04-08 Apparatus for controlling gas flow in a semiconductor substrate processing chamber
PCT/US2005/011309 WO2005101461A1 (en) 2004-04-08 2005-04-01 Apparatus for controlling gas flow in a semiconductor substrate processing chamber

Publications (2)

Publication Number Publication Date
JP2007533138A JP2007533138A (ja) 2007-11-15
JP5294626B2 true JP5294626B2 (ja) 2013-09-18

Family

ID=34965098

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2007507399A Expired - Fee Related JP5294626B2 (ja) 2004-04-08 2005-04-01 半導体基板処理チャンバ内のガス流を制御するための装置

Country Status (6)

Country Link
US (1) US8236105B2 (ja)
JP (1) JP5294626B2 (ja)
KR (1) KR101184070B1 (ja)
CN (1) CN100421211C (ja)
TW (1) TWI328619B (ja)
WO (1) WO2005101461A1 (ja)

Families Citing this family (41)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4523352B2 (ja) * 2004-07-20 2010-08-11 株式会社日立ハイテクノロジーズ プラズマ処理装置
GB0612814D0 (en) * 2006-06-28 2006-08-09 Boc Group Plc Method of treating a gas stream
US20080110567A1 (en) * 2006-11-15 2008-05-15 Miller Matthew L Plasma confinement baffle and flow equalizer for enhanced magnetic control of plasma radial distribution
EP1968098A1 (en) 2007-03-08 2008-09-10 Applied Materials, Inc. Suction device for plasma coating chamber
US20090151872A1 (en) * 2007-12-17 2009-06-18 Tugrul Samir Low cost high conductance chamber
US20090188624A1 (en) * 2008-01-25 2009-07-30 Applied Materials, Inc. Method and apparatus for enhancing flow uniformity in a process chamber
US20090188625A1 (en) * 2008-01-28 2009-07-30 Carducci James D Etching chamber having flow equalizer and lower liner
US7987814B2 (en) 2008-04-07 2011-08-02 Applied Materials, Inc. Lower liner with integrated flow equalizer and improved conductance
JP5102706B2 (ja) * 2008-06-23 2012-12-19 東京エレクトロン株式会社 バッフル板及び基板処理装置
WO2010024036A1 (ja) * 2008-08-28 2010-03-04 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理装置のクリーニング方法
US20100081284A1 (en) * 2008-09-29 2010-04-01 Applied Materials, Inc. Methods and apparatus for improving flow uniformity in a process chamber
US20100108263A1 (en) * 2008-10-30 2010-05-06 Applied Materials, Inc. Extended chamber liner for improved mean time between cleanings of process chambers
US8398814B2 (en) * 2009-07-08 2013-03-19 Applied Materials, Inc. Tunable gas flow equalizer
US8840725B2 (en) * 2009-11-11 2014-09-23 Applied Materials, Inc. Chamber with uniform flow and plasma distribution
US20110226739A1 (en) * 2010-03-19 2011-09-22 Varian Semiconductor Equipment Associates, Inc. Process chamber liner with apertures for particle containment
JP5597463B2 (ja) * 2010-07-05 2014-10-01 東京エレクトロン株式会社 基板処理装置及び基板処理方法
US9443753B2 (en) * 2010-07-30 2016-09-13 Applied Materials, Inc. Apparatus for controlling the flow of a gas in a process chamber
WO2012128783A1 (en) * 2011-03-22 2012-09-27 Applied Materials, Inc. Liner assembly for chemical vapor deposition chamber
US9418880B2 (en) * 2011-06-30 2016-08-16 Semes Co., Ltd. Apparatuses and methods for treating substrate
JP2013045799A (ja) * 2011-08-22 2013-03-04 Nuflare Technology Inc 成膜装置および成膜方法
CN103021778B (zh) * 2011-09-21 2015-09-02 北京北方微电子基地设备工艺研究中心有限责任公司 气流均衡板、腔室装置和基片处理设备
KR20130086806A (ko) * 2012-01-26 2013-08-05 삼성전자주식회사 박막 증착 장치
CN103377979B (zh) * 2012-04-30 2016-06-08 细美事有限公司 调节板和具有该调节板的用于处理基板的装置
US9490152B2 (en) * 2012-05-29 2016-11-08 Taiwan Semiconductor Manufacturing Company, Ltd. Asymmetrical chamber configuration
CN103160814B (zh) * 2013-03-07 2015-04-08 中微半导体设备(上海)有限公司 反应室及其气流控制方法
US9530623B2 (en) * 2013-11-26 2016-12-27 Applied Materials, Inc. Process chamber apparatus, systems, and methods for controlling a gas flow pattern
JP5944883B2 (ja) * 2013-12-18 2016-07-05 東京エレクトロン株式会社 粒子逆流防止部材及び基板処理装置
US9852905B2 (en) * 2014-01-16 2017-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Systems and methods for uniform gas flow in a deposition chamber
WO2015151147A1 (ja) * 2014-03-31 2015-10-08 Sppテクノロジーズ株式会社 プラズマ処理装置
CN112366128B (zh) * 2014-04-09 2024-03-08 应用材料公司 用于在处理腔室中提供对称的流动路径的流动模块
CN105742203B (zh) * 2014-12-10 2019-08-13 中微半导体设备(上海)股份有限公司 一种改变气体流动模式的装置及晶圆处理方法和设备
JP6573498B2 (ja) * 2015-07-22 2019-09-11 東京エレクトロン株式会社 プラズマ処理装置
USD842259S1 (en) * 2017-04-28 2019-03-05 Applied Materials, Inc. Plasma chamber liner
KR20190092154A (ko) * 2018-01-30 2019-08-07 삼성전자주식회사 반도체 설비의 실링 장치 및 기류 산포 제어 장치
US20190287835A1 (en) * 2018-02-01 2019-09-19 Yield Engineering Systems, Inc. Interchangeable Edge Rings For Stabilizing Wafer Placement And System Using Same
JP6575641B1 (ja) * 2018-06-28 2019-09-18 株式会社明電舎 シャワーヘッドおよび処理装置
WO2020024859A1 (zh) * 2018-08-01 2020-02-06 北京北方华创微电子装备有限公司 反应腔室以及等离子体设备
US11270898B2 (en) 2018-09-16 2022-03-08 Applied Materials, Inc. Apparatus for enhancing flow uniformity in a process chamber
CN112908886B (zh) * 2019-11-19 2022-12-02 夏泰鑫半导体(青岛)有限公司 半导体处理设备
CN113838730B (zh) * 2020-06-08 2024-05-14 中微半导体设备(上海)股份有限公司 气体遮挡环、等离子体处理装置及调控聚合物分布的方法
US20220051912A1 (en) * 2020-08-12 2022-02-17 Taiwan Semiconductor Manufacturing Company Limited Gas flow control during semiconductor fabrication

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0237717A (ja) * 1988-07-27 1990-02-07 Tokyo Electron Ltd 処理装置
US6074512A (en) 1991-06-27 2000-06-13 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna and modular confinement magnet liners
US5800686A (en) 1993-04-05 1998-09-01 Applied Materials, Inc. Chemical vapor deposition chamber with substrate edge protection
US5900103A (en) 1994-04-20 1999-05-04 Tokyo Electron Limited Plasma treatment method and apparatus
US5441568A (en) 1994-07-15 1995-08-15 Applied Materials, Inc. Exhaust baffle for uniform gas flow pattern
US5885356A (en) 1994-11-30 1999-03-23 Applied Materials, Inc. Method of reducing residue accumulation in CVD chamber using ceramic lining
US5891350A (en) 1994-12-15 1999-04-06 Applied Materials, Inc. Adjusting DC bias voltage in plasma chambers
JP3362552B2 (ja) * 1995-03-10 2003-01-07 東京エレクトロン株式会社 成膜処理装置
US6054043A (en) * 1995-03-28 2000-04-25 Simpson; Theodore B. Process for the hydrogenation of hydro-carbonaceous materials (Carb-Mat) for the production of vaporizable products
JP2927211B2 (ja) 1995-06-21 1999-07-28 国際電気株式会社 ウェーハ処理装置
US6054013A (en) 1996-02-02 2000-04-25 Applied Materials, Inc. Parallel plate electrode plasma reactor having an inductive antenna and adjustable radial distribution of plasma ion density
US5788799A (en) 1996-06-11 1998-08-04 Applied Materials, Inc. Apparatus and method for cleaning of semiconductor process chamber surfaces
EP0821395A3 (en) * 1996-07-19 1998-03-25 Tokyo Electron Limited Plasma processing apparatus
KR19980071011A (ko) 1997-01-24 1998-10-26 조셉 제이. 스위니 고온 및 고 흐름 속도의 화학적 기상 증착 장치 및 관련증착 방법
JP3582287B2 (ja) 1997-03-26 2004-10-27 株式会社日立製作所 エッチング装置
JP3468446B2 (ja) 1997-05-20 2003-11-17 東京エレクトロン株式会社 プラズマ処理装置
JPH1074738A (ja) * 1997-07-11 1998-03-17 Kokusai Electric Co Ltd ウェーハ処理装置
US6273022B1 (en) 1998-03-14 2001-08-14 Applied Materials, Inc. Distributed inductively-coupled plasma source
US6170429B1 (en) 1998-09-30 2001-01-09 Lam Research Corporation Chamber liner for semiconductor process chambers
JP2000348897A (ja) * 1999-05-31 2000-12-15 Sumitomo Metal Ind Ltd プラズマ処理装置
JP4320924B2 (ja) * 1999-06-15 2009-08-26 東京エレクトロン株式会社 パーティクル計測装置及び処理装置
US6471782B1 (en) * 1999-11-23 2002-10-29 Tokyo Electronic Limited Precursor deposition using ultrasonic nebulizer
JP4592856B2 (ja) 1999-12-24 2010-12-08 東京エレクトロン株式会社 バッフル板及びガス処理装置
US6767429B2 (en) 2000-01-12 2004-07-27 Tokyo Electron Limited Vacuum processing apparatus
JP4409714B2 (ja) * 2000-04-07 2010-02-03 東京エレクトロン株式会社 枚葉式熱処理装置
US6589361B2 (en) 2000-06-16 2003-07-08 Applied Materials Inc. Configurable single substrate wet-dry integrated cluster cleaner
JP4731760B2 (ja) * 2001-08-23 2011-07-27 東京エレクトロン株式会社 真空処理装置および真空処理方法
JP4236873B2 (ja) * 2002-06-21 2009-03-11 東京エレクトロン株式会社 マグネトロンプラズマ処理装置

Also Published As

Publication number Publication date
CN100421211C (zh) 2008-09-24
TW200538577A (en) 2005-12-01
JP2007533138A (ja) 2007-11-15
KR101184070B1 (ko) 2012-09-18
KR20060129543A (ko) 2006-12-15
WO2005101461B1 (en) 2005-12-15
US8236105B2 (en) 2012-08-07
CN1947221A (zh) 2007-04-11
WO2005101461A1 (en) 2005-10-27
US20050224180A1 (en) 2005-10-13
TWI328619B (en) 2010-08-11

Similar Documents

Publication Publication Date Title
JP5294626B2 (ja) 半導体基板処理チャンバ内のガス流を制御するための装置
US11476093B2 (en) Plasma etching systems and methods with secondary plasma injection
KR102451669B1 (ko) 플라즈마 프로세싱을 위한 가변하는 두께를 갖는 상부 전극
US8360003B2 (en) Plasma reactor with uniform process rate distribution by improved RF ground return path
TWI502619B (zh) 用於電漿處理設備之電極、電漿處理設備、以及使用電漿處理設備產生電漿的方法
US20210375648A1 (en) Power feeding mechanism and method for controlling temperature of a stage
JP7228989B2 (ja) 載置台、エッジリングの位置決め方法及び基板処理装置
JP2002151496A (ja) 陰極に接地コンデンサを有する多重周波数プラズマチャンバ
JP2010528458A (ja) 容積可変型プラズマ処理チャンバおよびその方法
JP2016522539A (ja) 均一なプラズマ密度を有する容量結合プラズマ装置
JP2023053335A (ja) 載置台及び基板処理装置
JP2012049376A (ja) プラズマ処理装置およびプラズマ処理方法
US20190221403A1 (en) Plasma processing apparatus including shower head with sub-gas ports and related shower heads
US10600622B2 (en) Focus ring with uneven pattern and plasma-processing apparatus including the same
US11488804B2 (en) Shower head assembly and plasma processing apparatus having the same
JP7175160B2 (ja) 基板処理装置
US20220122820A1 (en) Substrate processing apparatus
US11244837B2 (en) Process gas supply apparatus and wafer treatment system including the same
JP2020077659A (ja) 被処理体の処理方法及びプラズマ処理装置
US20210020408A1 (en) Substrate support assembly, substrate processing apparatus, and edge ring
US20210183629A1 (en) Ring assembly, substrate support assembly and substrate processing apparatus
KR20220044705A (ko) 샤워 헤드 유닛 및 이를 구비하는 기판 처리 시스템
KR200475446Y1 (ko) 플라즈마 프로세싱 챔버를 위한 낮은 경사의 엣지 링

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20080327

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20110114

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110125

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20110425

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20110506

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20110525

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20110601

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20110624

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20110701

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110725

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120306

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20120606

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20120613

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20120705

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20120725

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20120806

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20120813

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120906

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20121211

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130321

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20130328

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20130521

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20130611

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

LAPS Cancellation because of no payment of annual fees