JP5200276B2 - インラインリソグラフィ及びエッチングシステム - Google Patents

インラインリソグラフィ及びエッチングシステム Download PDF

Info

Publication number
JP5200276B2
JP5200276B2 JP2010501280A JP2010501280A JP5200276B2 JP 5200276 B2 JP5200276 B2 JP 5200276B2 JP 2010501280 A JP2010501280 A JP 2010501280A JP 2010501280 A JP2010501280 A JP 2010501280A JP 5200276 B2 JP5200276 B2 JP 5200276B2
Authority
JP
Japan
Prior art keywords
data
wafer
processing
wafers
measurement
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2010501280A
Other languages
English (en)
Other versions
JP2010524209A (ja
Inventor
ジー ウィンクラー,マーク
イー ウィンター,トーマス
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US11/730,279 external-priority patent/US7783374B2/en
Priority claimed from US11/730,339 external-priority patent/US7935545B2/en
Priority claimed from US11/730,341 external-priority patent/US7650200B2/en
Priority claimed from US11/730,284 external-priority patent/US7596423B2/en
Priority claimed from US11/730,202 external-priority patent/US7531368B2/en
Priority claimed from US11/730,283 external-priority patent/US7373216B1/en
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of JP2010524209A publication Critical patent/JP2010524209A/ja
Application granted granted Critical
Publication of JP5200276B2 publication Critical patent/JP5200276B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/418Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM]
    • G05B19/41865Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM] characterised by job scheduling, process planning, material flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/14Measuring as part of the manufacturing process for electrical parameters, e.g. resistance, deep-levels, CV, diffusions by electrical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/30Structural arrangements specially adapted for testing or measuring during manufacture or treatment, or specially adapted for reliability measurements
    • H01L22/34Circuits for electrically characterising or monitoring manufacturing processes, e. g. whole test die, wafers filled with test structures, on-board-devices incorporated on each die, process control monitors or pad structures thereof, devices in scribe line
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/45Nc applications
    • G05B2219/45031Manufacturing semiconductor wafers
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P90/00Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
    • Y02P90/02Total factory control, e.g. smart factories, flexible manufacturing systems [FMS] or integrated manufacturing systems [IMS]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P90/00Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
    • Y02P90/80Management or planning

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Automation & Control Theory (AREA)
  • General Engineering & Computer Science (AREA)
  • Quality & Reliability (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • General Factory Administration (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Description

本発明はウエハ処理に関し、より詳細にはサイト依存(S-D)処理及びサブシステムを用いたウエハ処理の改善に関する。
集積回路に用いられる現在の製造方法及び工場の設計は多くの装置を必要とする。これら多くの装置は、スタンドアローンのプラットフォームとして設けられているか、又は全体の領域で群をなす-通常2000フィート以上間隔を開けて設けられている-ようにして設けられている。従ってこれらの装置を動かす設備は、工場全体に広く分布していなければならない。これらのプラットフォームに必要とされる典型的な機能は、基板コーティング(密着、BARC、TARC、レジスト、上部コーティング)、ベーキング(塗布後ベーキング及び露光後ベーキング)、描画(露光)、計測(オーバーレイ、限界寸法、欠陥、及び膜厚)、浸漬処理を用いた露光前及び露光後洗浄、(下地の薄膜中でのパターンを画定する)エッチング、並びにエッチング後洗浄(ポリマー及び他の副生成物の除去)である。32nm未満のゲート長を目指す技術では、半導体デバイスの1層の活性層が完成するまでこれらの動作を繰り返すこと-つまり二重BARC、二重若しくは三重パターニング、又は三重描画等-が必要となる。
米国特許出願公開第20080243297号明細書 米国特許第7596423号明細書 米国特許出願公開第20080243295号明細書 米国特許出願公開第20080241970号明細書 米国特許出願公開第20080241971号明細書 米国特許第6913900号明細書 国際公開第2005/003911号パンフレット 米国特許第7092110号明細書 米国特許第7588949号明細書 米国特許第7388677号明細書 米国特許第6785638号明細書
サイモン(Simon Haykin)、「ニューラルネットワーク(Neural Networks)」、プレンティスホール(Prentice Hall)、1999年
15nmゲート技術にとって要求されるゲートレベルの欠陥密度は、ITRS2005のロードマップでは10nmで約0.01/cm2となる予測である。限界寸法制御は、エッチング後のゲート素子については約0.6nm(3σ)を必要とする。これらの性能を備えたリソグラフィ及びエッチング処理装置は存在しない。
これら先端技術は、受容可能なデバイス結果を維持するため、ウエハ間でのプロセス調節をリアルタイムで行うことを必要とする。欠陥に係る要件により、工場内において装置間での移動を少なくすることが求められる。なぜならこれらの移動は欠陥及び工場のクリーンルーム費用を生じさせるからである。
今日用いられているプラットフォームは製造用の「島」として機能している。このため、最善のCoO開発の余裕はなく、又は最適なプロセス制御も不可能である。今日の300mmトラック設計は、一部の露光装置製造者によって可能と主張されているような、300枚/時間ものスループットを満たすことはできない。
本発明は、S-D処理処理及び/又はS-D評価処理を用いたリアルタイムでのウエハ処理方法を供する。一部の実施例では、1つ以上のサブシステム及び/又はシステム内の1つ以上の制御装置が、リアルタイムS-Dパラメータを用いたS-D処理処理及び/又はS-D評価処理の実行に用いられて良い。それに加えて、S-D処理処理及び/又S-D測定処理が履歴データを用いて動作しても良い。
他の一部の実施例では、本発明はS-Dを検証する方法及び装置を供する。一の工程では、第1組のS-Dウエハが1つ以上の処理サブシステム内の1つ以上のS-D処理装置によって受け取られて良く、かつ1つ以上のS-D処理装置は1つ以上のS-D搬送サブシステムと結合して良い。各ウエハはそのウエハに関連するデータを有して良い。そのウエハデータは履歴データ及び/又はリアルタイムデータを有して良い。他の工程では、第1組の未検証S-Dウエハが1つ以上のS-D処理装置を用いた第1S-D生成処理を実行することによって生成されて良く、かつ1つ以上の未検証評価部位が各未検証S-Dウエハ上の第1数の評価サイトに生成されて良い。S-Dウエハ状態データが各未検証S-Dウエハについて作成されて良い。S-Dウエハ状態データは、各未検証S-Dウエハについての必要な生成サイト数及び必要な評価サイト数を有して良い。また第1数の未検証S-Dウエハを含む第1組の評価用ウエハが作製されて良い。第1組の評価用ウエハが第1S-D評価処理を用いて評価されて良い。続いて1つ以上のS-D搬送サブシステムと結合する1つ以上のサブシステム内の複数のS-D評価装置について第1動作状態が作成されて良い。第1数の利用可能な評価装置は、1つ以上のS-D評価装置について第1動作状態を用いて決定されて良い。第1S-D搬送シーケンスが、ウエハデータ、S-Dウエハデータ、第1数のS-D評価用ウエハ、若しくは第1数の利用可能な評価装置、又はこれらの結合を用いて作成されて良い。S-D評価用ウエハの数が利用可能な評価装置の個数である第1数以下であるとき、第1組のS-D評価用ウエハは、第1S-D搬送シーケンスを用いることによって1つ以上の評価サブシステム内の第1数の利用可能な評価装置へ搬送されて良い。S-D評価用ウエハの数が利用可能な評価装置の数である第1数よりも多いとき、第1補正動作がなされる。それに加えて、本発明はS-Dウエハを検証するシステムを供する。当該システムは、第1組のウエハを受け取るように備えられた1つ以上の処理サブシステム内の1つ以上の処理装置、及び該1つ以上の処理装置と結合する1つ以上の搬送サブシステムを有して良い。1つ以上のS-D処理装置は、第1S-D生成処理を実行することによって第1組の未検証S-Dウエハを生成するように備えられていて良い。1つ以上の未検証評価用部位が、各未検証S-Dウエハ上の第1数の評価用サイトにて生成されて良い。当該システムはまた、1つ以上の処理サブシステム内の1つ以上のS-D処理装置及び1つ以上のS-D搬送サブシステムと結合する1つ以上の制御装置をも有して良い。1つ以上の制御装置は、各未検証S-DウエハについてのS-Dウエハ状態データを作成するように備えられていて良い。S-Dウエハ状態データは、各未検証S-Dウエハについて、多数の必要とされる生成用サイト及び多数の必要とされる評価用サイトを有する。これは、第1S-D評価処理を用いて評価される、第1数の未検証S-Dウエハを有する第1組の評価用ウエハを作製するため、1つ以上のS-D搬送サブシステムと結合する1つ以上のサブシステム内の複数のS-D評価装置についての第1動作状態を作成するため、前記の1つ以上のS-D評価装置についての第1動作状態を用いることによって第1数の利用可能な評価装置を決定するため、ウエハデータ、S-Dウエハ状態データ、第1数のS-D評価用ウエハ、若しくは第1数の利用可能な評価装置、又はこれらの結合を用いて第1S-D搬送シーケンスを作成するため、並びに、S-D評価用ウエハの数が利用可能な評価装置の数である第1数以下であるときに第1補正動作を適用するためである。
一部の追加実施例では、本発明はS-D処理を検証する方法及び装置を供する。検証されたS-D生成処理は、被処理ウエハ上の1つ以上の地点にて1つ以上の検証された評価用部位を生成して良い。リスク因子が減少するとき、処理の検証に必要なサイト数もまた減少し、かつこれによってスループットを向上させることが可能となる。それに加えて、信頼値が上昇するとき、処理の検証に必要とされるサイト数もまた減少し、かつこれによりスループットを向上させることが可能となる。十分な品質の製品では、検証の判断は、より少数のウエハ及びより少数のサイトを用いても可能である。一の工程では、1つ以上のサイト依存(S-D)ウエハが、1つ以上の処理サブシステム内の1つ以上のS-D処理装置と結合可能な1つ以上のS-D搬送サブシステムによって受け取られて良い。各ウエハは関連するウエハデータを有して良い。そのウエハデータは履歴及び/又はリアルタイムデータを有して良い。様々な工程では、各ウエハについてS-Dウエハ状態データが決定されて良く、S-Dウエハ状態データ及びウエハデータを用いることによって第1組の検証用ウエハが作製されて良く、かつ第1組の検証用ウエハは多数のS-Dウエハを有して良い。各検証用ウエハについて、必要とされる検証用サイト数、アクセスされる検証用サイト数、及び他の検証用サイト数が、S-Dウエハ状態データから決定されて良い。次に、第1組の検証用ウエハ中の第1検証用ウエハについて、第1の処理検証シーケンスが、ウエハデータ、S-Dウエハ状態データ、必要な検証用サイト数、検証のためにアクセスされたサイト数、若しくは他の検証用サイト数、又はこれらの結合を用いて作成されて良い。第1検証用ウエハについて、処理検証シーケンスを用いることによって第1S-D検証処理が決定されて良く、かつ第1S-D検証処理は1つ以上の処理を有して良い。第1S-D処理装置が利用可能であるとき、第1検証用ウエハは、第1処理サブシステムと結合するS-D搬送サブシステムを用いることによって、第1処理サブシステム内の第1S-D処理装置へ搬送されて良い。第1S-D処理装置が利用可能でないとき、第1評価用ウエハは、S-D搬送サブシステムを用いることによって、第1期間だけ搬送が猶予(delay)される。
さらに他の実施例では、本発明はS-D評価用ライブラリの生成方法を供する。当該方法は、S-D処理シーケンスを用いてウエハ上の1層以上の層内にS-D参照用構造を生成する工程、評価サブシステムを用いることによって前記S-D参照用構造についてのS-D評価データを取得する工程、前記S-D評価データと予測されたライブラリ関連データとを比較する工程、前記評価データについての信頼性データとリスク評価データを作成する工程、リスク評価限界が満たされているときに前記参照用構造を検証された構造として認定する工程、及び、前記の検証された参照用構造に係るデータをS-D評価用ライブラリに保存する工程、を有する。S-D評価用ライブラリ内のデータは複数の種類の波長からなるS-D組、及び、一致条件が見つかったときには一致条件に関するS-D評価用ライブラリデータを用いてS-D参照用構造を認定し、又は一致条件を見つけることができないときには第1補正行為を適用すること、によって特徴付けられる。それに加えて、本発明はS-D評価用ライブラリを生成するシステムを供する。当該システムは、ウエハ上の1層以上の層内にS-D参照用構造を生成するS-D処理サブシステム、評価データを供するS-D評価サブシステム、並びに、前記評価データと予測されたデータとの比較、及びS-D評価用ライブラリ内への検証された参照用構造に係るデータの保存を行う制御装置を有して良い。
追加実施例では、本発明は、サイト依存(S-D)処理装置、S-D評価装置、S-D生成装置、若しくはS-D評価装置、又はこれらの結合を用いることによってデュアルダマシン処理を実行するシステム及び方法を供する。
他の追加実施例では、本発明は複数のS-D処理を用いた二重パターニング処理シーケンスを実行する方法を供する。当該方法は処理システム内の第1S-D搬送サブシステムによって第1組のウエハを受け取る工程を有して良い。当該処理システムは、1つ以上のリソグラフィサブシステム、1つ以上のスキャナサブシステム、1つ以上のエッチングサブシステム、1つ以上の熱サブシステム、1つ以上の評価サブシステム、1つ以上の検査サブシステム、1つ以上の再加工サブシステム、若しくは1つ以上の堆積サブシステム、又はこれらの結合を有して良い。
本発明の他の態様は以降の詳細な説明及び添付図面から明らかとなる。
本発明の実施例による処理システムの典型的なブロック図である。 本発明の実施例によるS-D処理を用いたウエハ処理方法の典型的なフローダイアグラムを表している。 本発明の実施例によるウエハマップの簡略化された図を示している。 本発明の実施例による典型的なサブシステムの簡略化されたブロック図を示す。 本発明の実施例によるS-D部位、S-Dウエハ、及び/又はS-D処理を検証する方法の典型的なフローダイアグラムを表す。 本発明の実施例によるS-D評価用ライブラリを生成する方法の典型的なフローダイアグラムを表す。 S-D処理を用いたデュアルダマシン構造の生成方法の典型的なフローダイアグラムを表す。 S-D評価用ライブラリを生成する方法の他の典型的なフローダイアグラムを表す。 複数のモジュールからなるシステムを図示する本発明の実施例のブロック図である。ここで各モジュールはウエハを処理するのに必要な全ての装置を含む。
本発明は例示として添付された概略図を参照することによって説明される。図中対応する参照番号は対応する部品を表す。
本発明は、サイト依存(S-D)処理、シーケンス、及び/又はサブシステムを用いることによって、上に多数の半導体デバイスを有するウエハを処理する装置及び方法を供する。ウエハが受け取られるとき、そのウエハはサイト依存(S-D)ウエハ又は非サイト依存(N-S-D)ウエハとして識別されて良い。様々な実施例では、S-D搬送シーケンスの実行、S-Dウエハの処理、S-D評価用ライブラリの生成、1つ以上のS-D生成処理及び/又は1つ以上のS-D評価処理を有することのできるS-D処理シーケンスの実行、S-D検証処理の実行を行う装置及び方法が供される。
処理システムは、S-D処理装置、S-D評価装置、並びに、S-D処理装置及びS-D評価装置と結合する1つ以上のS-D搬送サブシステムを有して良い。あるいはその代わりに他の構成が用いられても良い。
1つ以上のサイトがS-Dウエハ上の様々な地点に供されて良い。サイトはプロセスに関連して良い。そのサイトのうちの1つ以上はS-D評価及び/又は検証処理で用いられて良い。S-D評価及び/又は検証処理は、S-D搬送シーケンス、S-Dウエハ、S-D処理、S-D評価用ライブラリ、S-D処理シーケンス、若しくは処理工程で用いられる特定のサイト、又はこれらの結合を評価及び/又は検証するのに用いられて良い。
S-Dウエハは関連するウエハデータを有して良い。そのウエハデータはリアルタイム及び履歴データを有して良い。ウエハデータはS-D及び/又はN-S-Dデータであって良い。それに加えて、ウエハデータは、ウエハについての信頼性データ及び/又はリスクデータを有して良い。S-Dウエハは関連するサイトデータを有して良い。そのサイトデータは、必要なサイト数、アクセスしたサイト数、1つ以上のサイトについての信頼性データ及び/若しくはリスクデータ、サイトランキングデータ、搬送シーケンスデータ、プロセス関連データ、評価/検証関連データ、又はこれらの結合を有して良い。ウエハデータは、S-D搬送シーケンス特性の設定に用いることのできる1つ以上の搬送シーケンス変数を有して良い。スループットの最適化、処理装置の利用の最大化、評価装置の利用の最大化、不具合を有するウエハの可及的速やかな再加工を行うため、S-D搬送シーケンスはリアルタイムで変化して良い。ウエハデータは、S-D処理シーケンス特性の設定に用いることのできる1つ以上の処理シーケンス変数を有して良い。スループットの最適化、処理装置の利用の最大化、評価装置の利用の最大化、不具合を有するウエハの可及的速やかな再加工、オフラインの及び/又は不具合を有する装置の回避、1つ以上のサイトが評価及び/又は検証されたときのウエハの搬送を行うため、S-D搬送シーケンスはリアルタイムで変化して良い。
各S-Dウエハについて、S-D搬送シーケンス及び/又はS-D処理シーケンスもまた、ウエハデータを用いることによって設定されて良い。S-D処理シーケンスは本明細書において詳述される様々な条件に基づいて設定されて良い。S-D搬送シーケンスは本明細書において詳述される様々な条件に基づいて設定されて良い。
S-D搬送シーケンスは、各ウエハにとって必要なサイト数、処理を必要とするウエハ数、利用可能なS-D処理装置数、及びS-D搬送サブシステムについての搬入データに基づいて設定されて良い。
S-D搬送シーケンスはまた、最小時間内に第1ウエハ上の必要サイトのうちの第1サイトについての信頼性データを取得し、最小時間内に第1ウエハ上の必要サイトのうちの1つ以上のサイトについての信頼性データを取得し、最小時間内に第1ウエハ上の必要サイトのうちの全てのサイトについての信頼性データを取得し、最小時間内に1つ以上の追加ウエハ上の必要サイトのうちの第1サイトについての信頼性データを取得し、最小時間内に1つ以上の追加ウエハ上の必要サイトのうちの1つ以上のサイトについての信頼性データを取得し、最小時間内に1つ以上の追加ウエハ上の必要サイトのうちの全てのサイトについての信頼性データを取得し、最小時間内に第1群に属する全てのウエハ上の第1必要サイトについての信頼性データを取得し、最小時間内に第1群に属する全てのウエハ上の1つ以上の必要サイトについての信頼性データを取得し、及び/又は最小時間内に第1群に属する全てのウエハ上の全ての必要サイトについての信頼性データを取得するように設定されて良い。
他の実施例では、S-D搬送シーケンスは、最小時間内に第1ウエハ上についてのリスクデータを取得し、最小時間内に1つ以上の追加ウエハ上についてのリスクデータを取得し、及び/又は最小時間内に第1群に属する全てのウエハ上についてのリスクデータを取得するように設定されて良い。それに加えて、搬送シーケンスは、最小時間内に第1ウエハ上についての新たなウエハデータを取得し、最小時間内に1つ以上の追加ウエハ上についての新たなウエハデータを取得し、及び/又は最小時間内に第1群に属する全てのウエハ上についての新たなウエハデータを取得するように設定されて良い。たとえば、S-D及び/又はN-S-Dウエハが用いられて良く、S-D及び/又はN-S-D信頼性データが取得されて良く、かつS-D及び/又はN-S-Dリスクデータが取得されて良い。
さらに他の実施例では、S-D搬送シーケンスは、最小時間内に第1処理についてのリスクデータを取得し、最小時間内に1つ以上の追加処理についてのリスクデータを取得し、及び/又は最小時間内に第1ライブラリから第1群に属する全ての処理についてのリスクデータを取得するように設定されて良い。
追加実施例では、S-D搬送シーケンスは、最小時間内に第1ライブラリ関連データを取得し、最小時間内に追加ライブラリ関連データを取得し、及び/又は最小時間内に第1ライブラリの第1サブセットに属する全てのライブラリ関連データを取得するように設定されて良い。たとえばS-D及び/又はN-S-Dライブラリ関連データが取得されて良い。
それに加えて、S-D搬送シーケンスは、ウエハを、1つ以上の指定された処理装置及び/又は評価装置へ、1つ以上の利用可能な処理装置及び/又は評価装置へ、1つ以上の「高性能(golden)」の処理装置及び/又は評価装置へ、1つ以上の低リスク処理装置及び/又は評価装置へ、1つ以上の高信頼性処理装置及び/又は評価装置へ搬送するように設定されて良い。たとえば、S-D及び/又はN-S-Dウエハが用いられて良く、S-D及び/又はN-S-D処理装置が用いられて良く、かつS-D及び/又はN-S-D評価装置が用いられて良い。
追加実施例では、1つ以上の処理装置及び/又は評価装置が利用可能でないとき、S-D搬送シーケンスはS-D搬送サブシステムを用いるように設定されて良く、又は、1つ以上の処理装置及び/又は評価装置が利用可能でないとき、S-D搬送シーケンスはS-D搬送サブシステムを用いて所定期間だけウエハを「猶予」及び/又は「保存」するように設定されて良く、又は、1つ以上の処理装置及び/又は評価装置が利用可能でないとき、S-D搬送シーケンスはS-D搬送サブシステムを用いて最小時間内にウエハを他のサブシステムへ搬送するように設定されて良い。
S-D搬送シーケンスはまた、最小時間内に「猶予」及び/又は「保存」されたウエハを1つ以上の処理装置及び/又は評価装置へ搬送し、1つ以上の新たに利用可能となった処理装置及び/又は評価装置へ搬送し、ある期間後に利用可能となった1つ以上の処理装置及び/又は評価装置へ搬送し、1つ以上の低リスク処理装置及び/又は評価装置へ搬送し、あるいは1つ以上の高信頼性処理装置及び/又は評価装置へ搬送するように設定されて良い。
他の追加実施例では、S-D搬送シーケンスは、最小時間内に「猶予」及び/又は「保存」されたウエハを1つ以上の処理装置及び/又は評価装置へ搬送し、1つ以上の新たに利用可能となった処理装置及び/又は評価装置へ搬送し、ある期間後に利用可能となった1つ以上の処理装置及び/又は評価装置へ搬送し、1つ以上の低リスク処理装置及び/又は評価装置へ搬送し、あるいは1つ以上の高信頼性処理装置及び/又は評価装置へ搬送するように設定されて良い。
S-D搬送シーケンスは、前処理及び/又は後処理のため、1つ以上のサブシステムへウエハを搬送するように設定されて良い。たとえば、S-Dウエハデータ-たとえばウエハプロファイルデータ、ウエハ厚さデータ、ウエハ温度データ、若しくは光学データ、又はこれらの結合-が前処理及び/又は後処理中に取得されて良い。エラーが発生するとき、S-D搬送シーケンスは、最小時間内に1つ以上の再加工サブシステムへウエハを搬送するように設定されて良い。
S-D搬送シーケンスは、上に少なくとも1つの検証されたデバイスを備えた状態で処理を続けることを可能にすることで、歩留まりを最大にし、操作者の介入を可能にし、ホストシステムの介入を可能にし、及び/又はスキャナサブシステムによって生じた遅延を最小限にするように設定されて良い。現在の工場システムは、ウエハを搬送するためのS-D搬送サブシステム及び/又はウエハを処理するためのS-D処理サブシステムを有していない。それに加えて、現在の工場システムは、ウエハを処理するため、及び/又は、ウエハの処理後に一のサブシステムから他のサブシステムへS-Dウエハデータをやり取りするためのS-D処理を有していない。ウエハ処理によって生じるS-Dばらつきはウエハ全体にわたって均一ではなく、かつ、S-Dばらつきは、チャンバ間でのばらつき、処理時間、処理用化学物質、長期にわたるチャンバドリフトを有して良い。
部位のサイズが65nmノード未満にまで減少することで、正確な処理及び/又は測定データがより重要となり、かつ取得するのが難しくなる。S-D処理は、これらの極小部位をより正確に処理及び/又は測定するのに用いられて良い。S-Dデータは警告及び/又は制御限界と比較されて良い。動作規則に違反するときには、警報が発生して、処理に問題が生じたことを示唆する。
図1は本発明の実施例による処理システムの典型的なブロック図を示している。図示された実施例では、処理システム100は、システム制御装置195、第1リソグラフィサブシステム110、スキャナサブシステム115、第2リソグラフィサブシステム120、第3リソグラフィサブシステム125、熱処理サブシステム130、検査サブシステム135、エッチングサブシステム140、堆積サブシステム145、評価サブシステム150、及び再加工サブシステム155を有する。単一のサブシステム(110、115、120、125、130、135、140、145、150、及び155)が図示された実施例には示されているが、多数のサブシステムが用いられても良い。たとえば実施例によっては、多数のサブシステム(110、115、120、125、130、135、140、145、150、及び155)が処理システム100内で用いられて良い。それに加えて、1つ以上のサブシステム(110、115、120、125、130、135、140、145、150、及び155)が、1つ以上のプロセスを処理するのに用いることのできる1つ以上の処理装置を有して良い。
システム制御装置195は、データ転送サブシステム106を用いることによって、第1リソグラフィサブシステム110、スキャナサブシステム115、第2リソグラフィサブシステム120、第3リソグラフィサブシステム125、熱処理サブシステム130、検査サブシステム135、エッチングサブシステム140、堆積サブシステム145、評価サブシステム150、及び再加工サブシステム155と結合して良い。たとえば第2リソグラフィサブシステム120は(浸漬後)洗浄サブシステム(図示されていない)を有して良い。
第1リソグラフィサブシステム110は、第1S-D搬送サブシステム101と111aで結合し、かつ第2S-D搬送サブシステム102と111bで結合して良い。スキャナサブシステム115は、第1S-D搬送サブシステム101と116aで結合し、かつ第2S-D搬送サブシステム102と116bで結合して良い。第2リソグラフィサブシステム120は、第1S-D搬送サブシステム101と121aで結合し、かつ第2S-D搬送サブシステム102と121bで結合して良い。第3リソグラフィサブシステム125は、第1S-D搬送サブシステム101と126aで結合し、かつ第2S-D搬送サブシステム102と126bで結合して良い。熱処理サブシステム130は、第1S-D搬送サブシステム101と131aで結合し、かつ第2S-D搬送サブシステム102と131bで結合して良い。検査サブシステム135は、第1S-D搬送サブシステム101と136aで結合し、かつ第2S-D搬送サブシステム102と136bで結合して良い。エッチングサブシステム140は、第1S-D搬送サブシステム101と141aで結合し、かつ第2S-D搬送サブシステム102と141bで結合して良い。堆積サブシステム145は、第1S-D搬送サブシステム101と146aで結合し、かつ第2S-D搬送サブシステム102と146bで結合して良い。評価サブシステム150は、第1S-D搬送サブシステム101と151aで結合し、かつ第2S-D搬送サブシステム102と151bで結合して良い。再加工サブシステム155は、第1S-D搬送サブシステム101と156aで結合し、かつ第2S-D搬送サブシステム102と156bで結合して良い。あるいはその代わりに他の結合配置が用いられても良い。
それに加えて、第3搬送サブシステム103は、第1S-D搬送サブシステム101と結合し、かつ第2S-D搬送サブシステム102と結合して良い。第3搬送サブシステム103は、他の搬送サブシステム及び/又は処理システム(図示されていない)と結合して良い。たとえば搬送システム(101、102、及び103)は、供給装置105と結合する搬送装置104を用いて、ウエハを受け取り、ウエハを搬送し、ウエハの位置合わせをし、ウエハを保存し、及び/又はウエハの搬送を猶予して良い。あるいはその代わりに他の搬送手段が用いられても良い。
製造実行システム(MES)180が、データ転送サブシステム106を用いることによってシステム制御装置195と結合して良い。あるいはその代わりに、工場レベル及び/又はホストシステムが用いられて良く、かつ他の結合手法が用いられても良い。代替実施例では、1つ以上の追加サブシステムが必要とされて良い。たとえばシステム制御装置195は他の処理システム及び/又はサブシステム(図示されていない)と結合して良い。あるいはその代わりに、他の構成が用いられて良く、かつ他の結合手法が用いられても良い。
第1リソグラフィサブシステム110は1つ以上の処理装置112を有して良い。その1つ以上の処理装置112は、内部搬送装置113と結合して良く、かつ/又は第1S-D搬送サブシステム101と111aで結合して良い。スキャナサブシステム115は1つ以上の処理装置117を有して良い。その1つ以上の処理装置117は、内部搬送装置118と結合して良く、かつ/又は第1S-D搬送サブシステム101と116aで結合して良い。第2リソグラフィサブシステム120は1つ以上の処理装置122を有して良い。その1つ以上の処理装置122は、内部搬送装置123と結合して良く、かつ/又は第1S-D搬送サブシステム101と121aで結合して良い。第3リソグラフィサブシステム125は1つ以上の処理装置127を有して良い。その1つ以上の処理装置127は、内部搬送装置128と結合して良く、かつ/又は第1S-D搬送サブシステム101と126aで結合して良い。熱処理サブシステム130は1つ以上の処理装置132を有して良い。その1つ以上の処理装置132は、内部搬送装置133と結合して良く、かつ/又は第1S-D搬送サブシステム101と131aで結合して良い。検査サブシステム135は1つ以上の処理装置137を有して良い。その1つ以上の処理装置137は、内部搬送装置138と結合して良く、かつ/又は第1S-D搬送サブシステム101と136aで結合して良い。エッチングサブシステム140は1つ以上の処理装置142を有して良い。その1つ以上の処理装置142は、内部搬送装置143と結合して良く、かつ/又は第1S-D搬送サブシステム101と141aで結合して良い。堆積サブシステム145は1つ以上の処理装置147を有して良い。その1つ以上の処理装置147は、内部搬送装置148と結合して良く、かつ/又は第1S-D搬送サブシステム101と146aで結合して良い。評価サブシステム150は1つ以上の処理装置152を有して良い。その1つ以上の処理装置152は、内部搬送装置153と結合して良く、かつ/又は第1S-D搬送サブシステム101と151aで結合して良い。再加工サブシステム155は1つ以上の処理装置157を有して良い。その1つ以上の処理装置157は、内部搬送装置158と結合して良く、かつ/又は第1S-D搬送サブシステム101と156aで結合して良い。様々な数の処理装置が1つのサブシステム内で用いられて良い。処理装置は、直列及び/又は並列に結合して良く、かつ1つ以上の入力ポート及び/又は1つ以上の出力ポートを有して良い。たとえば処理装置は、ツール、モジュール、チャンバ、センサ、及び/又は他のデバイスを有して良い。
一部の実施例では、サブシステムが追加搬送装置を有して良い。第1リソグラフィサブシステム110は、第2S-D搬送サブシステム102と111bで結合可能な1つ以上の内部搬送装置113を有して良い。スキャナサブシステム115は、第2S-D搬送サブシステム102と116b結合可能な1つ以上の内部搬送装置118を有して良い。第2リソグラフィサブシステム120は、第2S-D搬送サブシステム102と121bで結合可能な1つ以上の内部搬送装置123を有して良い。第3リソグラフィサブシステム125は、第2S-D搬送サブシステム102と126bで結合可能な1つ以上の内部搬送装置128を有して良い。熱処理サブシステム130は、第2S-D搬送サブシステム102と131bで結合可能な1つ以上の内部搬送装置133を有して良い。検査サブシステム135は、第2S-D搬送サブシステム102と136bで結合可能な1つ以上の内部搬送装置138を有して良い。エッチングサブシステム140は、第2S-D搬送サブシステム102と141bで結合可能な1つ以上の内部搬送装置143を有して良い。堆積サブシステム145は、第2S-D搬送サブシステム102と146bで結合可能な1つ以上の内部搬送装置148を有して良い。評価サブシステム150は、第2S-D搬送サブシステム102と151bで結合可能な1つ以上の内部搬送装置153を有して良い。再加工サブシステム155は、第2S-D搬送サブシステム102と156bで結合可能な1つ以上の内部搬送装置158を有して良い。他の実施例では、任意の数の搬送装置及び/又は搬送サブシステムが1つのシステム内で用いられて良い。搬送装置及び/又は搬送サブシステムは直列及び/又は並列に結合して良く、かつ1つ以上の入力ポート及び/又は1つ以上の出力ポートを有して良い。
第1リソグラフィサブシステム110は、データ転送サブシステム106を用いることによってシステム制御装置195及び/又は他の制御装置と結合可能な1つ以上の制御装置114を有して良い。スキャナサブシステム115は、データ転送サブシステム106を用いることによってシステム制御装置195及び/又は他の制御装置と結合可能な1つ以上の制御装置119を有して良い。第2リソグラフィサブシステム120は、データ転送サブシステム106を用いることによってシステム制御装置195及び/又は他の制御装置と結合可能な1つ以上の制御装置124を有して良い。第3リソグラフィサブシステム125は、データ転送サブシステム106を用いることによってシステム制御装置195及び/又は他の制御装置と結合可能な1つ以上の制御装置129を有して良い。熱処理サブシステム130は、データ転送サブシステム106を用いることによってシステム制御装置195及び/又は他の制御装置と結合可能な1つ以上の制御装置134を有して良い。検査サブシステム135は、データ転送サブシステム106を用いることによってシステム制御装置195及び/又は他の制御装置と結合可能な1つ以上の制御装置139を有して良い。エッチングサブシステム140は、データ転送サブシステム106を用いることによってシステム制御装置195及び/又は他の制御装置と結合可能な1つ以上の制御装置144を有して良い。堆積サブシステム145は、データ転送サブシステム106を用いることによってシステム制御装置195及び/又は他の制御装置と結合可能な1つ以上の制御装置149を有して良い。評価サブシステム150は、データ転送サブシステム106を用いることによってシステム制御装置195及び/又は他の制御装置と結合可能な1つ以上の制御装置154を有して良い。再加工サブシステム155は、データ転送サブシステム106を用いることによってシステム制御装置195及び/又は他の制御装置と結合可能な1つ以上の制御装置159を有して良い。あるいはその代わりに他の結合配置が用いられても良い。他の実施例では、任意の数の制御装置が1つのシステム内で用いられて良い。制御装置は、直列及び/又は並列に結合して良く、かつ1つ以上の入力ポート及び/又は1つ以上の出力ポートを有して良い。たとえば制御装置は、8ビット、16ビット、32ビット、及び/又は64ビットのプロセッサを有して良い。
それに加えて、イントラネット、インターネット、並びに有線及び/又は無線接続を用いることによって、サブシステム(110、115、120、125、130、135、140、145、150、及び155)が、互いに結合し、かつ他の装置と結合して良い。制御装置(114、119、124、129、134、139、144、149、154、159、及び195)が必要に応じて互いに結合して良い。
リアルタイムS-D処理を実行するときには、1つ以上の制御装置(114、119、124、129、134、139、144、149、154、159、及び195)が用いられて良い。制御装置はリアルタイムデータを受け取って、サブシステム、処理装置、プロセス、レシピ、プロファイル、及び/又はモデルデータを更新して良い。1つ以上の制御装置(114、119、124、129、134、139、144、149、154、159、及び195)は、リアルタイムデータを用いることによってリアルタイムS-D処理を実行し、かつ本明細書に記載されているようにリアルタイムS-Dデータを供して良い。一部の実施例では、1つ以上の制御装置が、MES180との1つ以上のSECSメッセージのやり取り、S-D情報の読み取り及び/若しくは除去、S-D情報のフィードフォワード及び/若しくはフィードバック、並びに/又はSECSとしてのS-D情報の送信に用いられて良い。1つ以上の制御装置(114、119、124、129、134、139、144、149、154、159、及び195)は、リアルタイムデータを用いることによってS-D処理を実行し、かつリアルタイムS-Dデータを供して良い。たとえば制御装置は、リアルタイムデータを含むメッセージの受信、処理、及び/又は送信に用いられて良い。
それに加えて、制御装置(114、119、124、129、134、139、144、149、154、159、及び195)は、必要に応じてメモリ(図示されていない)を有して良い。たとえばメモリ(図示されていない)は、情報及び制御装置(114、119、124、129、134、139、144、149、154、及び159)によって実行される命令の保存に用いられて良く、かつ処理システム100内の様々なコンピュータ/プロセッサによる命令の実行中での一時的な変数又は他の中間情報の記憶に用いられて良い。1つ以上の制御装置(114、119、124、129、134、139、144、149、154、及び159)又は他のシステム構成要素は、コンピュータによる読み取り可能媒体からデータ及び/又は命令を読み取る手段を有して良く、かつデータ及び/又は命令をコンピュータによる読み取り可能媒体へ書き込む手段を有して良い。
処理システム100は、メモリ内に含まれ、かつ/又はメッセージ内で受信される1つ以上の命令からなる1つ以上のシーケンスを実行する処理システム内のコンピュータ/プロセッサに応答して、本発明の処理工程の一部又は全部を実行して良い。係る命令は他のコンピュータ、コンピュータによる読み取り可能な媒体、又はネットワーク接続から受信されて良い。
コンピュータによる読み取り可能媒体のうちの任意の1つ又は任意の組合せに記憶されることで、本発明は、処理システムの制御、本発明を実施するデバイス又は装置の駆動、及び人間であるユーザーとの処理システム100との相互作用を実現するソフトウエアを有する。係るソフトウエアは、デバイスドライバ、オペレーティングシステム、開発ツール、及びアプリケーションソフトウエアを有して良いが、これらに限定されるわけではない。係るコンピュータによる読み取り可能媒体は、本発明を実施する際に実行される処理の一部又は全部(処理が分配されている場合)を実行する本発明に係るコンピュータプログラム製品をさらに有する。
本明細書で用いられているように「コンピュータによる読み取り可能媒体」とは、実行するためにプロセッサへ命令を供することに関与する任意の媒体を指称する。コンピュータによる読み取り可能媒体は任意の形態をとって良い。その任意の形態には、不揮発性媒体、揮発性媒体、及び伝送媒体が含まれるが、これらに限定されるわけではない。
サブシステム(110、115、120、125、130、135、140、145、150、及び155)は処理装置(図示されていない)を有して良い。実施例によっては、一体化されたシステムが東京エレクトロン株式会社(TEL)のシステム構成要素を用いるように備えられていて良い。別な実施例では、外部のサブシステム及び/又は装置が含まれても良い。処理装置は1つ以上のエッチング装置、堆積装置、ALD装置、測定装置、イオン化装置、研磨装置、コーティング装置、現像装置、露光装置、及び熱処理装置を有して良い。それに加えて、たとえばCD走査電子顕微鏡(CDSEM)装置、透過型電子顕微鏡(TEM)装置、集束イオンビーム(FIB)装置、ODP装置、原子間力顕微鏡(AFM)装置、又は他の光計測装置を含む測定装置が供されても良い。サブシステム及び/又は処理装置は、それぞれ異なるインターフェース要件を有して良い。制御装置は、これらのそれぞれ異なるインターフェース要件を満たすように備えられていて良い。
1つ以上のサブシステム(110、115、120、125、130、135、140、145、150、及び155)は制御コンポーネント、GUIコンポーネント、及び/又はデータベースコンポーネント(図示されていない)を有して良い。たとえばGUIコンポーネント(図示されていない)は、操作の容易なインターフェースを供する。その操作の容易なインターフェースによって、ユーザーは、状態の監視、サイト依存(S-D)及び/若しくは非S-D処理、戦略、計画、エラー、故障、データベース、規則、レシピ、モデル化アプリケーション、シミュレーション、並びに/又はスプレッドシートアプリケーション、eメールメッセージ、並びに診断用スクリーンの生成/監視/編集を可能にする。当業者にとっては明らかであるように、GUIコンポーネントは全機能にインターフェースを供することを要せず、かつこれらの機能のサブセット又は本明細書で列挙されていない他の機能についてインターフェースを供して良い。
1つ以上の制御装置(114、119、124、129、134、139、144、149、154、及び159)は、及び/又はシステム制御装置195は、MES180と情報をやり取りするデータ転送システム190及び他のサブシステムと結合して良い。データ転送システム190は有線及び無線のコンポーネントを有して良い。
サブシステム(110、115、120、125、130、135、140、145、150、及び155)及び/又は制御装置(114、119、124、129、134、139、144、149、154、及び159)は、高性能プロセス制御(APC) アプリケーション、装置異常検出及び分類(FDC)アプリケーション、並びに/又はラン・トゥー・ラン(R2R)アプリケーションを有して良い。一部の実施例では、S-DであるAPCアプリケーション、S-DであるFDCアプリケーション、及び/又はS-DであるR2Rアプリケーションが実行されて良い。
一部の実施例では、1つ以上の制御装置(114、119、124、129、134、139、144、149、154、159、及び195)は、S-Dプロセス最適化処理、S-Dモデル最適化処理、若しくはS-Dライブラリ最適化処理、又はこれらを結合した最適化処理を実行して良い。S-D最適化処理は、ウエハデータ、モデル、レシピ、及びプロファイルデータを用いて処理の更新及び/又は最適化を行って良い。たとえばS-D最適化処理は、リアルタイムで動作して良い。リアルタイムS-D最適化を用いることによって、より正確なプロセス結果を実現することができる。65nmノード未満で同様な幾何学的手法では、より正確な結果が求められる。
プロセスレシピ、プロファイル、モデル、及び/若しくはプロセス結果に影響を及ぼすと考えられる材料並びに/又はプロセス結果は、1つのウエハ内のサイト間で、ウエハ間で、及びロット間で変化する恐れがある。これらのばらつきは、1つ以上のサブシステム(110、115、120、125、130、135、140、145、150、及び155)での変化及び/又は問題によって引き起こされると考えられる。不均一な膜及び/又は不均一なプロセスは問題を生じさせる恐れがある。それに加えて、装置間でのばらつき、チャンバ間でのばらつき、及びチャンバのドリフトは時間の経過と共に問題となる。エンドポイント及び犠牲層を用いた底部CDの制御の性質に起因して、エッチングプロセス中では、厚さ及び/又は均一性は、1つのウエハ内でのサイト間で、ウエハ間で、及びロット間で変化すると考えられる。それに加えて、厚さのばらつきは光学特性及び他の物理的特性の変化を引き起こす恐れがある。S-D処理は、「オーバーエッチング」によって生じる問題を解決又は緩和するのに用いられて良い。
S-D処理からの出力データ及び/又はメッセージは、プロセスの正確さ及び精度を最適化するために後続の処理において用いられて良い。データは、リアルタイム変数としてリアルタイムでS-D計算処理へ受け渡されて良い。それにより現在のモデルのデフォルト値が上書きされ、かつ正確な結果を実現するための調査空間が絞り込まれる。情報は、ライブラリに基づくシステム、若しくはリアルタイム再帰工程、又はこれらの結合において用いられることで、処理が最適化されて良い。
たとえば150のような評価サブシステムは、一体化されたオプティカル・ディジタル・プロフィロメトリ(iODP)装置(図示されていない)を有して良い。あるいはその代わりに他の計測システムが用いられても良い。iODP装置はティンバーテクノロジー(Timbre Technologies)社(東京エレクトロン株式会社)から販売されている。たとえばODP技術は、限界寸法(CD)データ、構造プロファイルデータ、又はビアプロファイルデータを取得するのに用いられて良い。iODPデータについての波長の範囲は、約200nm未満から約900nmよりも大きな値であって良い。典型的なiODP装置は、ODPプロファイラライブラリ、プロファイラアプリケーションサーバ(PAS)、及びODPプロファイラソフトウエアを有して良い。ODPプロファイラライブラリは、光学スペクトルについての用途特定データベース、及びそれに対応する半導体プロファイル、CD、及び膜厚を有して良い。PASは光ハードウエア及びコンピュータネットワークと接続する少なくとも1つのコンピュータを有して良い。PASは、データ通信、ODPライブラリ操作、測定処理、結果の発生、結果の解析、及び結果の出力を供するように備えられていて良い。ODPプロファイラソフトウエアは、PASにインストールされたソフトウエアを有して良い。そのソフトウエアは、計測レシピ、ODPプロファイラライブラリ、ODPプロファイラデータ、ODPプロファイラ検索/一致結果、ODPプロファイラ計算/解析結果、データ通信、及び様々な計測要素やコンピュータネットワークに対するインターフェースを管理する。
たとえば150のような評価サブシステムは、偏光リフレクトメトリ、分光エリプソメトリ、リフレクトメトリ、又はデバイスのプロファイル、正確な限界寸法(CD)、及びウエハ上の複数の層の膜厚を測定する他の光学測定手法を用いて良い。一体化された計測プロセス(iODP)はインラインで実行されて良い。それにより、一体化されたプロセスでは、解析を行うためにウエハを壊すこと、又は外部システムからのデータを長期間待つ必要がなくなる。ODP技術は、インラインプロファイル及びCDを測定する既存の薄膜計測システムと併用されて良く、かつTELの処理システムと一体化されることで、リアルタイムでのプロセス監視及び制御を行うことができる。典型的な光計測システムは特許文献6に記載されている。
シミュレーションによる回折信号のS-Dライブラリを生成する他の処理は、機械学習システム(MLS)の使用を有して良い。シミュレーションによる回折信号のライブラリを生成する前に、MLSは既知の入力及び出力データを用いることによって訓練される。一の典型的実施例では、シミュレーションによる回折信号は、たとえば逆誤差伝播法、動径基底関数法、サポートベクタ、カーネル回帰分析等の機械学習アルゴリズムを用いる機械学習システム(MLS)を用いて生成されて良い。機械学習システム及びアルゴリズムのより詳細な説明については、非特許文献1及び特許文献7を参照のこと。
計測モデルの最適化の詳細な説明については、特許文献8、特許文献9、及び特許文献10を参照のこと。
回帰分析に基づく処理が用いられるとき、パターニングされた構造を外して測定された測定回折信号はシミュレーションによる回折信号と比較されて良い。シミュレーションによる回折信号は、プロファイルパラメータの組に基づいて繰り返し生成されることで、測定回折信号と比較して最も一致するシミュレーションによる回折信号を生成するプロファイルパラメータの組についての収束値を得ることができる。回帰分析に基づく手法のより詳細な説明については特許文献11を参照のこと。
ライブラリに基づくプロセスが用いられるとき、光計測データライブラリは、S-D及び/若しくは最適化されたレシピ並びに/又はモデルを用いることによって、生成及び/又は改善されて良い。光計測データデータライブラリは、シミュレーションによる回折信号の対及び対応するプロファイルパラメータの組を有して良い。光計測データ-たとえばシミュレーションによる回折信号のライブラリ及び対応するプロファイルパラメータの組-の生成についての詳細な説明は特許文献6に記載されている。回帰分析及び/又はライブラリに基づいたプロセスはS-D及び/又は非S-D工程を有して良い。
1つ以上の制御装置(114、119、124、129、134、139、144、149、154、159、及び195)は、APC、R2R、FDC、及び/又はS-D処理を実行して良い。APC、R2R、FDC、及び/又はS-D処理は、リアルタイムS-D処理を供する、制御戦略、制御計画、制御モデル、及び/又はレシピマネージャとして動作することができる。S-D制御及び/又は解析の戦略/計画は、ウエハ処理シーケンス内での複数の処理工程をカバーし、かつリアルタイム及び/又は収集されたデータの解析、並びにエラー条件の設定に用いられて良い。S-D解析処理は、条件が一致するときに実行されて良い。S-D解析処理の実行中、1つ以上の解析計画が実行されて良い。データ不具合、実行上の問題、又は制御問題が生じたときに、S-D計画はエラーを生成して良い。S-Dデータ収集計画及び/又は解析は、1つのウエハについての1つ以上の評価用サイトでのデータを拒否するか、又はS-D処理の失敗によるデータを拒否して良い。たとえばダイナミックS-D条件の一致は、各サイトで特別な構成にすることを可能にする。
一の実施例では、S-D処理の失敗はS-D処理を中断しなくても良い。たとえばS-D処理は、限界を超えたときの失敗を含んで良い。たとえばうまくいったS-D処理は、限界に接近しているときに警告メッセージを生成することができる。S-D処理エラーについての予め特定された失敗行為はデータベース内に保存され、かつエラーが起こったときにはそのデータベースから取得されて良い。
一部の実施例では、1つ以上のサブシステム(110、115、120、125、130、135、140、145、150、及び155)が、データ転送システム190を介して受け取られたS-Dデータを用いてS-D処理を実行して良い。
25枚のウエハからなるロット(以降、25ウエハロットと呼ぶ。)が処理システム内で処理されているとき、その処理のスループットは、25の並列処理経路を供することによって改善可能である。しかしこれは現実的ではない。しかしS-D処理システム100は、1つ以上の25ウエハロットを効率的かつ費用対効果よく処理するのに用いられて良い。それに加えて、S-D処理システム100は、25ウエハロットとはウエハ数の異なるロットを効率的かつ費用対効果よく処理するのにも用いられて良い。
搬送サブシステム(101、102、及び103)及び搬送装置(113、118、123、128、133、138、143、148、153、及び158)は、S-D搬送シーケンス及び/又は処理を用いて、1つ以上のウエハロット内の1つ以上のウエハを効率的かつ費用対効果よく搬送、位置合わせ、搬送の猶予、及び/又は保存を行って良い。一部のS-D処理は、ウエハ依存する処理、ロット依存する処理、及び/又は製品依存する処理であって良い。
第1リソグラフィサブシステム110は1つ以上の処理装置112を有して良い。その処理装置112は、S-D処理及び/又は非S-D処理を用いることによって1つ以上のウエハを処理、測定、検査、位置合わせ、及び/又は保存して良い。搬送装置113、第1S-D搬送サブシステム101、及び/又は第2S-D搬送サブシステム102は、S-D処理及び/又は非S-D処理を用いることによって、1つ以上のウエハを搬送、測定、検査、位置合わせ、及び/又は保存して良い。一部の実施例では、第1リソグラフィサブシステム110は1つ以上の処理装置112を有して良い。その処理装置112は、S-D処理及び/又は非S-D処理を用いることによって、コーティング処理、熱処理、検査処理、位置合わせ処理、及び/又は保存処理を1つ以上のウエハ上で実行して良い。たとえば、1つ以上の処理装置112は、フォトレジスト材料及び/又は反射防止コーティング(ARC)材料を有する1層以上のマスク層の堆積に用いられて良く、かつ1つ以上の処理装置112は、1層以上のマスク層を熱処理(ベーキング)するのに用いられて良い。それに加えて、1つ以上の処理装置112は、1層以上のマスク層の測定及び/又は検査に用いられて良い。S-D処理及び/又は非S-D処理は、1つ以上のウエハの測定及び/又は検査に用いられて良い。1つ以上の制御装置113は、S-D処理を実行して、ウエハが正確に処理されたか否か、又は再加工処理が必要か否かを判断して良い。内部搬送装置113、第1S-D搬送サブシステム101、及び/又は第2S-D搬送サブシステム102は、欠陥のあるウエハを再加工サブシステムへ搬送して良い。
他の実施例では、第1リソグラフィサブシステム110は、汚染を生じさせる恐れのあるプロセスを実行する1つ以上の処理装置112を有して良い。1つ以上の処理装置112は他のサブシステムから隔離されて良い。これにより、欠陥を低減して、汚染の恐れを最小限に抑制することができる。1つ以上の処理装置112は、ウエハ経路及び/又は重要な処理領域内で設定可能な周辺の欠陥レベルを監視する浮遊微粒子粒子カウンタを有して良い。警告条件についての検出レベルが設定されて良い。たとえば、これらのプロセスは「汚い」ベーキングプロセスを有して良く、かつこれにより、これらの「汚い」プロセスは他のシステムから隔離することが可能となる。それに加えて、1つ以上の再加工処理が、他のサブシステムから隔離された処理装置によって実行されて良い。
スキャナサブシステム115は1つ以上の処理装置117を有して良い。その処理装置117は、S-D処理及び/又は非S-D処理を用いることによって1つ以上のウエハを処理、測定、検査、位置合わせ、及び/又は保存して良い。内部搬送装置118、第1S-D搬送サブシステム101、及び/又は第2S-D搬送サブシステム102は、S-D処理及び/又は非S-D処理を用いることによって、1つ以上のウエハを搬送、測定、検査、位置合わせ、及び/又は保存して良い。一部の実施例では、スキャナサブシステム115は1つ以上の処理装置117を有して良い。その処理装置117は、S-D処理及び/又は非S-D処理を用いることによって、露光処理、熱処理、乾燥処理、測定処理、検査処理、位置合わせ処理、及び/又は保存処理を1つ以上のウエハ上で実行して良い。それに加えて、スキャナサブシステム115は湿式露光処理及び/又は乾式露光処理の実行に用いられて良い。湿式露光処理及び/又は乾式露光処理はS-Dであって良い。他の処理シーケンスでは、スキャナサブシステム115は極紫外(EUV)露光処理の実行に用いられて良い。極紫外(EUV)露光処理はS-Dであって良い。たとえば、1つ以上の処理装置117は、フォトレジスト材料及び/又は反射防止コーティング(ARC)材料を含む1層以上のマスク層の露光に用いられて良く、かつ1つ以上の処理装置117は、1層以上のマスク層のパターニングに用いられて良い。それに加えて、1つ以上の処理装置117は、1層以上の被パターニング層の測定及び/又は検査に用いられて良い。S-D処理及び/又は非S-D処理は、1つ以上のウエハの測定及び/又は検査に用いられて良い。1つ以上の制御装置113は、S-D処理を実行して、ウエハが正確に処理されたか否か、又は再加工処理が必要か否かを判断して良い。内部搬送装置118、第1S-D搬送サブシステム101、及び/又は第2S-D搬送サブシステム102は、欠陥のあるウエハを再加工サブシステムへ搬送して良い。
第2リソグラフィサブシステム120は1つ以上の処理装置112を有して良い。その処理装置112は、S-D処理及び/又は非S-D処理を用いることによって1つ以上のウエハを処理、測定、検査、位置合わせ、及び/又は保存して良い。内部搬送装置123、第1S-D搬送サブシステム101、及び/又は第2S-D搬送サブシステム102は、S-D処理及び/又は非S-D処理を用いることによって、1つ以上のウエハを搬送、測定、検査、位置合わせ、及び/又は保存して良い。一部の実施例では、第2リソグラフィサブシステム120は1つ以上の処理装置122を有して良い。その処理装置122は、S-D処理及び/又は非S-D処理を用いることによって、洗浄処理、熱処理、測定処理、検査処理、位置合わせ処理、及び/又は保存処理を1つ以上のウエハ上で実行して良い。たとえば、1つ以上の処理装置122は、浸漬後の洗浄処理の実行に用いられて良く、かつ1つ以上の処理装置122は、1層以上のマスク層を熱処理(乾燥処理)するのに用いられて良い。それに加えて、1つ以上の処理装置122は、1つ以上の洗浄及び/又は乾燥させたウエハの測定及び/又は検査に用いられて良い。S-D処理及び/又は非S-D処理は、1つ以上のウエハの測定及び/又は検査に用いられて良い。1つ以上の制御装置124は、S-D処理及び/又は非S-D処理を実行して、ウエハが正確に洗浄されたか否か、又は再加工処理が必要か否かを判断して良い。たとえばウエハスポット及び/又は他の異常が検出されても良い。内部搬送装置123、第1S-D搬送サブシステム101、及び/又は第2S-D搬送サブシステム102は、欠陥のあるウエハを再加工サブシステムへ搬送して良い。
第3リソグラフィサブシステム125は1つ以上の処理装置127を有して良い。その処理装置127は、S-D処理及び/又は非S-D処理を用いることによって1つ以上のウエハを処理、測定、検査、位置合わせ、及び/又は保存して良い。内部搬送装置128、第1S-D搬送サブシステム101、及び/又は第2S-D搬送サブシステム102は、S-D処理及び/又は非S-D処理を用いることによって、1つ以上のウエハを搬送、測定、検査、位置合わせ、及び/又は保存して良い。一部の実施例では、第3リソグラフィサブシステム125は1つ以上の処理装置127を有して良い。その処理装置127は、S-D処理及び/又は非S-D処理を用いることによって、現像処理、熱処理、測定処理、検査処理、位置合わせ処理、及び/又は保存処理を1つ以上のウエハ上で実行して良い。たとえば、1つ以上の処理装置127は、1層以上のパターニングされたマスク層-フォトレジスト材料及び/又は反射防止コーティング材料を含んで良い-を現像するのに用いられて良く、かつ1つ以上の処理装置127は、1層以上のパターニングされたマスク層の熱処理(ベーキング)に用いられて良い。それに加えて、1つ以上の処理装置127は、1つ以上の洗浄及び/又は乾燥させたウエハの測定及び/又は検査に用いられて良い。S-D処理及び/又は非S-D処理は、1つ以上のウエハの測定及び/又は検査に用いられて良い。1つ以上の制御装置129は、S-D処理及び/又は非S-D処理を実行して、ウエハが正確に処理されたか否か、又は再加工処理が必要か否かを判断して良い。たとえばウエハスポット及び/又は他の異常が検出されても良い。内部搬送装置128、第1S-D搬送サブシステム101、及び/又は第2S-D搬送サブシステム102は、欠陥のあるウエハを再加工サブシステムへ搬送して良い。
他の実施例では、第3リソグラフィサブシステム125は、汚染を生じさせる恐れのあるプロセスを実行する1つ以上の処理装置127を有して良い。1つ以上の処理装置127は他のサブシステムから隔離されて良い。これにより、欠陥を低減して、汚染の恐れを最小限に抑制することができる。1つ以上の処理装置127は、ウエハ経路及び/又は重要な処理領域内で設定可能な周辺の欠陥レベルを監視する浮遊微粒子カウンタを有して良い。警告条件についての検出レベルが設定されて良い。たとえば、これらのプロセスは「汚い」ベーキングプロセスを有して良く、かつこれにより、これらの「汚い」プロセスは他のシステムから隔離することが可能となる。それに加えて、1つ以上の再加工処理が、他のサブシステムから隔離された処理装置によって実行されて良い。
他の実施例では、第1リソグラフィサブシステム110は、汚染を生じさせる恐れのあるプロセスを実行する1つ以上の処理装置112を有して良い。1つ以上の処理装置112は他のサブシステムから隔離されて良い。これにより、欠陥を低減して、汚染の恐れを最小限に抑制することができる。1つ以上の処理装置112は、ウエハ経路及び/又は重要な処理領域内で設定可能な大気での欠陥レベルを監視する大気粒子カウンタを有して良い。警告条件についての検出レベルが設定されて良い。たとえば、これらのプロセスは「汚い」ベーキングプロセスを有して良く、かつこれにより、これらの「汚い」プロセスは他のシステムから隔離することが可能となる。それに加えて、1つ以上の再加工処理が、他のサブシステムから隔離された処理装置によって実行されて良い。
熱処理サブシステム130は1つ以上の処理装置132を有して良い。その処理装置132は、S-D処理及び/又は非S-D処理を用いることによって1つ以上のウエハを処理、測定、検査、位置合わせ、及び/又は保存して良い。内部搬送装置133、第1S-D搬送サブシステム101、及び/又は第2S-D搬送サブシステム102は、S-D処理及び/又は非S-D処理を用いることによって、1つ以上のウエハを搬送、測定、検査、位置合わせ、及び/又は保存して良い。一部の実施例では、熱処理サブシステム130は1つ以上の処理装置132を有して良い。その処理装置132は、S-D処理及び/又は非S-D処理を用いることによって、ベーキング処理、アニーリング処理、スパイクアニーリング処理、熱処理、測定処理、検査処理、位置合わせ処理、及び/又は保存処理を1つ以上のウエハ上で実行して良い。たとえば、1つ以上の処理装置132は、1つ以上のウエハの温度の上昇及び/又は制御を行うのに用いられて良く、かつ1つ以上の処理装置132は、1つ以上のウエハの温度の降温及び/又は制御を行うのに用いられて良い。それに加えて、1つ以上の処理装置132は、1つ以上のウエハの測定及び/又は検査に用いられて良い。S-D処理及び/又は非S-D処理は、1つ以上のウエハの測定及び/又は検査に用いられて良い。1つ以上の制御装置134は、S-D処理及び/又は非S-D処理を実行して、ウエハが正確に処理されたか否か、又は再加工処理が必要か否かを判断して良い。内部搬送装置133、第1S-D搬送サブシステム101、及び/又は第2S-D搬送サブシステム102は、欠陥のあるウエハを再加工サブシステムへ搬送して良い。
検査サブシステム135は1つ以上の処理装置137を有して良い。その処理装置137は、S-D処理及び/又は非S-D処理を用いることによって1つ以上のウエハを評価、処理、測定、検査、位置合わせ、及び/又は保存して良い。内部搬送装置138、第1S-D搬送サブシステム101、及び/又は第2S-D搬送サブシステム102は、S-D処理及び/又は非S-D処理を用いることによって、1つ以上のウエハを搬送、測定、検査、位置合わせ、及び/又は保存して良い。一部の実施例では、検査サブシステム135は1つ以上の処理装置137を有して良い。その処理装置137は、S-D処理及び/又は非S-D処理を用いることによって、評価処理、検査処理、粒子検出処理、測定処理、位置合わせ処理、検証処理、及び/又は保存処理を1つ以上のウエハ上で実行して良い。たとえば、1つ以上のS-D評価装置137は光検査の実行に用いられて良く、かつ1つ以上のS-D評価処理装置137は、1つ以上のウエハ上での短波長での検査に用いられて良い。それに加えて、1つ以上のS-D評価装置137は、1つ以上のウエハ上での粒子の検出に用いられて良い。S-D処理及び/又は非S-D処理は、1つ以上のウエハの1面以上の表面の測定及び/又は検査に用いられて良い。1つ以上の制御装置139は、S-D処理及び/又は非S-D処理を実行して、ウエハが正確に処理されたか否か、又は再加工処理が必要か否かを判断して良い。内部搬送装置138、第1S-D搬送サブシステム101、及び/又は第2S-D搬送サブシステム102は、欠陥のあるウエハを再加工サブシステムへ搬送して良い。
検査サブシステム135は1つ以上の処理装置137を有して良い。その処理装置137は、S-D処理及び/又は非S-D処理を用いることによって1つ以上のウエハを評価、処理、測定、検査、位置合わせ、及び/又は保存して良い。内部搬送装置138、第1S-D搬送サブシステム101、及び/又は第2S-D搬送サブシステム102は、S-D処理及び/又は非S-D処理を用いることによって、1つ以上のウエハを搬送、測定、検査、位置合わせ、及び/又は保存して良い。一部の実施例では、検査サブシステム135は1つ以上の処理装置137を有して良い。その処理装置137は、S-D処理及び/又は非S-D処理を用いることによって、評価処理、検査処理、粒子検出処理、測定処理、位置合わせ処理、検証処理、及び/又は保存処理を1つ以上のウエハ上で実行して良い。たとえば、1つ以上のS-D評価装置137は光検査の実行に用いられて良く、かつ1つ以上のS-D評価処理装置137は、1つ以上のウエハ上での短波長での検査に用いられて良い。それに加えて、1つ以上のS-D評価装置137は、1つ以上のウエハ上での粒子の検出に用いられて良い。S-D処理及び/又は非S-D処理は、1つ以上のウエハの1面以上の表面の測定及び/又は検査に用いられて良い。1つ以上の制御装置139は、S-D処理及び/又は非S-D処理を実行して、ウエハが正確に処理されたか否か、又は再加工処理が必要か否かを判断して良い。内部搬送装置138、第1S-D搬送サブシステム101、及び/又は第2S-D搬送サブシステム102は、欠陥のあるウエハを再加工サブシステムへ搬送して良い。
エッチングサブシステム140は1つ以上の処理装置142を有して良い。その処理装置142は、S-D処理及び/又は非S-D処理を用いることによって1つ以上のウエハを処理、測定、検査、位置合わせ、及び/又は保存して良い。内部搬送装置143、第1S-D搬送サブシステム101、及び/又は第2S-D搬送サブシステム102は、S-D処理及び/又は非S-D処理を用いることによって、1つ以上のウエハを搬送、測定、検査、位置合わせ、及び/又は保存して良い。一部の実施例では、エッチングサブシステム140は1つ以上の処理装置142を有して良い。その処理装置142は、S-D処理及び/又は非S-D処理を用いることによって、エッチング処理、化学的酸化物除去(COR)処理、アッシング処理、検査処理、再加工処理、測定処理、位置合わせ処理、検証処理、及び/又は保存処理を1つ以上のウエハ上で実行して良い。たとえば、1つ以上の処理装置142は1つ以上のS-D及び/又は非S-Dプラズマエッチング処理を用いることによるパターニングされたウエハの生成及び/又は改質に用いられて良く、かつ1つ以上の処理装置142は1つ以上のS-D及び/又は非S-Dの非プラズマエッチング処理を用いることによるパターニングされたウエハの生成及び/又は改質に用いられて良い。それに加えて、1つ以上の処理装置142は、1つ以上のウエハからの層の材料及び/又はプロセス残余物の除去に用いられて良い。S-D処理及び/又は非S-D処理は、1つ以上のウエハの1面以上の表面の測定及び/又は検査に用いられて良い。1つ以上の制御装置144は、S-D処理及び/又は非S-D処理を実行して、ウエハが正確に処理されたか否か、又は再加工処理が必要か否かを判断して良い。内部搬送装置143、第1S-D搬送サブシステム101、及び/又は第2S-D搬送サブシステム102は、欠陥のあるウエハを再加工サブシステムへ搬送して良い。
堆積サブシステム145は1つ以上の処理装置147を有して良い。その処理装置147は、S-D処理及び/又は非S-D処理を用いることによって1つ以上のウエハを処理、測定、検査、位置合わせ、及び/又は保存して良い。内部搬送装置148、第1S-D搬送サブシステム101、及び/又は第2S-D搬送サブシステム102は、S-D処理及び/又は非S-D処理を用いることによって、1つ以上のウエハを搬送、測定、検査、位置合わせ、及び/又は保存して良い。一部の実施例では、堆積サブシステム145は1つ以上の処理装置147を有して良い。その処理装置147は、S-D処理及び/又は非S-D処理を用いることによって、堆積処理、検査処理、測定処理、位置合わせ処理、及び/又は保存処理を1つ以上のウエハ上で実行して良い。たとえば、1つ以上の処理装置147は、物理気相成長(PVD)処理、化学気相成長(CVD)処理、イオン化物理気相成長(iPVD)処理、原子層堆積(ALD)処理、プラズマ原子層堆積(PEALD)処理、及び/又はプラズマ化学気相成長(PECVD)処理を実行するのに用いられて良い。S-D処理及び/又は非S-D処理は、1つ以上のウエハの1面以上の表面の測定及び/又は検査に用いられて良い。1つ以上の制御装置149は、S-D処理及び/又は非S-D処理を実行して、ウエハが正確に処理されたか否か、又は再加工処理が必要か否かを判断して良い。内部搬送装置148、第1S-D搬送サブシステム101、及び/又は第2S-D搬送サブシステム102は、欠陥のあるウエハを再加工サブシステムへ搬送して良い。
評価サブシステム150は1つ以上の処理装置152を有して良い。その処理装置152は、S-D処理及び/又は非S-D処理を用いることによって1つ以上のウエハを評価、測定、検査、位置合わせ、検証、及び/又は保存して良い。内部搬送装置153、第1S-D搬送サブシステム101、及び/又は第2S-D搬送サブシステム102は、S-D処理及び/又は非S-D処理を用いることによって、1つ以上のウエハを搬送、測定、検査、位置合わせ、及び/又は保存して良い。一部の実施例では、評価サブシステム150は1つ以上の処理装置152を有して良い。その処理装置152は、S-D処理及び/又は非S-D処理を用いることによって、評価処理、検査処理、温度制御処理、測定処理、位置合わせ処理、検証処理、及び/又は保存処理を1つ以上のウエハ上で実行して良い。たとえば、1つ以上の処理装置152はウエハ上の部位及び/又は構造の測定に使用可能な光計測を実行するのに用いられて良く、かつ1つ以上の処理装置152はウエハ表面の測定を実行するのに用いられて良い。それに加えて、S-D評価装置は、1つ以上のウエハの1面以上の表面のウエハ曲率の決定、又は1つ以上のウエハの1面以上の表面の測定及び/若しくは検査に用いられて良い。S-D評価装置152はS-D処理及び/又は非S-D処理を実行して良い。1つ以上の制御装置154は、S-D処理及び/又は非S-D処理を実行して、ウエハが正確に処理されたか否か、又は再加工処理が必要か否かを判断して良い。内部搬送装置153、第1S-D搬送サブシステム101、及び/又は第2S-D搬送サブシステム102は、欠陥のあるウエハを再加工サブシステムへ搬送して良い。
再加工サブシステム155は1つ以上の処理装置157を有して良い。その処理装置157は、S-D処理及び/又は非S-D処理を用いることによって1つ以上のウエハを処理、測定、検査、位置合わせ、及び/又は保存して良い。内部搬送装置158、第1S-D搬送サブシステム101、及び/又は第2S-D搬送サブシステム102は、S-D処理及び/又は非S-D処理を用いることによって、1つ以上のウエハを搬送、測定、検査、位置合わせ、及び/又は保存して良い。一部の実施例では、再加工サブシステム155は1つ以上の処理装置157を有して良い。その処理装置157は、S-D処理及び/又は非S-D処理を用いることによって、洗浄処理、エッチング処理、層の除去処理、アッシング処理、検査処理、残余物除去処理、測定処理、位置合わせ処理、検証処理、及び/又は保存処理を1つ以上のウエハ上で実行して良い。たとえば、1つ以上の処理装置157は1つ以上のS-D及び/又は非S-Dプラズマエッチング処理を用いることによるパターニングされたウエハの除去に用いられて良く、かつ1つ以上の処理装置157は1つ以上のS-D及び/又は非S-Dの非プラズマエッチング処理を用いることによるパターニングされたウエハの除去に用いられて良い。それに加えて、1つ以上の処理装置157は、1つ以上のウエハからの損傷を受けた材料の除去に用いられて良い。S-D処理及び/又は非S-D処理は、1つ以上のウエハの1面以上の表面の測定及び/又は検査に用いられて良い。1つ以上の制御装置159は、S-D処理及び/又は非S-D処理を実行して、ウエハが正確に処理されたか否か、又は再加工処理が必要か否かを判断して良い。内部搬送装置158、第1S-D搬送サブシステム101、及び/又は第2S-D搬送サブシステム102は、欠陥のあるウエハを再加工サブシステムへ搬送して良い。
各サブシステムは1つ以上のウエハを並列処理することができる。1つ以上のS-D処理及び/又は非S-D処理が実行されて良い。
1つ以上の定式化されたメッセージはサブシステム間でやり取りされて良い。制御装置はメッセージを処理し、かつ新たなデータを取り出して良い。新たなデータが利用可能であるとき、制御装置は新たなデータを用いて、ウエハロットに現在用いられているレシピ、プロファイル、及び/若しくはモードを更新するか、又は次のウエハロットについてのレシピ、プロファイル、及び/若しくはモードを更新して良い。制御装置が新たなデータを用いて現在処理されているウエハロットについてのレシピデータ、プロファイルデータ、及び/又はモデル化データを更新するとき、その制御装置は、現在のウエハが処理される前にレシピ、プロファイル、及び/又はモデルが更新可能であるか否かを判断することができる。現在のウエハが処理される前にレシピ、プロファイル、及び/又はモデルが更新可能であるとき、その現在のウエハは、更新されたレシピ、プロファイル、及び/又はモデルを用いることによって処理されて良い。現在のウエハが処理される前にレシピ、プロファイル、及び/又はモデルが更新できないとき、その現在のウエハは、更新されていないレシピ、プロファイル、及び/又はモデルを用いることによって処理されて良い。たとえば新たな3Dエッチング用レシピ、プロファイル、及び/又はモデルが利用可能であるとき、エッチングサブシステム及び/又はエッチング制御装置は、新たなS-Dエッチング用レシピ、プロファイル、及び/又はモデルをいつ使用するのかを決定することができる。
1つ以上の評価処理は、S-D損傷評価データ及び/又は非S-D損傷評価データを供して良い。S-D損傷評価データ及び/又は非S-D損傷評価データには、各異なるサイト、ウエハ、及び/又はロットについての、損傷した層、部位、及び/又は構造のデータが含まれて良い。1つ以上の処理サブシステムは、損傷評価データを用いて、処理用レシピデータ、処理用プロファイルデータ、及び/若しくはモデル化データの更新並びに/又は最適化を行って良い。たとえばエッチングサブシステム140は損傷評価データを用いて、エッチング用化学物質及び/若しくはエッチング時間を更新並びに/又は最適化して良い。それに加えて、堆積サブシステム145及び/又はリソグラフィサブシステム(110、120、及び125)は損傷評価データを用いて、レシピデータ、プロファイルデータ、及び/若しくはモデル化データを更新並びに/又は最適化して良い。
S-D処理は、様々な回数及び/若しくはサイトでの孤立した及び/若しくは入れ子の構造の生成、改質、並びに/又は評価に用いられて良い。たとえば、ウエハの厚さデータは孤立した及び/又は入れ子の構造の付近でそれぞれ異なっていて良く、かつウエハ厚さデータは開口領域及び/又は溝アレイ領域付近でそれぞれ異なっていて良い。処理サブシステムは、孤立した及び/又は入れ子の構造について新たなS-Dデータを用いて、S-D処理用レシピ及び/若しくは処理時間の更新並びに/又は最適化を行って良い。S-D処理は端点検出(EPD)データ及び処理時間を用いて、計算精度を改善して良い。ウエハ及び/又はロットが処理されている一方で、S-Dデータが生成されて、かつこのデータは処理システムによってリアルタイムでフィードバック及び/又はフィードフォワードされることで、現在のウエハが処理されている前、又はウエハロット中の追加のウエハが処理される前に、処理、測定、及び/又はシミュレーション用レシピを更新して良い。あるいはその代わりに非S-Dデータが用いられても良い。EPDデータがS-D処理を停止するのに用いられるとき、そのEPD時間データ及び処理速度データは、S-D膜厚の計算並びに/又は推定に用いられて良い。処理中、監視及び/又は検証用ウエハが周期的に用いられ、かつS-D測定処理は、S-D処理-たとえばエッチング、堆積、リソグラフィ、洗浄、及び研磨-前後でのS-D膜厚の検証に用いられて良い。
評価サブシステム150のデータは、S-Dパターニング若しくは非パターニング構造に関する測定及び/又はシミュレーションによる信号を有して良い。S-D信号は、処理状態データ、及びウエハ、ロット、レシピ、サイト、又はウエハ位置データを用いて保存されて良い。測定データは、パターニングされた構造プロファイルに関連する変数、計測装置の種類及びその関連変数、モデル化において変動する変数について用いられる範囲、並びにモデル化において一定である変数の値を含んで良い。ライブラリプロファイルデータ及びS-Dデータは、固定及び/若しくは変動のプロファイルデータ(たとえばCD、側壁角、N&Kパラメータ)、並びに/又は計測装置パラメータ(たとえば波長、入射角、及び/又は方位角)を有して良い。
一部の実施例では、S-D処理は、測定、予測、及び/又はシミュレーションされたデータを用いることによって、光計測データレシピ、構造、及び/又はモデルを最適化して良い。S-D処理は、条件/識別情報-たとえばデータを組織化してインデックスを付す手段としてのサイトID、ウエハID、スロットID、ロットID、レシピ、状態、及びパターニングされた構造のID-を利用して良い。一部の例では、ライブラリデータは、製品、デバイス、ウエハ、処理、ロット、レシピ、サイト、位置、パターニング及び/又は非パターニング構造に関連する検証されたデータを有して良い。S-Dデータは下地膜のデータを有して良い。その下地膜のデータはS-D処理によって用いられることで、リアルタイムの更新及び/又は補正が成されて良い。処理中、一部の測定サイトは下地膜及び/又は構造からの干渉のために測定できない。S-D干渉に基づくマップは、測定用に用いることが可能なサイト位置を決定するために生成及び利用されて良い。それに加えて、生成可能なS-D干渉プロファイル及び/又はモデルは、これらの問題の解決に用いられて良い。
それに加えて、S-D処理は、S-D信号及び対応するS-Dプロファイルパラメータの組のライブラリの生成、更新、及び/又は最適化を行って良い。S-D処理は、訓練された機械学習システム(MLS)からのデータ組を生成、更新、及び/又は最適化して良い。MLSはライブラリデータのサブセットによって訓練されて良い。変更及び/又は更新された値は、性能を改善させるために保存及び/又は使用されて良い。S-D及び/又は非S-Dライブラリ並びにデータベースが用いられて良い。
介入及び/又は判定規則は、S-D戦略、計画、モデル、サブシステム、装置、又は処理内で定義されて良い。介入及び/又は判定規則は、一致条件に出会うときには必ず実行するようにされて良い。介入及び/又は判定規則は、様々な処理用であって良く、かつデータベース内で保持されていて良い。
一部の例では、MES180は一部のシステム処理を監視するように備えられて良く、かつ工場レベルの介入及び/又は判定規則が、どの処理を監視してどのデータを用いて良いのかを判断するのに用いられて良い。それに加えて、工場レベルの介入及び/又は判定規則は、処理が変更、中断、及び/又は中止するときにどのようにデータを管理するのかを判断するのに用いられても良い。それに加えて、MES180はS-D設定情報及びS-D更新情報を供して良い。データは、GEM SECS通信プロトコルを用いてやり取りされて良い。
一般には、規則では、半導体処理システムの動的状態及び/又は製品の処理状態に基づいて、S-D処理を変更することが可能となる。一部の設定情報は、処理システム及び/又はサブシステムが最初に設定されるときに、その処理システム及び/又はサブシステムによって決定されて良い。それに加えて、規則はS-D処理についての制御階層を設定するのに用いられて良い。規則は、いつ処理が中断及び/若しくは中止されて良いのか、並びに/又は、処理が中断及び/若しくは中止されるときに何ができるのかを判断するのに用いられて良い。それに加えて、処理規則は、如何なる補正行為が行われるべきかを判断するのに用いられて良い。処理シーケンス及び搬送シーケンスに関する規則はまた、どのウエハが処理及び/又は搬送されるべきかを判断するのに用いられて良い。ウエハを処理する典型的な方法は、1つ以上のウエハ及び関連するウエハデータを受け取る手順、並びに各ウエハについての処理シーケンス及び/又は状態データを設定する手順を有して良い。
ウエハ状態データは、処理シーケンスから決定可能な順序づけされた状態変数(SQn,m)を有して良い。一部の実施例では、処理シーケンスはMES180から取得されて良く、かつ修正できない。他の実施例では、疑似的(修正可能)処理シーケンスが設定されて良く、かつ順序づけされた状態及び/又は処理開始時間が、サブシステムコンピュータ及び/又はオペレータによって変更されて良い。たとえば開始時間が変更された追加シーケンス状態は、追加処理工程の設定、処理工程を実行しながらのウエハの保持、計算を実行しながらのウエハの保持、装置がオフライン状態であるときの様々な装置へのウエハの搬送、並びに/又は、失敗条件の補正及び/若しくは解析に用いられて良い。それに加えて、追加シーケンス工程及び/又は猶予された開始時間は、S-Dデータ及び/又はメッセージが生成、処理、送信、及び/又は受信されると同時にウエハを保持及び/又は搬送するのに用いられて良い。
一部の例では、S-D搬送サブシステムは、装着データを用いて、どこへウエハを搬送すべきかを判断して良い。他の例では、S-D搬送サブシステムは、処理シーケンスデータを用いて、どこへウエハを搬送すべきかを判断して良い。さらに他の例では、S-D搬送サブシステムは、信頼性データを用いて、どこへウエハを搬送すべきかを判断して良い。あるいはその代わりに、他の処理が用いられても良い。
信頼性データは、ウエハ上で行われた各処理の評価を含んで良い。S-D処理からの処理データが予想された値に近いとき、そのS-D処理についての信頼値は高いと考えられる。そしてS-D処理からの処理データが予想された値に近くないとき、そのS-D処理についての信頼値は低いと考えられる。たとえば信頼値は0から9の範囲であって良い。ここで0は失敗条件を表し、9は正常な動作を表す。
ウエハ状態データは、ウエハ数(WN)データ、処理シーケンス(PS)データ、ステップカウンタ(SC)データ、処理型(PT)のデータ、処理状態(PS)データ、サイト依存性(SD)データ、状態(ST)データ、及び猶予時間(DT)データを有して良い。ウエハ数(WN)データはウエハの識別に用いられて良い。ウエハ数(WN)データはウエハの識別に用いられて良い。処理シーケンス(PS)データは処理シーケンスの識別に用いられて良い。ステップカウンタ(SC)データはウエハについての処理工程数の識別に用いられて良い。処理型(PT)のデータは各処理工程で行われた処理の種類の立証に用いられて良い。サイト依存性(SD)データは、サイト依存の数であり、かつ各処理工程で実行されたS-D処理の種類の立証に用いられた1つ以上のサイトの立証に用いられて良い。状態(ST)データは、処理工程が実行されたか否か、及び処理工程がうまくいったか否かを判断するのに用いられて良い。猶予時間(DT)データはタイミングデータを有して良い。猶予時間データは、ウエハの順序づけ、計算、処理、及び/又は測定を猶予するのに用いられて良い。
一部の実施例では、ウエハデータは可変データを有して良い。たとえば、フィードフォワードデータが第1の値であるとき、そのデータ及び/又はメッセージはフィードフォワードされて良く、かつ、フィードフォワードデータが第2の値であるとき、そのデータ及び/又はメッセージはフィードフォワードされない。S-D変数が第1の値であるとき、S-D処理が実行されて良く、かつ、S-D変数が第2の値であるとき、非S-D処理が実行されて良い。
一部の実施例では、入出力メッセージは、失敗メッセージ、応答メッセージ、エラーメッセージ、S-Dメッセージ、フィードバックメッセージ、非S-Dメッセージ、内部メッセージ、外部メッセージ、最適化メッセージ、状態メッセージ、タイミングメッセージ、処理結果メッセージ、及び/又は他のメッセージを有して良い。それに加えて、メッセージは、リアルタイムの命令、設定、計算、及び/又は上書き情報を有して良い。データは、S-D処理変数/パラメータとしてリアルタイムで用いられて良く、現在のレシピデータ、プロファイル、及び/又はモデル失敗値の上書きに用いられて良く、現在の搬送シーケンスデータの上書きに用いられて良く、現在の開始時間の上書きに用いられて良く、かつレシピ、プロファイル、及び/又はモデル、並びに関連する精度限界を決定する調査空間を絞るのに用いられて良い。
様々な実施例では、1つ以上の入力メッセージが、1つ以上の制御装置(114、119、124、129、134、139、144、149、154、及び159)によって受信及び/又は処理されて良く、かつ、1つ以上の出力メッセージが、1つ以上の制御装置(114、119、124、129、134、139、144、149、154、及び159)によって生成及び/又は送信されて良い。一部の例では、入力メッセージは、S-Dデータ及び非S-Dデータを有する定式化されたメッセージであって良い。制御装置は、定式化されたメッセージを処理して、S-Dメッセージ及び/又はそれとは別個の非S-Dメッセージをサブシステム用に生成して良い。S-DメッセージはS-Dウエハデータを有して良い。そのS-Dウエハデータは、ライブラリ及びデータベース内での調査時間の減少、計算エラーの減少、精度の改善に用いられて良い。たとえばライブラリ空間内でのプロファイル空間が小さくなればS-Dデータを用いた識別が可能である。それに加えて、S-D厚さ及び/又は温度データが用いられて良く、S-D処理はこのデータを用いてプリファイルライブラリからプロファイルをリアルタイムで決定して良い。それにより測定時間が減少して、かつスループットが増大する。制御装置は入力メッセージをリアルタイムで検討して、いつその入力メッセージが、その制御装置が使用可能なS-Dメッセージを含んでいるのかを判断し、かつ/あるいは、制御装置はどのようにしてS-Dメッセージをリアルタイムで取り出すのかを判断して良い。メッセージはXMLフォーマット及び/又はSMLフォーマットを用いて良い。システムは、多数のサブシステムのため、送られ、分配され、及び/又は解析されるS-Dメッセージで、例外処理を与えかつ運用する。
たとえば、一部のデバイス/製品は20-30nmゲート構造を必要とすると思われ、かつ製造されるどのウエハにも100万個オーダーでこれらの構造が存在すると考えられる。S-D処理は、構造が正しいことを保証するために行われなければならないテスト回数を最小限に減らすために用いられて良い。
処理シーケンスはまた、スキャナサブシステムを含む他のサブシステムのスループットに依存しても良い。S-D搬送システムは、全体のスループットを最大にするように備えられて良い。たとえばS-D搬送シーケンスは、遅いサブシステム-たとえばスキャナサブシステム-によって引き起こされるスループット問題を最小限に抑制するように設定され、かつ使用されて良い。一部の実施例では、S-D搬送サブシステムは、信頼値の低い及び/又はリスクの高いウエハの搬送を猶予して良い。他の実施例では、再加工処理シーケンスが比較的短時間で設定及び実行されるときには、S-D搬送サブシステムは信頼値の低い及び/又はリスクの高いウエハをすぐに再加工処理シーケンスへ送って良い。
S-D処理はウエハ上の特定の位置で特定の結果を生成して良い。処理が十分なものであるときには、信頼値は高くなり、評価に必要なウエハの個数は最小となり、1つのウエハ上の1つのサイトは、ウエハ及び/又は複数のウエハからなる群を代表するのに用いられて良い。処理が十分なものであるときには、1つのウエハ上での全サイトからの処理結果は(均一性限界の範囲内で)同一である。製品が開発されるとき、多数のサイトでの評価部位/特性/構造は、低リスク処理を確立するのに用いられて良い。
処理システム100は1つ以上のS-D処理の検証に用いられて良い。
一部の実施例では、1つ以上のウエハが1つ以上のS-D搬送サブシステム(101、102)によって受け取られ、かつそのS-D搬送サブシステム(101、102)は処理システム100内の1つ以上のサブシステム(110、115、120、125、130、135、140、145、150、及び155)と結合して良い。各ウエハは上に1層以上の層を有して良く、かつ関連するウエハデータを有して良い。そのウエハデータは履歴データ及び/又はリアルタイムデータを有して良い。S-D搬送サブシステムは、いつウエハを再加工サブシステム又は保存地点へ送るのかを決定する業務規則を用いて良い。これらの業務規則はウエハの処理に伴って(追加層を設けることで)異なっていて良い。
たとえば「高品質のウエハ」(golden wafer)が「高性能」S-D処理シーケンスを用いて製造されて良い。ウエハ上の一部の位置では、1つ以上のゲート構造付近に測定用構造が作製されて良い。これらの位置では、CDSEMデータが第1ウエハを用いることによって処理され、かつ第1信頼性データを比較中に得ることができる。信頼性データは信頼限界と比較されて良い。第1信頼限界が第1デルタを伴っていない場合、そのウエハについての処理(測定)シーケンスは変更され、かつウエハ上の1つ以上の追加サイトから測定データが得られて良い。信頼性データが悪い場合、そのウエハは再加工されて良い。2つ以上のサイトでの信頼性データが悪い場合、そのウエハは再加工されて良い。2つ以上のウエハについての信頼性データが悪い場合、群全体が再加工されて良い。
S-D搬送システムは、全体のスループットを最大化するように備えられて良い。たとえば、S-D搬送シーケンスは、遅いサブシステム-たとえばスキャナサブシステム-によって引き起こされるスループット問題を最小限に抑制するように設定され、かつ使用されて良い。一部の実施例では、S-D搬送サブシステムは、信頼値の低い及び/又はリスクの高いウエハの搬送を猶予して良い。他の実施例では、再加工処理シーケンスが比較的短時間で設定及び実行されるときには、S-D搬送サブシステムは信頼値の低い及び/又はリスクの高いウエハをすぐに再加工処理シーケンスへ送って良い。
S-D処理はウエハ上の特定の位置で特定の結果を生成して良い。処理が十分なものであるときには、信頼値は高くなり、評価に必要なウエハの個数は最小となり、1つのウエハ上の1つのサイトは、ウエハ及び/又は複数のウエハからなる群を代表するのに用いられて良い。処理が十分なものであるときには、1つのウエハ上での全サイトからの処理結果は(均一性限界の範囲内で)同一である。製品が開発されるとき、多数のサイトでの評価部位/特性/構造は、低リスク処理を確立するのに用いられて良い。
製品が開発されるとき、多数のサイトでの評価部位/特性/構造は、低リスク処理を確立するのに用いられて良い。
処理システム100は1つ以上のS-D処理の検証に用いられて良い。
一部の実施例では、1つ以上のウエハは1つ以上のS-D搬送サブシステム(101、102)によって受け取られ、かつS-D搬送サブシステム(101、102)は処理システム100内の1つ以上のサブシステム(110、115、120、125、130、135、140、145、150、及び155)と結合して良い。各ウエハは上に1層以上の層を有して良く、関連するウエハデータを有して良く、かつ履歴データ及び/又はリアルタイムデータを有して良い。S-D搬送サブシステムは、いつウエハを再加工サブシステム又は保存地点へ送るのかを決定する業務規則を用いて良い。これらの業務規則はウエハの処理に伴って(追加層を設けることで)異なっていて良い。
1つ以上の制御装置(114、119、124、129、134、139、144、149、154、159、及び195)は、ウエハデータ及び/又はウエハ状態データを用いて、ウエハ状態データの決定、第1未検証S-D処理の決定を行うように備えられて良い。第1未検証S-D処理は、1つ以上のサブシステム(110、115、120、125、130、135、140、145、150、及び155)を用いて実行される。
1つ以上の制御装置(114、119、124、129、134、139、144、149、154、159、及び195)は、第1未検証S-D処理を用いた被処理S-Dウエハの第1個数の設定、ウエハデータ及び第1未検証S-D処理を用いた各S-Dウエハについての必要な検証サイト数の設定、第1処理サブシステム内の1つ以上のS-D処理装置についての動作状態データの決定、1つ以上のS-D搬送サブシステム(101、102)内の1つ以上のS-D搬送装置104についての搬入データの決定、ウエハデータ、ウエハ状態データ、動作状態データ、搬入データ、若しくは必要な検証サイト数、又はこれらの結合を用いたS-Dウエハの第1個数に含まれる第1S-Dウエハについての第1搬送シーケンスの設定、並びに、第1S-D処理シーケンスが利用可能でないときに第1処理サブシステムと結合するS-D搬送サブシステムを用いて第1期間中第1S-Dウエハの搬送猶予を行うように備えられて良い。
1つ以上のS-D搬送サブシステム(101、102)は、第1S-Dウエハを、1つ以上のサブシステム(110、115、120、125、130、135、140、145、150、及び155)内のS-D処理装置(112、117、122、127、132、137、142、147、及び157)のうちの1つへ搬送するように備えられて良い。それに加えて、1つ以上のS-D搬送サブシステム(101、102)は、1つ以上のS-D搬送サブシステム(101、102)内の搬送装置104を用いることによって第1期間だけ第1S-Dウエハの搬送を猶予するように備えられて良く、かつ搬送装置104は2つ以上のウエハを有して良い。第1期間後、猶予された第1S-Dウエハは1つ以上のサブシステム(110、115、120、125、130、135、140、145、150、及び155)内で処理されて良い。
第1S-Dウエハの搬送後、第1未検証S-D処理が第1S-Dウエハを用いて実行されて良く、かつ第1未検証S-D処理中、第1組のS-D検証用部位が第1被処理S-Dウエハ上に生成されて良い。第1組のS-D検証用部位は、第1被処理S-Dウエハ上の第1サイトでの第1検証用部位を有して良い。
第1未検証S-D処理が第1ウエハ上で実行されるとき、第1被処理S-Dウエハが生成されて良い。第1S-D評価装置(137、152)が利用可能であるとき、第1被処理S-Dウエハは、検査サブシステム135及び評価サブシステム150と結合する1つ以上のS-D搬送サブシステム(101、102)を用いることによって、検査サブシステム135内の第1S-D評価装置137又は第1評価サブシステム150内の第1S-D評価装置152へ搬送されて良い。第1S-D評価装置が利用可能でないとき、第1S-Dウエハは、1つ以上のS-D搬送サブシステム(101、102)を用いて第2期間だけ搬送が猶予されて良い。それに加えて、1つ以上のS-D搬送サブシステム(101、102)は、S-D搬送サブシステム(101、102)内の搬送装置104を用いることによって第2期間だけ第1被処理S-Dウエハの搬送を猶予するように備えられて良く、かつ搬送装置104は2つ以上のウエハを支持して良い。第2期間後、第1被処理S-Dウエハは検査サブシステム135及び/又は評価サブシステム150内で評価されて良い。
評価処理が実行されるとき、第1サイトが用いられて良い。一部の例では、評価の決定は、第1サイトからのデータを用いることによって行われて良い。1つ以上の制御装置(114、119、124、129、134、139、144、149、154、159、及び195)は、a)第1被処理ウエハ上の必要なサイト数から第1未検証S-D処理によって生成された第1未検証部位を有する第1サイトを選び、b)第1S-Dウエハ上に存在する未検証の測定及び/又は検査データを有する第1サイトから第1未検証データを取得し、c)第1S-Dウエハ上の第1サイトについて、検証された測定及び/又は検査データを有する第1検証データを設定し、d)第1未検証データと第1検証データとの間の第1差異を用いることによって第1信頼値を設定し、e)第1信頼値、第1差異、若しくはウエハデータ、又はこれらの結合を用いることによって第1未検証S-D処理についての第1リスク因子を設定し、f)第1リスク因子又はこれらの結合を用いた第1未検証S-D処理についての第1全リスク因子を設定し、g)第1リスク因子が新たな閾値以下であるときには、関連する第1リスク因子を有する第1の検証された処理として第1未検証S-D処理を認定して、必要なサイト数を1つ減らしてアクセスされたサイト数を1つ増やし、h)前記第1リスク因子が新たな閾値以上であるときには、関連する第2リスク因子を有する第1の未検証処理として第1未検証S-D処理を認定して、必要なサイト数を1つ増やしてアクセスされたサイト数を1つ減らす、ように備えられて良い。ここで第1未検証S-D処理は、関連する信頼性データ、リスクデータ、及び/又は検証データを有する。
一部の例では、評価処理が実行されるとき、第1S-Dウエハ上に追加サイトが用いられて良い。たとえば、評価の決定は、第1サイトからのデータ及び第1S-Dウエハ上の1つ以上の追加サイトからのデータを用いることによって行われて良い。1つ以上の制御装置(114、119、124、129、134、139、144、149、154、159、及び195)は、a)第1S-Dウエハ上の必要なサイト数から第1検証S-D処理を用いて生成された新たな未検証部位を有する新たなサイトを選び、b)第1S-Dウエハ上に存在する未検証の測定及び/又は検査データを有する新たなサイトから新たな未検証データを取得し、c)新たなサイトについて新たな検証データを設定し、d)新たな未検証データと新たな検証データとの間の新たな差異を用いることによって第1S-Dウエハ上の新たなサイトについての新たな信頼値を設定し、e)新たな信頼値、新たな差異、若しくはウエハデータ、又はこれらの結合を用いることによって第1未検証S-D処理についての新たな第1リスク因子を設定し、f)ウエハデータ、若しくは新たな第1リスク因子、又はこれらの結合を用いた第1未検証S-D処理についての新たな第1全リスク因子を設定し、g)新たな第1の全リスク因子が新たな閾値以下であるときには、関連する新たな第1の全リスク因子を有する新たな検証された処理として第1未検証S-D処理を認定して、必要なサイト数を1つ減らしてアクセスされたサイト数を1つ増やし、h)第1リスク因子が新たな閾値以上であるときには、関連する第2リスク因子を有する新たな未検証処理として第1未検証S-D処理を認定して、必要なサイト数を1つ増やしてアクセスされたサイト数を1つ減らし、i)必要サイト数が0よりも大きいときにはa)-h)を繰り返し、かつj)必要サイト数が0に等しいときには第1ウエハの検証を止めるように備えられて良い。
他の例では、評価処理が実行されるとき、追加S-Dウエハ上のサイトが用いられて良い。たとえば、評価の決定は、1つ以上のS-Dウエハ上のサイトからのデータを用いることによって行われて良い。1つ以上の制御装置(114、119、124、129、134、139、144、149、154、159、及び195)は、ウエハデータ、処理状態データ、必要な検証用サイト数、検証用にアクセスされたサイト数、又はこれらの結合を用いて、第1組のS-Dウエハ中の追加S-Dウエハについての追加の処理検証シーケンスを設定し、かつ追加S-Dウエハについての第1未検証S-D処理を決定するようにも備えられて良い。ここで第1未検証S-D処理は、追加の処理検証シーケンスを用いて決定され、かつ1つ以上の処理を有する。
1つ以上のS-D搬送サブシステム(101、102)は、追加S-Dウエハを、1つ以上のサブシステム(110、115、120、125、130、135、140、145、150、及び155)内のS-D処理装置(112、117、122、127、132、137、142、147、及び157)のうちの1つへ搬送するように備えられて良い。それに加えて、1つ以上のS-D搬送サブシステム(101、102)は、1つ以上のS-D搬送サブシステム(101、102)内の搬送装置104を用いることによって第2期間だけ第1S-Dウエハの搬送を猶予するように備えられて良く、かつ搬送装置104は2つ以上のウエハを有して良い。第2期間後、猶予された第1S-Dウエハは1つ以上のサブシステム(110、115、120、125、130、135、140、145、150、及び155)内で処理されて良い。
追加S-Dウエハの搬送後、第1未検証S-D処理が追加S-Dウエハを用いて実行されて良く、かつ第1未検証S-D処理中、第1組のS-D検証用部位が追加の被処理S-Dウエハ上に生成されて良い。第1組のS-D検証用部位は、追加の被処理S-Dウエハ上の第1サイトでの第1検証用部位を有して良い。
第1未検証S-D処理が追加のウエハ上で実行されるとき、追加の被処理S-Dウエハが生成されて良い。第1S-D評価装置(137、152)が利用可能であるとき、追加の被処理S-Dウエハは、検査サブシステム135及び評価サブシステム150と結合する1つ以上のS-D搬送サブシステム(101、102)を用いることによって、検査サブシステム135内の第1S-D評価装置137又は第1評価サブシステム150内の第1S-D評価装置152へ搬送されて良い。第1S-D評価装置が利用可能でないとき、追加の被処理S-Dウエハは、1つ以上のS-D搬送サブシステム(101、102)を用いて第3期間だけ搬送が猶予されて良い。それに加えて、1つ以上のS-D搬送サブシステム(101、102)は、S-D搬送サブシステム(101、102)内の搬送装置104を用いることによって第3期間だけ追加の被処理S-Dウエハの搬送を猶予するように備えられて良く、かつ搬送装置104は2つ以上のウエハを支持して良い。第3期間後、第1被処理S-Dウエハは検査サブシステム135及び/又は評価サブシステム150内で評価されて良い。
追加の被処理S-Dウエハ上の第1サイトが用いられるとき、1つ以上の制御装置(114、119、124、129、134、139、144、149、154、159、及び195)は以下の工程を実行するように備えられても良い。前記以下の工程とは、a1)追加の被処理S-Dウエハ上の必要なサイト数から、関連する第1検証用部位を有する第1サイトを選ぶ工程、b1)追加の被処理S-Dウエハ上に存在する未検証の測定及び/又は検査データを有する第1サイトから追加の未検証データを取得する工程、c1)追加のS-Dウエハ上の第1サイトを用いることによって追加の被処理S-Dウエハについて追加検証データを設定する工程であって、前記追加の検証データは検証された測定及び/又は検査データを有する工程、d1)追加の未検証データと追加の検証データとの間の追加の差異を用いることによって追加の被処理S-Dウエハ上の第1サイトについての追加の信頼値を設定する工程、e1)追加の信頼値、追加の差異、第1信頼値、第1差異、若しくはウエハデータ、又はこれらの結合を用いることによって第1未検証S-D処理についての追加リスク因子を設定する工程、f1)追加のリスク因子、追加の信頼値、追加の差異、第1リスク因子、第1信頼値、第1差異、又はウエハデータを用いた第1未検証S-D処理についての追加の全リスク因子を設定する工程、g1)追加の全リスク因子が追加の閾値以下であるときには、関連する追加の全リスク因子を有する検証された処理として第1未検証S-D処理を認定して、必要なサイト数を1つ減らしてアクセスされたサイト数を1つ増やす工程、h1)追加の全リスク因子が新たな閾値以上であるときには、関連する追加第2リスク因子を有する追加の未検証処理として第1未検証S-D処理を認定して、必要なサイト数を1つ増やしてアクセスされたサイト数を1つ減らす工程、i1)必要サイト数が0よりも大きいときにはa1)-h2)を繰り返す工程、かつj1)必要サイト数が0に等しいときには第1ウエハの検証を止める工程、である。
追加の被処理S-Dウエハ上の追加の必要サイトが用いられるとき、1つ以上の制御装置(114、119、124、129、134、139、144、149、154、159、及び195)は以下の工程を実行するように備えられても良い。前記以下の工程とは、a2)追加の被処理S-Dウエハ上の必要なサイト数から、第1未検証S-D処理によって生成された第1検証用部位を有する新たなサイトを選ぶ工程、b2)追加の被処理S-Dウエハ上に存在する未検証の測定及び/又は検査データを有する新たなサイトから追加の新たな未検証データを取得する工程、c2)追加の被処理S-Dウエハ上の新たなサイトを用いることによって追加の被処理S-Dウエハについて新たな追加検証データを設定する工程であって、前記新たな追加検証データは検証された測定及び/又は検査データを有する工程、d2)新たな追加の未検証データと新たな追加の検証データとの間の新たな追加の差異を用いることによって追加の被処理S-Dウエハ上の新たなサイト用いて新たな追加の信頼値を設定する工程、e2)新たな追加の信頼値、新たな追加の差異、追加の信頼値、追加の差異、第1信頼値、第1差異、若しくはウエハデータ、又はこれらの結合を用いることによって第1未検証S-D処理についての新たな追加リスク因子を設定する工程、f2)新たな追加のリスク因子、新たな追加の信頼値、新たな追加の差異、追加のリスク因子、追加の信頼値、追加の差異、第1リスク因子、第1信頼値、第1差異、又はウエハデータを用いた第1未検証S-D処理についての新たな追加の全リスク因子を設定する工程、g2)新たな全リスク因子が新たな追加の閾値限界以下であるときには、関連する新たな追加の全リスク因子を有する検証された処理として第1未検証S-D処理を認定して、必要なサイト数を1つ減らしてアクセスされたサイト数を1つ増やす工程、h2)追加の第1リスク因子が新たな閾値以上であるときには、関連する追加第2リスク因子を有する追加の未検証処理として第1未検証S-D処理を認定して、必要なサイト数を1つ増やしてアクセスされたサイト数を1つ減らす工程、i2)必要サイト数が0よりも大きいときにはa2)-h2)を繰り返す工程、かつj2)必要サイト数が0に等しいときには第1ウエハの検証を止める工程、である。
猶予された被処理S-Dウエハ上の追加の必要サイトが用いられるとき、1つ以上の制御装置(114、119、124、129、134、139、144、149、154、159、及び195)は以下の工程を実行するように備えられても良い。前記以下の工程とは、a3)猶予された被処理S-Dウエハ上の残りのサイト数から第1検証用部位を有するサイトを選ぶ工程、b3)猶予された被処理S-Dウエハ上に存在する未検証の測定及び/又は検査データを有するサイトから猶予された未検証データを取得する工程、c3)猶予された被処理S-Dウエハ上のサイトを用いることによって猶予された被処理S-Dウエハについて猶予された検証データを設定する工程であって、前記猶予された検証データは検証された測定及び/又は検査データを有する工程、d3)猶予された未検証データと猶予された検証データとの間の猶予された差異を用いることによって猶予された被処理S-Dウエハ上のサイトについての猶予された信頼値を設定する工程、e3)猶予された信頼値、猶予された差異、追加の信頼値、追加の差異、第1信頼値、第1差異、若しくはウエハデータ、又はこれらの結合を用いることによって第1未検証S-D処理についての猶予された追加リスク因子を設定する工程、f3)猶予されたリスク因子、猶予された信頼値、猶予された差異、第1リスク因子、第1信頼値、第1差異、若しくはウエハデータ、又はこれらの結合を用いた第1未検証S-D処理についての猶予された全リスク因子を設定する工程、g3)猶予された全リスク因子が猶予された閾値限界以下であるときには、関連する猶予された全リスク因子を有する検証された処理として第1未検証S-D処理を認定して、必要なサイト数を1つ減らしてアクセスされたサイト数を1つ増やす工程、h3)猶予された全リスク因子が追加の閾値以上であるときには、関連する追加第2リスク因子を有する追加の未検証処理として第1未検証S-D処理を認定して、必要なサイト数を1つ増やしてアクセスされたサイト数を1つ減らす工程、i3)必要サイト数が0よりも大きいときにはa3)-h3)を繰り返す工程、かつj3)必要サイト数が0に等しいときには第1ウエハの検証を止める工程、である。
様々な実施例では、1つ以上の処理装置は、1つ以上のS-Dリソグラフィ関連処理装置、1つ以上のS-Dスキャナ関連処理装置、1つ以上のS-D検査関連処理装置、1つ以上のS-D測定関連処理装置、1つ以上のS-D評価関連処理装置、1つ以上のS-Dエッチング関連処理装置、1つ以上のS-D堆積関連処理装置、1つ以上のS-D熱処理関連処理装置、1つ以上のS-Dコーティング関連処理装置、1つ以上のS-D位置合わせ関連処理装置、1つ以上のS-D研磨関連処理装置、1つ以上のS-D保存関連処理装置、1つ以上のS-D搬送関連処理装置、1つ以上のS-D洗浄関連処理装置、1つ以上のS-D再加工関連処理装置、1つ以上のS-D酸化関連処理装置、1つ以上のS-D窒化関連処理装置、若しくは1つ以上のS-外部処理装置、又はこれらの結合を有して良い。
それに加えて、第1未検証S-D処理はリアルタイムでの実行が可能であり、かつ、1つ以上のS-Dリソグラフィ関連処理装置、1つ以上のS-Dスキャナ関連処理装置、1つ以上のS-D検査関連処理装置、1つ以上のS-D測定関連処理装置、1つ以上のS-D評価関連処理装置、1つ以上のS-Dエッチング関連処理装置、1つ以上のS-D堆積関連処理装置、1つ以上のS-D熱処理関連処理装置、1つ以上のS-Dコーティング関連処理装置、1つ以上のS-D位置合わせ関連処理装置、1つ以上のS-D研磨関連処理装置、1つ以上のS-D保存関連処理装置、1つ以上のS-D搬送関連処理装置、1つ以上のS-D洗浄関連処理装置、1つ以上のS-D再加工関連処理装置、1つ以上のS-D酸化関連処理装置、1つ以上のS-D窒化関連処理装置、若しくは1つ以上のS-外部処理装置、又はこれらの結合を有して良い。
一部の実施例では、未検証データは、S-D強度データ、S-D透過率データ、S-D吸収率データ、S-D反射率データ、S-D回折データ、S-D光学特性データ、S-D画像データ、又はこれらの結合を有して良い。検証データは、履歴データ、ライブラリデータ、光計測データ、イメージングデータ、粒子データ、CD-走査電子顕微鏡(CD-SEM)データ、透過型電子顕微鏡(TEM)データ、及び/又は集束イオンビーム(FIB)データを有して良い。閾値限界はS-Dデータを有して良い。そのS-Dデータには、適合度データ、CDデータ、精度データ、波長データ、側壁データ、粒子データ、プロセスデータ、履歴データ、又はこれらの結合が含まれる。
一例では、第1組のS-D検証部位が、露光されたマスクを現像することによって第1S-D被処理ウエハ上に生成される。他の例では、第1組のS-D検証部位は、1層以上の層をエッチングすることによって第1S-D被処理ウエハ上に生成される。他の例では、第1組のS-D検証部位は、堆積されたマスク層を露光することによって第1S-D被処理ウエハ上に生成される。
本明細書に開示されている様々な実施例では、ウエハは1層以上の層を有して良い。その1層以上の層には、半導体材料、炭素材料、誘電材料、ガラス材料、セラミック材料、金属材料、酸化物材料、マスク材料、若しくは平坦化材料、又はこれらの結合が含まれる。
一部の例では、リソグラフィ関連処理装置は、S-D及び/若しくはS-Dであるマスク層の堆積処理、マスク層の露光処理、並びに/又は現像処理を実行して良く、かつ、評価装置は、S-D及び/若しくはS-Dであるマスク層の堆積処理、マスク層の露光処理、並びに/又は現像処理の検証に用いられて良い。
S-D搬送シーケンスは、使用されるS-D搬送サブシステム、使用される搬送装置の数、搬送回数、及び/又は搬送速度を決定するのに用いられて良い。
S-Dウエハ状態データは、必要サイト数、アクセスされた(評価/完了した)サイト数、若しくは残りのサイト数、又はこれらの結合に依存して良い。S-D処理状態データは、必要処理数、完了した処理数、若しくは残りの処理数、又はこれらの結合に依存して良い。一場合によっては、すでに測定されたサイトで優れた結果が得られたときには、実際に実行される評価数は本来の数よりも少なくて良い。
スループット時間は、1つ以上のウエハを処理するのに必要な処理装置数の決定に用いられて良い。
S-D処理が検証されたとき、S-D処理及びS-D処理に関連するデータはライブラリ及び/又はデータベース内に保存されて良い。
製品が開発されるとき、1つ以上のS-Dライブラリが、生成、精緻化、更新、及び/又は使用されて良い。S-D評価ライブラリはサイト依存するS-D部位、特性、構造、処理、像、及び/又は光学データを有して良い。
処理システム100は、S-D生成処理及び/又はS-D評価処理を用いて、1つ以上のS-D評価ライブラリについてのS-Dデータを生成して良い。
一部の実施例では、1つ以上のウエハが、S-D搬送サブシステム(101、102)と結合する1つ以上の処理装置(112、117、122、127、132、137、142、147、及び157)によって受け取られ、かつそのS-D搬送サブシステム(101、102)は処理システム100内の1つ以上のサブシステム(110、115、120、125、130、135、140、145、150、及び155)と結合して良い。各ウエハは上に1層以上の層を有して良く、かつ関連するウエハデータを有して良い。そのウエハデータは履歴データ及び/又はリアルタイムデータを有して良い。
1つ以上の制御装置(114、119、124、129、134、139、144、149、154、159、及び195)は、第1組のS-Dウエハについてのウエハデータを受け取るように備えられて良い。
1つ以上の処理装置(112、117、122、127、132、137、142、147、及び157)は、1つ以上の第1S-D生成処理を実行して良い。ここで第1数の評価用サイトに1つ以上のライブラリ関連参照用部位を有する第1組の被処理S-Dウエハが生成される。
1つ以上の制御装置(114、119、124、129、134、139、144、149、154、159、及び195)は、各被処理S-Dウエハについて、多数の必要な生成サイト及び多数の必要な評価サイトを有するS-Dウエハ状態データを設定し、第1S-D評価処理を用いて評価されるべきである、第1数の被処理S-Dウエハを有する第1組の評価用ウエハを設定し、1つ以上のS-D搬送サブシステムと結合する1つ以上のサブシステム内の複数のS-D評価装置についての動作状態を設定し、1つ以上のS-D評価装置についての第1動作状態を用いることによって第1数の利用可能な評価装置を決定し、ウエハデータ、S-Dウエハデータ、第1数のS-D評価用ウエハ、若しくは第1数の利用可能な評価装置、又はこれらの結合を用いることによって第1S-D搬送シーケンスを設定し、かつS-D評価用ウエハの個数が利用可能な評価装置の個数である第1数よりも多いときに第1補正行為を適用するように備えられて良い。
S-D評価用ウエハの数が利用可能な評価用ウエハの個数である第1数以下であるとき、第1組のS-D評価用ウエハは、第1S-D搬送シーケンスを用いることによって、1つ以上の評価サブシステム(135、150)内の第1数の利用可能な評価装置(137、152)へ搬送されて良い。1つ以上のS-D搬送サブシステム(101、102)は検査サブシステム135及び評価サブシステム150と結合して良い。
それに加えて、1つ以上の制御装置(114、119、124、129、134、139、144、149、154、159、及び195)は、a)ウエハデータ、第1S-D生成処理からのデータ、S-Dウエハ状態データ、若しくはS-D評価ライブラリ生成規則、又はこれらの結合を用いることによって各S-D評価用ウエハについて必要な評価サイト数を決定し、b)第1被処理ウエハ上の必要なサイト数から、第1 S-D生成処理を用いて生成された第1ライブラリ関連の参照用部位を有する第1サイトを選び、c)第1S-D評価用ウエハ上に存在する第1ライブラリ関連の測定及び/又は検査データを有する第1サイトから第1ライブラリ関連の評価データを取得し、d)第1S-D評価用ウエハ上の第1サイトについて、予測された測定及び/又は検査データを有する第1予測データを設定し、e)第1ライブラリ関連の評価データと第1予測データを用いて計算された第1ライブラリ関連の差異を用いることによって第1S-D評価用ウエハ上の第1サイトについての第1信頼値を設定し、f)第1信頼値、第1ライブラリ関連の差異、若しくはウエハデータ、又はこれらの結合を用いることによって第1 S-D評価用ウエハ上の第1サイトについての第1リスク因子を設定し、g)第1リスク因子、第1信頼値、第1ライブラリ関連の差異、若しくはウエハデータ、又はこれらの結合を用いた第1S-D評価用ウエハ上の第1サイトについての第1全リスク因子を設定し、h)第1全リスク因子が第1ライブラリ関連の生成基準以下であるときには、関連する第1全リスク因子を有する第1検証サイトとして第1S-D評価用ウエハ処理上の第1サイトを認定して、必要なサイト数を1つ減らしてアクセスされたサイト数を1つ増やし、かつ第1サイトに関連するデータをS-D評価ライブラリ内の検証されたデータとして保存し、かつh)前記第1の検証されたサイトが検証されたライブラリ関連データを有するときには、関連する第2リスク因子を有する第1の未検証サイトとして第1サイトを認定して、必要なサイト数を1つ増やしてアクセスされたサイト数を1つ減らす、ように備えられて良い。ここで第1検証されたサイトは、検証されたライブラリ関連データを有する。
S-D評価ライブラリが生成されるとき、第1S-D評価用ウエハ上の新たなサイトが用いられ、かつ1つ以上の制御装置(114、119、124、129、134、139、144、149、154、159、及び195)は以下の工程を実行するように備えられても良い。前記以下の工程とは、a)第1S-D評価用ウエハ上の必要なサイト数から、第1S-D生成処理を用いて生成された新たなライブラリ関連の参照用部位を有する新たなサイトを選ぶ工程、b)第1S-D評価用ウエハ上に存在するライブラリ関連の測定及び/又は検査データを有する新たなサイトから新たなライブラリ関連の評価データを取得する工程、c)第1S-D評価用ウエハ上の新たなサイトについて新たな予測データを設定する工程であって、前記新たな予測データは新たに予測された測定及び/又は検査データを有する工程、d)新たなライブラリ関連データと新たな予測データを用いることによって計算された新たなライブラリ関連の差異を用いることによって第1S-D評価用ウエハ上の新たなサイトについての新たな信頼値を設定する工程、e)新たな信頼値、新たなライブラリ関連の差異、第1信頼値、第1ライブラリ関連の差異、若しくはウエハデータ、又はこれらの結合を用いることによって第1 S-D評価用ウエハ上の新たなサイトについての新たなリスク因子を設定する工程、f)新たなリスク因子、新たな信頼値、新たなライブラリ関連の差異、第1リスク因子、第1信頼値、第1ライブラリ関連の差異、若しくはウエハデータ、又はこれらの結合を用いた第1S-D評価用ウエハ上の新たなサイトについての新たな全リスク因子を設定する工程、g)新たな全リスク因子が新たなライブラリ関連の生成基準限界以下であるときには、関連する新たな全リスク因子を有する新たな検証されたサイトとして第1 S-D評価用ウエハ上の新たなサイトを認定して、必要なサイト数を1つ減らしてアクセスされたサイト数を1つ増やして、かつ新たなサイトに関連するデータを評価ライブラリ内の検証されたデータとして保存する工程、h)新たな全リスク因子が新たなライブラリ関連の生成基準限界以上であるときには、関連する新たな第2リスク因子を有する新たな未検証サイトとして第1 S-D評価用ウエハ上の新たなサイトを認定して、必要なサイト数を1つ増やしてアクセスされたサイト数を1つ減らす工程、i)必要サイト数が0よりも大きいときにはa)-h)を繰り返す工程、かつj)必要サイト数が0に等しいときには第1ウエハの検証を止める工程、である。
S-D評価ライブラリが生成されるとき、第1S-D評価用ウエハ上の追加のサイトが用いられ、かつ1つ以上の制御装置(114、119、124、129、134、139、144、149、154、159、及び195)は以下の工程を実行するように備えられても良い。前記以下の工程とは、a1)追加のS-D評価用ウエハを選ぶ工程、b1)追加のS-D評価用ウエハについての第1数の必要なサイトを決定する工程、c1)追加のS-D評価用ウエハ上の第1数の必要サイト数から追加サイトを選ぶ工程であって、前記追加サイトは、第1S-D生成処理を用いて生成された追加のライブラリ関連参照(評価)用部位を有する工程、d1)追加のS-D評価用ウエハ上の追加サイトから追加のライブラリ関連評価データを取得する工程であって、前記追加サイトは追加のライブラリ関連の測定及び/又は検査データを有する工程、e1)追加のS-D評価用ウエハ上の追加サイトについて追加の予測データを設定する工程、f1)追加のライブラリ関連評価データと追加の予測データを用いることによって計算された追加のライブラリ関連の差異を用いることによって追加のS-D評価用ウエハ上の追加サイトについて追加の信頼値を設定する工程、g1)追加の信頼値、追加のライブラリ関連の差異、新たな信頼値、新たなライブラリ関連の差異、第1信頼値、第1ライブラリ関連の差異、若しくはウエハデータ、又はこれらの結合を用いることによって追加のS-D評価用ウエハ上の追加サイトについての追加のリスク因子を設定する工程、h1)追加のリスク因子、追加の信頼値、追加のライブラリ関連の差異、新たなリスク因子、新たな信頼値、新たなライブラリ関連の差異、第1リスク因子、第1信頼値、第1ライブラリ関連の差異、若しくはウエハデータ、又はこれらの結合を用いた追加のS-D評価用ウエハ上の追加サイトについて追加の全リスク因子を設定する工程、i1)追加の全リスク因子が追加のライブラリ関連の生成基準限界以下であるときには、関連する追加の全リスク因子を有する追加の検証されたサイトとして追加の S-D評価用ウエハ上の追加サイトを認定して、必要なサイト数を1つ減らしてアクセスされたサイト数を1つ増やして、かつ追加サイトに関連するデータを評価ライブラリ内の検証されたデータとして保存する工程、j1)追加の全リスク因子が追加のライブラリ関連の生成基準限界以上であるときには、関連する追加の第2リスク因子を有する追加の未検証サイトとして追加のS-D評価用ウエハ上の追加サイトを認定して、必要なサイト数を1つ増やしてアクセスされたサイト数を1つ減らす工程、k1)必要サイト数が0よりも大きいときにはa1)-j1)を繰り返す工程、かつl1)必要サイト数が0に等しいときには第1ウエハの検証を止める工程、である。
一部の例では、第1補正行為が実行されるとき、1つ以上の制御装置(114、119、124、129、134、139、144、149、154、159、及び195)は、第1数のS-D処理ウエハと第1数の利用可能な処理装置との差異を用いることによって第1数の猶予されたS-Dウエハを決定するように備えられて良く、かつ1つ以上のS-D搬送サブシステム(101、102)内の1つ以上の搬送装置104は、第1期間の間、第1数の猶予されたウエハを保存及び/又は猶予するように備えられて良い。
他の例では、第1補正行為が実行されるとき、1つ以上の制御装置(114、119、124、129、134、139、144、149、154、159、及び195)は、第1数のS-D評価用ウエハと第1数の利用可能な評価装置の差異を用いて第1数の猶予されたS-Dウエハを決定し、第1の猶予されたS-D評価用ウエハについての更新されたS-Dウエハ状態データを決定し、第1処理サブシステム内の1つ以上のS-D処理装置についての更新された動作状態データを決定し、第1の猶予されたS-D評価用ウエハについての第1の更新された搬送シーケンスを決定し、更新された動作状態データを用いることによって1つ以上の新たに利用可能となったS-D処理装置を特定し、かつ第1の新たに利用可能となったS-D評価装置が利用可能でないときには第2補正行為を適用するように備えられて良い。それに加えて、1つ以上のS-D搬送サブシステム(101、102)内の1つ以上の搬送装置104は、1つ以上の新たに利用可能となったS-D処理装置が利用可能となったときには、第1の更新された搬送シーケンスを用いることによって、1つ以上の猶予されたウエハを搬送するように備えられて良い。
追加の例では、補正行為は、処理を中止する工程、処理を中断する工程、1つ以上のS-D評価用ウエハを再評価する工程、1つ以上のS-D評価用ウエハを再測定する工程、1つ以上のS-D評価用ウエハを再検査する工程、1つ以上のS-D評価用ウエハを再加工する工程、1つ以上のS-D評価用ウエハを保存する工程、1つ以上のS-D評価用ウエハを洗浄する工程、1つ以上のS-D評価用ウエハの搬送を猶予する工程、若しくは1つ以上のS-D評価用ウエハを取り除く工程、又はこれらを結合した工程を有して良い。
1組の追加処理工程は、被処理S-DウエハについてのS-D信頼性マップを計算する工程であって、前記S-D信頼性マップのうちの第1S-D信頼性マップは、各被処理S-Dウエハ上の第1数の評価サイトで生成された1つ以上のライブラリ関連の参照用部位についての信頼性データを有する、工程、及び、被処理S-Dウエハについての信頼性マップを用いることによって第1組の評価用ウエハを設定する工程を有して良い。
第2組の追加処理工程は、被処理S-DウエハについてのS-D信頼性マップを計算する工程であって、前記S-D信頼性マップのうちの第1S-D信頼性マップは、各被処理S-Dウエハ上の第1数の評価サイトで生成された1つ以上のライブラリ関連の参照用部位についての信頼性データを有する、工程、第1S-D信頼性マップでの1つ以上の値が第1信頼性限界内でないときには、必要な評価サイトの数を1つ以上減らす工程、及び、第1S-D信頼性マップでの1つ以上の値が第1信頼性限界内であるときには、必要な評価サイトの数を1つ以上増やす工程、を有して良い。
第3組の追加処理工程は、被処理S-DウエハについてのS-Dリスク評価マップを計算する工程であって、前記S-D信頼性マップのうちの第1リスク評価は、各被処理S-Dウエハ上の第1数の評価サイトで生成された1つ以上のライブラリ関連の参照用部位についてのリスク評価を有する、工程、第1S-Dリスク評価での1つ以上の値が第1信頼性限界内でないときには、必要な評価サイトの数を1つ以上減らす工程、及び、第1S-Dリスク評価での1つ以上の値が第1信頼性限界内であるときには、必要な評価サイトの数を1つ以上増やす工程、を有して良い。
代替実施例では、第1組の非S-Dウエハが決定され、これらのウエハは第1非S-D処理シーケンスを用いて処理され、かつ第1非S-D処理シーケンスは1つ以上の非S-D処理を有して良い。第1組の非S-Dウエハは、S-D搬送サブシステムを用いることによって、1つ以上の第1サブシステム内の1つ以上の第1非S-D処理装置へ搬送されて良く、かつ第1非S-D処理シーケンスは、1つ以上の第1サブシステム内の1つ以上の第1非S-D処理装置の決定に用いられて良い。
一部の実施例では、S-D評価ライブラリデータは、適合度データ、生成規則データ、S-D測定データ、S-D検査データ、S-D検証データ、S-Dマップデータ、S-D信頼性データ、S-D精度データ、S-Dプロセスデータ、若しくはS-D均一性データ、又はこれらの結合を含んで良い。
図2は、本発明の実施例によるS-D処理を用いたウエハ処理方法の典型的フローダイアグラムを表している。ウエハは1層以上の層を有して良い。前記1層以上の層には、半導体材料、炭素材料、誘電材料、ガラス材料、セラミック材料、金属材料、酸化物材料、ドーピング材料、マスク材料、若しくは平坦化材料、又はこれらの結合が含まれる。場合によっては、S-D処理は製造サイクル全体を通じて用いられても良い。また場合によっては、より重要な処理工程が実行されるときには、S-D処理は製造サイクルの早い段階で用いられても良い。一部の例では、S-D処理は、nMOS構造とpMOS構造との間での移動度の差を生じさせ、テスト構造の位置を特定し、線幅の粗さ及び/又は線端部の粗さを改善し、かつオーバーレイ問題を改善するのに用いられて良い。
一部の例では、ウエハデータは、リアルタイムデータ、履歴データ、S-D信頼性データ、非S-D信頼性データ、S-Dリスクデータ、非S-Dリスクデータ、S-D限界データ、若しくは非S-D限界データ、又はこれらの結合を有して良い。
205では、1つ以上のウエハが、処理システム100内の1つ以上のサブシステム(110、115、120、125、130、135、140、145、150、及び155)によって受け取られて良い。一部の実施例では、1つ以上のウエハが、1つ以上のサブシステム(110、115、120、125、130、135、140、145、150、及び155)と結合する1つ以上の搬送サブシステム(101、102)によって受け取られて良い。あるいはその代わりに、1つ以上のウエハは異なるサブシステムによって受け取られて良い。それに加えて、システム制御装置195は、1つ以上のウエハについてのウエハデータを受け取るのに用いられて良い。あるいはその代わりに、一部のウエハデータが異なる制御装置によって受け取られても良い。ウエハデータは履歴データ及び/又はリアルタイムデータを有して良い。たとえば、ウエハデータはS-Dデータ及び/又は非S-Dデータを有して良い。前記S-Dデータ及び/又は非S-Dデータには、1つ以上のウエハについての、ウエハ関連マップ、処理関連マップ、損傷評価マップ、参照マップ、測定マップ、予測マップ、リスクマップ、検査マップ、検証マップ、評価マップ、粒子マップ、及び/又は(複数の)信頼性マップが含まれて良い。一部の場合では、MES180は、システム制御装置195及び1つ以上のサブシステム(110、115、120、125、130、135、140、145、150、及び155)とデータをやり取りして良く、かつ、データは処理シーケンス及び/若しくは搬送シーケンスの決定並びに/又は制御に用いられて良い。やり取りされたデータは、各ウエハについていずれのS-D及び/又は非S-D処理を用いるのかを決定するのに用いられて良い。そのデータには、システムデータ、サブシステムデータ、チャンバデータ、製品データ、センサデータ、及び履歴データが含まれて良い。
ウエハはS-Dウエハ及び/又は非S-Dウエハを有して良い。各S-DウエハについてS-Dウエハ状態データが設定されて良く、各非S-Dウエハについて非S-Dウエハ状態データが設定されて良い。
210では、S-DウエハについてのS-D処理及び/又は搬送シーケンスが、ウエハデータ及びS-Dウエハ状態データを用いることによって設定されて良い。非S-Dウエハについての非S-D処理及び/又は搬送シーケンスが、ウエハデータ及び非S-Dウエハ状態データを用いることによって設定されて良い。あるいはその代わりに他のシーケンス及び追加データが用いられても良い。
検証関連シーケンスが、S-D処理、S-Dウエハ、及び/又はS-Dライブラリ内で用いられるサイトを検証するために設定されて良い。検証関連シーケンスは、S-D生成処理、S-D搬送処理、S-D検証処理、S-D評価処理、S-D測定処理、S-D検査処理、又はこれらの結合を有して良い。あるいはその代わりに非S-D処理が含まれても良い。1つ以上のS-Dウエハが1つ以上のプロセス関連処理を用いて処理されて良く、かつプロセス検証処理シーケンスを用いて検証されて良い。
S-D処理におけるサイトは、トランジスタ内のゲート構造、トランジスタ内のドレイン構造、トランジスタ内のソース構造、キャパシタ構造、ビア構造、溝構造、2次元メモリ構造、3次元メモリ構造、側壁角、底部限界寸法(CD)、上部CD、中間CD、アレイ、周期構造、位置合わせ部位、ドーピング部位、歪み部位、損傷構造、若しくは参照用構造、又はこれらの結合に関連づけられて良い。
S-D処理シーケンス及び/又は非S-D処理シーケンスは、1つ以上のマスク生成処理、1つ以上の堆積処理、1つ以上のコーティング処理、1つ以上のエッチング処理、1つ以上の熱処理、1つ以上の注入処理、1つ以上のドーピング処理、1つ以上の露光処理、1つ以上の酸化処理、1つ以上の窒化処理、1つ以上のイオン化処理、1つ以上の現像処理、1つ以上のリソグラフィ処理、1つ以上のスキャナ関連処理、1つ以上の測定処理、1つ以上の検査処理、1つ以上の評価処理、1つ以上のシミュレーション処理、1つ以上の予測処理、1つ以上の再加工処理、1つ以上の保存処理、1つ以上の搬送処理、1つ以上のロードロック処理、若しくは1つ以上の洗浄処理、又はこれらの結合を有して良い。
一部の例では、S-D処理シーケンスは、より少ない数のウエハを用いて実行できる前処理及び/又は後処理を有して良い。前処理及び/又は後処理は、S-Dであって、かつ処理、評価、測定、検査、検証、及び/又は損傷評価処理を有して良い。製品の寿命の間、処理シーケンスは製品が十分な品質となるまで何回も変化して良い。前処理及び/又は後処理の程度は、それぞれのウエハ及び/又は回数で異なって良い。一部のウエハは、検証用、検査用、評価用、損傷評価用、試験用、及び/又は先行(Send-Ahead)ウエハとして認定されて良く、かつ前処理及び/又は後処理は、これらのウエハの一部の上で行われて良い。製品が十分に開発及び/又は検証されるとき、プロセス結果はばらつく場合があり、かつ追加処理がより多数のウエハ上で行われる場合がある。たとえば追加S-D処理が必要なときには、前処理及び/又は後処理が、ウエハ上の所定のサイト数を用いて実行されて良い。
215では、各S-Dウエハについての必要な生成処理数が、1つ以上のS-D検証関連シーケンス、ウエハデータ、S-Dウエハ状態データ、及び必要に応じて他のデータを用いることによって決定されて良い。それに加えて、各非S-Dウエハについての必要な生成処理数が、1つ以上の非S-D検証関連シーケンス、ウエハデータ、非S-Dウエハ状態データ、及び必要に応じて他のデータを用いることによって決定されて良い。あるいはその代わりに追加データが用いられても良い。
場合によっては、ウエハ状態データは、必要な処理関連サイト数、アクセスされた処理関連サイト数、若しくは残りの処理関連サイト数、又はこれらの結合を有して良い。各「処理される予定の」S-DウエハについてS-D生成処理が決定されて良い。S-D生成処理は1つ以上のプロセス関連処理を有して良い。S-D生成処理は、S-D処理サブシステム及び/又は使用される処理サブシステム内でのS-D処理装置の識別に用いられて良い。
220では、各S-Dウエハについての必要な評価処理数が、1つ以上のS-D処理シーケンス、ウエハデータ、及びS-Dウエハデータを用いることによって決定されて良い。それに加えて、各非S-Dウエハについての必要な評価処理数が、1つ以上の非S-D処理シーケンス、ウエハデータ、及び非S-Dウエハデータを用いることによって決定されて良い。あるいはその代わりに追加データが用いられても良い。
場合によっては、ウエハ状態データは、必要な評価関連サイト数、アクセスされた評価関連サイト数、若しくは残りの評価関連サイト数、又はこれらの結合を有して良い。「評価される予定の」サイト、ウエハ、処理、及び/又はライブラリについてS-D評価処理が決定されて良い。S-D評価処理は、1つ以上の検証、評価、測定、検査、及び/又はテスト処理を有して良い。それに加えて、「検証される予定の」サイト、ウエハ、処理、及び/又はライブラリについてS-D評価処理が決定されて良い。S-D評価サブシステム及び/又は使用されるS-D評価装置は、使用される検証サブシステム内でのS-D評価処理を特定するのに用いられて良い。ウエハ状態データは、必要な検証関連サイト数、アクセスされた検証関連サイト数、若しくは残りの検証関連サイト数、又はこれらの結合を有して良い。
他の場合では、ウエハ状態データは、必要な検証サイト数、アクセスされた検証関連サイト数、若しくは残りの検証関連サイト数、又はこれらの結合を有して良い。「検証される予定の」サイト、ウエハ、処理、及び/又はライブラリについてS-D検証処理が決定されて良い。S-D検証処理は、1つ以上の検証、評価、測定、検査、及び/又はテスト処理を有して良い。S-D検証処理は、S-D検証サブシステム及び/又は使用される検証サブシステム内のS-D検証装置を特定するのに用いられて良い。
225では、各S-Dウエハについての1つ以上のS-D搬送シーケンスが、S-Dシーケンスデータ、搬入データ、利用可能性データ、動作状態データ、処理データ、システムデータ、サブシステムデータ、ウエハデータ、若しくはS-Dウエハ状態データ、又はこれらの結合を用いることによって設定されて良い。それに加えて、各非S-Dウエハについて1つ以上の非S-D搬送シーケンスが設定されても良い。あるいはその代わりに異なるデータが用いられても良い。
一部の例では、第1S-D搬送シーケンスが決定され、かつその第1S-D搬送シーケンスは第1ウエハ又は第1群のウエハを搬送するのに用いられて良い。第1ウエハ又は第1群のウエハからのデータは、他の関連ウエハに関する判断を行うのに用いられても良い。1つ以上の「高品質」ウエハ及び/又は「高性能」チャンバが処理中に用いられても良い。それに加えて、搬送及び/又は処理シーケンスは、「第1ウエハ効果」を除去及び/又は緩和するのに用いられて良い。S-D搬送シーケンスは、使用されるS-D搬送サブシステム、使用される搬送装置数、搬入順序、搬送回数、及び/又は搬送速度を決定するのに用いられて良い。
リソグラフィ関連シーケンスが実行されるとき、リソグラフィ関連生成処理を用いることによって、1つ以上のS-Dウエハ上の1つ以上の位置に1つ以上のリソグラフィ関連評価部位が生成されて良く、かつ、リソグラフィ関連評価処理を用いることによって、1つ以上のリソグラフィ関連評価部位が評価されて良い。
一部の例では、MES180は、1つ以上の検証関連シーケンス、1つ以上の処理関連シーケンス、1つ以上の生成処理、1つ以上のS-D評価処理、若しくは1つ以上の搬送連シーケンス、又はこれらの結合を供して良い。他の例では、MES180は、1つ以上の検証関連シーケンス、1つ以上の処理関連シーケンス、1つ以上の生成処理、1つ以上のS-D評価処理、若しくは1つ以上の搬送連シーケンス、又はこれらの結合の設定に用いることのできる情報を供して良い。
サブシステム内の内部S-D供給素子と結合する内部搬送システム、S-D搬送サブシステム内のS-D供給素子と結合する搬送装置、搬送装置間でのやり取り、搬送装置と処理装置との間でのやり取り、及び搬送装置とS-Dサブシステムとの間でのやり取りについて、S-D搬送シーケンスが設定されて良い。
230では、第1組のS-D「処理される」ウエハは、1つ以上の処理サブシステム内の1つ以上の利用可能なS-D処理装置へ搬送されて良い。1つ以上の処理サブシステム内の1つ以上のS-D処理装置について動作状態データが決定されて良い。動作状態データは、1つ以上の利用可能なS-D処理装置の決定に用いられて良い。他の場合によっては、処理は非S-D処理装置を用いて実行されて良く、かつ搬送シーケンスはこの処理を可能にするように設定されて良い。
たとえば処理装置についての動作状態データは、利用可能性データ、処理装置についての適合データ、一部の処理工程及び/又はサイトについての予想される処理時間、処理装置についての信頼性データ及び/又はリスクデータ、1つ以上の処理関連サイトについての信頼性データ及び/又はリスクデータを有して良い。
一部の例では、1つ以上の処理サブシステム内の1つ以上のS-D処理装置についてリアルタイムの動作状態が設定されて良い。第1数の第1S-D処理装置が利用可能であるとき、第1数である1組のS-D処理ウエハは、S-D搬送サブシステムを用いることによって、第1数のS-D処理装置へ搬送されて良い。S-D処理装置が前記1組のS-D処理ウエハ中の他のS-Dウエハについて利用可能でないときには、前記の1組のS-D処理ウエハ中の他のS-Dウエハは第1期間だけ搬送が猶予されて良い。ウエハがS-D処理装置に対して搬入出される際に、動作状態が変化して良い。リアルタイム搬送シーケンスは、リソグラフィ関連サブシステム内の第1S-D処理装置に対してウエハを搬入出するように、設定及び利用されて良い。更新された動作状態は、リアルタイムで1つ以上の処理装置及び/又は1つ以上のサブシステムへの問い合わせを行うことによって取得することができる。更新された搬入データは、リアルタイムで1つ以上の搬送装置及び/又は1つ以上の搬送サブシステムへの問い合わせを行うことによって取得することができる。
猶予されたウエハは、「猶予された」処理シーケンス及び/又は「猶予された」搬送シーケンスを用いることによって処理及び/又は搬送されて良い。「猶予された」処理シーケンス及び/又は「猶予された」搬送シーケンスは、猶予された処理を有して、かつ猶予されたデータを供することができる。たとえば「新たに利用可能となった」S-D評価装置が特定されるとき、猶予されたS-D評価用ウエハは、「猶予された」搬送シーケンスを用いることによって、1つ以上の評価サブシステム内の「新たに利用可能となった」S-D評価装置へ搬送されて良い。
235では、生成処理が実行されて良い。検証されたS-D生成処理は、1つ以上のサイトに1つ以上の検証された部位を有する検証されたウエハを生成するのに用いられて良い。未検証生成処理は、1つ以上のサイトに1つ以上の未検証部位を有する未検証ウエハを生成するのに用いられて良い。S-D及び/若しくは非S-D生成処理が実行される前、間、並びに/又は後に、ウエハデータ、処理装置、及び/若しくは処理サブシステムのデータが取得並びに/又は保存されて良い。
一部の生成処理の間、S-D処理の1つ以上の処理工程中に1つ以上の処理依存サイトから出力データが取得されて良く、かつ、S-D出力データと処理依存サイトについて設定された1つ以上のS-D製品要件とを比較することによって、1つ以上のウエハについてのS-D信頼性データが設定されて良い。
240では、クエリーが、現在のウエハについて追加の生成処理がいつ必要になるのかを決定するのに用いられて良い。現在のウエハについて他の生成処理が必要であるときには、処理200は枝240へ戻って良い。現在のウエハについて他の生成処理が必要でないときには、処理200は枝250へ進んで良い。
245では、第1組のS-D評価用ウエハが設定されて良く、かつ第1組のS-D評価用ウエハは第1数のS-Dウエハを有して良い。
250では、第1組のS-D評価用ウエハのうちの1つ以上が、1つ以上の評価サブシステム内の1つ以上の利用可能なS-D評価装置へ搬送されて良い。1つ以上の評価サブシステム内の1つ以上のS-D評価装置について動作状態データが設定されて良い。動作状態データは、1つ以上の利用可能なS-D評価装置を決定するのに用いられて良い。一部の別な場合では、評価は非S-D評価装置を用いることによって行われて良く、かつ搬送シーケンスはこの評価を行うことができるように設定されて良い。それに加えて、第1組のS-D評価用ウエハのうちの1つ以上が、1つ以上の検査サブシステム内の1つ以上の利用可能なS-D評価装置へ搬送されて良い。1つ以上の検査サブシステム内の1つ以上のS-D評価装置について動作状態データが設定されて良い。動作状態データは、1つ以上の利用可能なS-D評価装置を決定するのに用いられて良い。一部の別な場合では、検査は非S-D評価装置を用いることによって行われて良く、かつ搬送シーケンスはこの評価を行うことができるように設定されて良い。
たとえば評価装置についての動作状態データは、評価装置についての適合データ、一部の評価工程及び/又はサイトについての予想される処理時間、評価装置についての信頼性データ及び/又はリスクデータ、1つ以上の評価サイトについての信頼性データ及び/又はリスクデータを有して良い。
一部の例では、S-D評価用ウエハの個数である第1数が利用可能な評価装置の個数である第1数以下であるとき、搬送シーケンスは、第1数のS-D評価用ウエハを第1数の利用可能な評価装置へいつどのようにして搬送するのかを決定するのに用いられて良い。第1組のS-Dウエハの個数である第1数が利用可能な評価装置の個数である第1数よりも多いとき、1つ以上の補正行為が適用されて良い。ここで第1数の利用可能な評価装置は第1動作状態を用いることによって決定される。
255では、評価用ウエハが選択されて良い。評価用ウエハには、第1ウエハ、追加ウエハ、及び/又は猶予されたウエハが含まれて良い。残りの評価用ウエハが検査されても良い。選択は、S-Dウエハ状態データ、処理シーケンス、残りのウエハ数、必要な評価及び/若しくは検証サイト数、アクセスされた評価及び/若しくは検証サイト数、残りの評価及び/若しくは検証サイト数、又はこれらの結合に基づいて決定されて良い。
260では、現在のウエハ上のサイトが選択されて良い。一部の例では、第1サイトは第1S-D評価用ウエハ上の必要サイト数から選ばれて良く、かつ第1サイトは、第1S-D生成処理を用いて生成された第1未検証評価用部位を有して良い。1つ以上の追加サイトは第1S-D評価用ウエハ上の必要サイト数から選ばれて良く、かつ追加サイトは、第1S-D生成処理を用いて生成された追加の未検証評価用部位を有して良い。第1ウエハは最も重要なウエハの1つであって良く、かつ、ウエハの群についての決定は、第1ウエハから得られる結果に基づいて良い。他の例では、決定は、追加ウエハ及び/又は猶予されたウエハからのデータに基づいて良い。
265では、評価処理が、選択されたサイトを用いることによって実行されて良い。サイトについての評価データは、S-D評価装置を用いて実行されたS-D評価処理を用いることによって取得されて良い。たとえば測定処理は測定データを供して良く、かつ/あるいは検査処理は検査データを供して良い。
一部の例では、第1サイトは評価及び/又は検証用ウエハ上の残りのサイト数から選ばれて良く、かつ第1サイトは関連する第1未検証部位を有して良い。第1未検証データは第1サイトから取得されて良い。第1サイトについての第1未検証データは第1未検証測定及び/又は検査データを有して良い。第1サイトについて第1検証データが設定されて良い。第1検証サイトは検証された測定及び/又は検査データを有して良い。第1サイトについての第1信頼性データが、第1未検証データと第1検証データとの間の第1差異を用いることによって設定されて良い。第1サイト、ウエハ、及び/又は処理についての第1リスクデータが、第1信頼性データを用いることによって設定されて良い。第1信頼性データが第1閾値限界以上であるとき、第1サイトは、第1信頼レベルを有する第1の検証されたサイトとして認定されて良く、残りのサイト数は1つ減って、かつアクセスされたサイト数は1つ増える。第1信頼性データが第1閾値限界未満であるとき、第1サイトは、第2信頼レベルを有する第1未検証サイトとして認定されて良く、残りのサイト数は1つ減って、かつアクセスされたサイト数は1つ増える。
一部の実施例では、未検証データは、トランジスタ内のゲート構造、トランジスタ内のドレイン構造、トランジスタ内のソース構造、キャパシタ構造、ビア構造、溝構造、2次元メモリ構造、3次元メモリ構造、側壁角、限界寸法(CD)、アレイ、周期構造、位置合わせ部位、ドーピング部位、歪み部位、損傷構造、若しくは参照用構造、又はこれらの結合についての評価データを有して良い。他の実施例では、未検証データは、評価データ、測定データ、検査データ、位置合わせデータ、検証データ、処理データ、ウエハデータ、ライブラリデータ、履歴データ、リアルタイムデータ、光学データ、層のデータ、熱データ、若しくは時間データ、又はこれらの結合を有して良い。あるいはその代わりに他のデータが用いられても良い。
一部の実施例では、検証されたデータは、トランジスタ内のゲート構造、トランジスタ内のドレイン構造、トランジスタ内のソース構造、キャパシタ構造、ビア構造、溝構造、2次元メモリ構造、3次元メモリ構造、側壁角、限界寸法(CD)、アレイ、周期構造、位置合わせ部位、ドーピング部位、歪み部位、損傷構造、若しくは参照用構造、又はこれらの結合についての、検証され、予測され、シミュレーションされた、及び/又はライブラリのデータを有して良い。他の実施例では、検証されたデータは、評価データ、測定データ、検査データ、位置合わせデータ、検証データ、処理データ、ウエハデータ、ライブラリデータ、履歴データ、リアルタイムデータ、光学データ、層のデータ、熱データ、若しくは時間データ、又はこれらの結合を有して良い。あるいはその代わりに他のデータが用いられても良い。
他の例では、1つ以上の信頼性及び/又はリスク限界が満たされているときには、1つ以上の評価用ウエハは評価及び/又は検証されたウエハとして認定され、又は、1つ以上の限界が満たされないときには、補正行為が適用されて良い。
履歴検証データはS-D検証ライブラリ中の第1S-D検証データを有して良く、S-D検証ライブラリ中の第1S-D検証データは第1の検証された構造データ及び関連する第1検証評価データを有して良く、かつ第1検証信号は第1S-D組の波長によって特徴付けられて良い。
リアルタイム検証データリアルタイムで取得された検証データを有して良い。たとえば、リアルタイム検証データは、前記ウエハに似た1つ以上のウエハ、同一のウエハロットの一部、同様の処理がされたウエハ、又はこれらの結合から得られたデータを用いて設定されて良い。履歴検証データは保存されたデータを有して良い。
1つ以上の限界が満たされるとき、S-D評価部位、構造、データ、ウエハ、処理、及び/又は像が検証されて良い。多数のサイト及び/又はウエハが評価されるとき、個々のウエハ及び/又はウエハの群についての信頼性及び/又はリスクデータが設定されて良い。あるいはその代わりに他のデータが用いられても良い。たとえば信頼性データ値は0から9の範囲であって良い。ここで0は失敗条件を表し、9は最も正確な性能を表す。それに加えて、リスクデータ値は0から9の範囲であって良い。ここで0は失敗又は高リスク条件を表し、9は最低リスク条件を表す。あるいはその代わりに他の範囲が用いられても良い。多数の値をとる信頼性データ及び/又はリスクデータを供するための限界について範囲が設定されて良い。
第1の(最も正確な)閾値限界が満たされるとき、評価される対象は、最高の信頼性及び/又は最低のリスク因子を有するものとして認定されて良い。他の(最も正確でない)閾値限界が満たされるとき、評価される対象は、低い信頼性及び/又は高いリスク因子を有するものとして認定されて良い。1つ以上の(正確さが変化する)閾値限界が満たされないとき、評価される対象は、最高の信頼性及び/又は最低のリスク因子を有する未検証の対象として認定されて良い。
275では、追加サイトが必要であるかどうかを決定するため、クエリーが実行されて良い。追加サイトが必要なときには、処理200は工程260へ戻って良い。追加サイトが必要ないときには、処理200は工程280へ進んで良い。
280では、追加の評価用ウエハが必要であるかどうかを決定するため、クエリーが実行されて良い。追加の評価用ウエハが必要なときには、処理200は工程255へ戻って良い。追加サイトが必要ないときには、処理200は工程285へ進んで良い。
285では、現在のシーケンスが完了したかどうかを決定するため、クエリーが実行されて良い。現在のシーケンスが完了したときには、処理200は工程290へ進んで良い。現在のシーケンスが完了しないときには、処理200は工程215へ戻って良い。
290では、追加のシーケンスが必要であるかどうかを決定するため、クエリーが実行されて良い。追加のシーケンスが必要なときには、処理200は工程210へ戻って良い。追加のシーケンスが必要ないときには、処理200は工程295へ進んで良い。処理は195で終了する。
一部の実施例では、第1二重パターニングシーケンスが実行され、それに続いて第2二重パターニングシーケンスが実行されて良い。第1組のウエハは処理システム100内の1つ以上のサブシステム(110、115、120、125、130、135、140、145、150、及び155)によって受け取られて良い。第1S-D DP処理シーケンスを用いることによって、1つ以上の第1パターニング層が、第1組のパターニングされたウエハのうちの1つ以上のウエハ上に生成されて良い。第1S-D処理シーケンスは、処理システム100内の1つ以上のサブシステム(110、115、120、125、130、135、140、145、150、及び155)を用いることによって実行されて良い。続いて、第1組のパターニングされたウエハについての信頼性データ及び/又は第1リスクデータが、第1S-D評価処理を用いることによって設定されて良く、かつ、第1組の高信頼性ウエハが、第1S-D評価処理からのデータを用いることによって作製されて良い。続いて、1層以上の第2パターニング層が第2組のパターニングされたウエハ上に生成されて良く、かつ、第2組のパターニングされたウエハは、第1組の高信頼性ウエハを用いた第2S-D処理シーケンスを実行することによって生成されて良い。第2S-D処理シーケンスは処理システム100内の1つ以上のサブシステム(110、115、120、125、130、135、140、145、150、及び155)を用いることによって実行されて良い。1つ以上の第2パターニング層は、スキャナサブシステム115を用いることによって、1つ以上の第1パターニング層に対して位置合わせされる。それに加えて、第2組のパターニングされたウエハについての第2信頼性データ及び/又は第2S-Dリスクデータが、第2S-D評価処理を用いることによって設定されて良く、かつ、第2組の高信頼性ウエハが第1及び/又は第1S-D評価処理を用いることによって作成されて良い。
一部の実施例では、第1S-D処理シーケンスは第1ダマシン層を作製するのに用いられて良く、かつ、新たなS-D処理シーケンスは第2ダマシン層を作製するのに用いられて良い。
様々な実施例では、1つ以上の処理シーケンスはリアルタイムで実行されて良く、かつ1つ以上の処理シーケンスは、1つ以上のS-Dリソグラフィ関連処理、1つ以上のS-Dスキャナ関連処理、1つ以上のS-D検査関連処理、1つ以上のS-D測定関連処理、1つ以上のS-D評価関連処理、1つ以上のS-Dエッチング関連処理、1つ以上のS-D堆積関連処理、1つ以上のS-D熱処理、1つ以上のS-Dコーティング関連処理、1つ以上のS-D位置合わせ関連処理、1つ以上のS-D研磨関連処理、1つ以上のS-D保存関連処理、1つ以上のS-D搬送処理、1つ以上のS-D洗浄関連処理、1つ以上のS-D再加工関連処理、1つ以上のS-D酸化関連処理、1つ以上のS-D窒化関連処理、若しくは1つ以上のS-外部処理、又はこれらの結合を有して良い。
図3は、本発明の実施例によるウエハマップの簡略図を示している。図示された実施例では、125のチップ/ダイを有するウエハマップが図示されているが、このことは本発明にとって必須ではない。あるいはその代わりに、異なる数のチップ/ダイが示されても良い。それに加えて、円形なのは例示目的であり、円形であることは本発明にとって必須ではない。たとえば、円形ウエハは非円形ウエハによって置き換えられて良く、かつ、チップ/ダイは円形ではない形状を有しても良い。
図は、1つ以上のチップ/ダイ310を有するウエハ300上のウエハマップ302を表している。図示目的で0から20までの番号が付された行及び列が示されている。それに加えて、(1a-12a)のラベルが付された12のサイトが、図示されたウエハマップ320に関連するS-D処理についての位置を明らかにするのに用いられて良い。それに加えて、2つの環状線(301及び302)が図示され、かつ、これらの線は、ウエハ300上の外側領域305、中間領域306、及び内側領域307を設定するのに用いられて良い。あるいはその代わりに、異なる形状を有する異なった数の領域がウエハマップ320上で設定されて良く、かつ、S-D及び/又は非S-D処理についての異なる数のサイトがウエハ上の異なる位置で設定されて良い。S-D測定、検査、及び/又は評価計画がウエハについて生成されるとき、1つ以上のウエハ領域内で、1つ以上の測定、検査、及び/又は評価サイトが設定されて良い。たとえばS-D戦略、計画、及び/又はレシピが生成されるとき、測定、検査、及び/又は評価処理は、図3に図示されたサイト330の全てを含む及び/又は使用する必要はない。
S-D処理は、履歴データベース内に保存されたデータに基づいて半導体製造者によって具体化されて良い。たとえば半導体製造者は、SEM測定を行うときにウエハ上の位置の数を履歴に基づいて選ぶことが可能で、かつ、一の装置からの測定データ、検査データ、及び/又は評価データを、SEM装置、TEM装置、及び/又はFIB装置を用いて測定されたデータに関連づける。
それに加えて、製造者がその処理が高品質の製品及び/又はデバイスを製造し続けているという自信を得ることで、S-D及び/又は非S-D処理に用いられるサイト数は減少する。
新たな及び/又は追加の測定データ、検査データ、及び/又は評価データが必要なときには、追加のS-Dデータがウエハ上の1つ以上のサイトから取得されて良い。たとえば、ウエハ上の測定部位-たとえば周期回折格子、周期アレイ、及び/又は他の周期構造-が、図3に図示された1つ以上のサイトで測定されて良い。
S-D測定、検査、及び/又は評価処理は時間を要するものであり、かつ処理システムのスループットに影響を及ぼすと考えられる。処理の実行中、製造者は、ウエハの測定、検査、検証、及び/又は評価に用いられる時間を最小限におさせることを望むだろう。S-D処理は時間に独立であり、かつ各異なるS-D処理はそれぞれの実行時間に基づいて選ばれて良い。実行時間が長くなりすぎるのであれば、サイト数を少なくすればよい。
半導体プロセスにおける現像工程中、以降の工程で使用するために1つ以上のS-D参照用マップが生成及び保存されて良い。S-D参照用マップは、図3に図示されたサイトとは異なるサイトでの測定データを有して良い。S-D検査用マップは、図3に図示されたサイトとは異なるサイトでの検査データを有して良い。S-D検証用マップは、図3に図示されたサイトとは異なるサイトでの検証データを有して良い。S-D評価用マップは、図3に図示されたサイトとは異なるサイトでの評価データを有して良い。あるいはその代わりに、参照用マップは同一サイトの組を用いても良い。あるいは1つ以上の参照用マップは必要ない場合もある。
それに加えて、S-D処理中、1つ以上のS-D予測用マップが生成及び/又は修正されて良く、かつ、S-D予測用マップは、予測された測定データ、予測された検査データ、予測された検証データ、予測された評価データ、及び/又は予測されたプロセスデータを有して良い。たとえば予測データはS-Dモデルを用いて取得されて良い。
さらに、1つ以上のS-D及び/又は非S-D信頼性マップが生成及び/又は修正されて良く、かつ、信頼性マップは、測定データ、検査データ、検証データ、評価データ、予測データ、及び/又はプロセスデータについての信頼値を有して良い。
ウエハマップは、1つ以上の適合度(GOF)マップ、1つ以上の回折格子の厚さマップ、1つ以上のビア関連マップ、1つ以上の限界寸法(CD)マップ、1つ以上のCDプロファイルマップ、1つ以上の材料関連マップ、1つ以上の溝関連マップ、1つ以上の側壁角マップ、1つ以上の幅の差分マップ、又はこれらの結合を有して良い。そのデータはまた、とりわけ、サイトの結果データ、サイト数データ、CD測定フラグデータ、測定サイト数データ、x座標データ、及びy座標データをも有して良い。
一部の実施例では、S-D処理に含まれないウエハ上のサイトについてのデータを計算するため、曲線に適合する処理が行われて良い。あるいはその代わりにウエハマップは、表面推定法、表面フィッティング法、又は他の数学的手法を用いて決定されて良い。ウエハについてマップが生成されるとき、予想、予測、及び/又は実際の精度値及び/又は要件に基づいて測定サイトが選ばれて良い。
マッピングアプリケーションによって生成されるエラーの一部はFDCシステムへ送られる。FDCシステムは、どのようにして処理システムがエラーに応答するのかを決定して良い。他のエラーはマッピングアプリケーションによって解決されて良い。
ウエハマップが生成及び/又は修正されるとき、全ウエハについての値は計算されなくて良く、及び/又は必要ともされず、かつ、ウエハマップは、1つ以上のサイト、1つ以上のチップ/ダイ、1つ以上の異なる領域、及び/又は1つ以上の異なる形状の領域についてのデータを有して良い。たとえば処理チャンバは、ウエハのある特定の領域でのプロセス結果の品質に影響を及ぼすと考えられる固有の特性を有して良い。それに加えて、製造者は、ウエハの1つ以上の領域でのチップ/ダイについての正確ではないプロセス及び/又は評価データによって、歩留まりを最大にすることが可能となる。マッピングアプリケーション及び/又はFDCシステムは、信頼性、リスク、均一性、及び/又は精度限界を決定するのに業務規則を用いて良い。
マップ中のある値が限界に近いとき、信頼値は、そのマップ中の値が限界に近くないときよりも低くて良い。それに加えて、各異なるチップ/ダイ及び/又はウエハの各異なる領域について精度値は重みづけられて良い。たとえばより高い信頼性の重みが、1つ以上のこれまでに用いられた評価サイトに関連する精度計算及び/又は精度データに割り当てられて良い。
それに加えて、1つ以上の処理に関連するプロセス結果、測定、検査、検証、評価、及び/又は予測マップは、ウエハについての信頼性マップの計算に用いられて良い。たとえば他のマップからの値が重み付け因子として用いられて良い。
図4は本発明の実施例による典型的なサブシステムの簡略化されたブロック図を示している。図示された実施例では、典型的なS-Dサブシステム400が図示されている。その典型的なS-Dサブシステム400は、5つのS-D装置(410、420、430、440、及び450)、第1S-D搬送サブシステム460、及び第2S-D搬送サブシステム470を有する。第1S-D搬送サブシステム460は、第1非S-D搬送サブシステム401及び第2非S-D搬送サブシステム402と結合して良い。第2S-D搬送サブシステム470は、第1非S-D搬送サブシステム401及び第2非S-D搬送サブシステム402と結合して良い。第1非S-D搬送サブシステム401及び第2非S-D搬送サブシステム402は、搬送サブシステム(図1の101、102、103)(及び/又はその一部)と結合して良い。あるいはその代わりに、異なる数のサブシステムが用いられて良く、異なる数の搬送サブシステムが用いられて良く、かつ、サブシステムは異なった構成であって良い。それに加えて、非S-Dサブシステムが用いられても良い。
典型的なS-Dサブシステム400は5つのS-Dロードロック装置(415、425、435、445、及び455)を有して良い。その5つのS-Dロードロック装置(415、425、435、445、及び455)は、第1S-D搬送サブシステム460及び第2S-D搬送サブシステム470と結合して良い。あるいはその代わりに、異なる数のロードロック装置が用いられて良く、かつ異なった構成をとっても良い。他の実施例では、ロードロック装置は必要ない場合がある。S-Dロードロック装置415は1つ以上のS-D処理装置410と結合して良い。S-Dロードロック装置425は1つ以上のS-D処理装置420と結合して良い。S-Dロードロック装置435は1つ以上のS-D処理装置430と結合して良い。S-Dロードロック装置445は1つ以上のS-D処理装置440と結合して良い。S-Dロードロック装置455は1つ以上のS-D処理装置450と結合して良い。様々な実施例では、S-Dロードロック装置(415、425、435、445、及び455)は、1つ以上のウエハを実質的に同時に搬送、猶予、保存、位置合わせ、及び/又は検査する内部搬送装置(それぞれ417、427、437、447、及び457)を有して良い。
第1S-D搬送サブシステム460は、第1数の第1S-D搬送装置(461、462、463、464、及び465)と結合可能な第1S-D供給装置467を有して良い。実施例によっては、第1S-D搬送装置は、第1S-D供給装置467に対して動的に結合又は分離して良く、かつ1つ以上の方向469へ移動して良い。それに加えて、結合及び/又は分離はS-Dであって良く、かつ第1S-D供給装置467、第1S-D搬送装置、ウエハデータ、システムデータ、処理シーケンスデータ、若しくは搬送シーケンスデータ、又はこれらの結合を用いて決定されて良い。第1S-D供給装置467は1つ以上のレベル(図示されていない)を有して良く、かつ1種類以上の速度で動作して良い。あるいはその代わりに他のウエハ搬送手法が用いられても良い。
さらに第1S-D搬送サブシステム460及び第2S-D搬送サブシステム470は、処理シーケンス、搬送シーケンス、動作状態、ウエハ及び/又は処理状態、処理時間、現在の時間、ウエハデータ、ウエハ上のサイト数、ウエハ上のサイトの種類、必要サイト数、完了したサイト数、残りのサイト数、若しくは信頼性データ、又はこれらの結合に基づいて、ウエハの搬入、担持、及び/又は搬出を行って良い。
5つの第1S-D搬送装置(461、462、463、464、及び465)が、例示された実施例に示されている。しかしこれは本発明にとって必須ではない。他の実施例では、異なる数の第1S-D搬送装置が用いられても良い。それに加えて、例示された第1S-D搬送装置(461、462、463、464、及び465)は、図4の第1搬送地点で示されているが、このことは本発明にとって必須ではない。第1S-D搬送装置が第1搬送地点に位置しているとき、1つ以上のウエハ(図示されていない)が、第1S-D搬送装置とS-Dロードロック装置との間で搬送されて良い。
第2S-D搬送サブシステム470は、第2数の第2S-D搬送装置(471、472、473、474、及び475)と結合可能な第2S-D供給装置477を有して良い。一部の実施例では、第2S-D搬送装置は、第2S-D供給装置477に対して動的に結合又は分離し、かつ1つ以上の方向469へ移動して良い。それに加えて、結合及び/又は分離はS-Dであって良く、かつ第2S-D供給装置477、第2S-D搬送装置、ウエハデータ、システムデータ、処理シーケンスデータ、若しくは搬送シーケンスデータ、又はこれらの結合を用いて決定されて良い。第2S-D供給装置477は1つ以上のレベル(図示されていない)を有して良く、かつ1種類以上の速度で動作して良い。あるいはその代わりに他のウエハ搬送手法が用いられても良い。
5つの第2S-D搬送装置(471、472、473、474、及び475)が、例示された実施例に示されている。しかしこれは本発明にとって必須ではない。他の実施例では、異なる数の第2S-D搬送装置が用いられても良い。それに加えて、例示された第2S-D搬送装置(471、472、473、474、及び475)は、図4の第2搬送地点で示されているが、このことは本発明にとって必須ではない。第2S-D搬送装置が第2搬送地点に位置しているとき、1つ以上のウエハ(図示されていない)が、第2S-D搬送装置とS-Dロードロック装置との間で搬送されて良い。
たとえばS-D処理シーケンス及び/又はS-D搬送シーケンスは、ウエハを搬送する第1S-D搬送サブシステム460及び第2S-D搬送サブシステム470によって使用されて良い。
典型的なS-Dサブシステム400は5つの制御装置(414、424、434、444、及び454)を有して良い。第1制御装置414は、1つ以上の第1S-D処理装置410と結合して良く、かつ、1つ以上の第1S-D処理装置410及び第1S-Dロードロック装置415の制御に用いられて良い。それに加えて、第1制御装置414は、データ転送サブシステム(図1の106)と411で結合して良い。第2制御装置424は、1つ以上の第2S-D処理装置420と結合して良く、かつ、1つ以上の第2S-D処理装置420及び第2S-Dロードロック装置425の制御に用いられて良い。それに加えて、第2制御装置424は、データ転送サブシステム(図1の106)と421で結合して良い。第3制御装置434は、1つ以上の第3S-D処理装置430と結合して良く、かつ、1つ以上の第3S-D処理装置430及び第3S-Dロードロック装置435の制御に用いられて良い。それに加えて、第3制御装置434は、データ転送サブシステム(図1の106)と431で結合して良い。第4制御装置444は、1つ以上の第4S-D処理装置440と結合して良く、かつ、1つ以上の第4S-D処理装置440及び第4S-Dロードロック装置445の制御に用いられて良い。それに加えて、第4制御装置444は、データ転送サブシステム(図1の106)と441で結合して良い。第5制御装置454は、1つ以上の第5S-D処理装置450と結合して良く、かつ、1つ以上の第5S-D処理装置450及び第5S-Dロードロック装置455の制御に用いられて良い。それに加えて、第5制御装置454は、データ転送サブシステム(図1の106)と451で結合して良い。あるいはその代わりに、異なる数の制御装置が用いられて良く、異なる数の処理装置が用いられて良く、かつデータ転送サブシステムが異なった構成をとっても良い。
1つ以上の制御装置(414、424、434、444、及び454)は、1つ以上のメッセージの生成、処理、修正、送信、及び/又は受信をリアルタイムで行って良い。第1S-D搬送サブシステム460は、データ転送サブシステム(図1の106)と466で結合し、かつ1つ以上のメッセージの生成、処理、修正、送信、及び/又は受信をリアルタイムで行って良い。第2S-D搬送サブシステム470は、データ転送サブシステム(図1の106)と466で結合し、かつ1つ以上のメッセージの生成、処理、修正、送信、及び/又は受信をリアルタイムで行って良い。データ転送サブシステム106もまた、1つ以上のメッセージの生成、処理、修正、送信、及び/又は受信をリアルタイムで行って良い。メッセージはS-Dデータ及び/又は非S-Dデータを有して良い。メッセージはリアルタイムデータ及び/又は履歴データを有して良い。
一部の実施例では、1つ以上のウエハが第1S-D搬送サブシステム460及び第2S-D搬送サブシステム470によって受け取られて良い。ウエハについての処理シーケンスがシステム400によって設定されて良い。たとえばウエハ及び/又は処理状態データは、ウエハが受け取られるとき及び/又はその前に、ウエハが処理シーケンスを設定するために用いられて良い。あるいはその代わりに、ウエハは処理装置によって受け取られても良い。
1つ以上のメッセージが、1つ以上の制御装置(414、424、434、444、及び454)によってリアルタイムで処理されて良い。1つ以上のウエハは、1つ以上のサブシステム(410、420、430、440、及び450)によって実質的に同時に処理されて良い。1つ以上のメッセージが、各ウエハについての処理シーケンスを決定するのに用いられて良い。たとえば、第1ウエハは第1ロードロック装置415を用いて第1処理装置410へ送られて良く、第2ウエハは第2ロードロック装置425を用いて第2処理装置420へ送られて良く、第3ウエハは第3ロードロック装置435を用いて第3処理装置430へ送られて良く、第4ウエハは第4ロードロック装置445を用いて第4処理装置440へ送られて良く、かつ、第5ウエハは第5ロードロック装置455を用いて第5処理装置450へ送られて良い。それに加えて、1つ以上のメッセージは、ウエハデータ、レシピデータ、プロファイルデータ、モデル化データ、装置データ、及び/又は処理データを有して良い。
1つ以上の制御装置(414、424、434、444、及び454)は、1つ以上のS-D処理装置(410、420、430、440、及び450)を用いた1つ以上のウエハの処理をいつどのようにして行うのかを決定するのに用いられて良い。制御装置は、S-Dサブシステム内のS-D処理装置が利用可能になるとき、及び/又は、S-Dサブシステム内のS-D処理装置が利用可能でなくなるときを判断するのに用いられて良い。たとえば、タイミングの問題があるのでS-Dメッセージ及び/又はデータは利用できない場合があり、かつS-Dメッセージ及び/又はデータが利用可能となるまで、制御装置は待機して良い。それに加えて、新たな(更新された)S-Dデータが利用可能でないとき、ウエハは更新されていないS-Dデータを用いて処理されて良い。
一部の実施例では、第1処理シーケンスを用いた第1数の被処理ウエハの設定が行われて良い。S-Dサブシステム内の第2数の利用可能な処理装置が、S-Dサブシステム内の1つ以上の処理装置に問い合わせをすることによって特定されて良い。たとえば、各処理装置についての動作状態が決定されて良く、かつ、第2数の利用可能な処理装置についての第1動作状態は、処理装置が利用可能なときには第1の値であって良く、かつ処理装置が利用可能でないときには第2の値であって良い。
第2数が第1数以上であるとき、第1数のウエハはS-Dサブシステム内の第2数の利用可能な処理装置へ搬送されて良い。第2数が第1数以下であるとき、1つ以上の補正行為が実行されて良い。
補正行為は、1)可能な限り多くのウエハを処理して、残りのウエハを保存する工程、2)可能な限り多くのウエハを処理して、処理装置が利用可能になるとすぐに残りのウエハを処理する工程、3)可能な限り多くのウエハを処理して、処理装置が利用可能になるとすぐに残りのウエハを他のサブシステムへ送る工程、を有して良い。
一部の実施例では、第1S-Dマスク処理が実行されて良い。たとえば、マスク堆積処理が第1S-D装置410を用いて実行されて良く、露光処理が第2S-D装置420を用いて実行されて良く、乾燥及び/又は検査処理が第3S-D装置430を用いて実行されて良く、再加工処理が第4S-D装置440を用いて実行されて良く、かつ、現像処理が第5S-D装置450を用いて実行されて良い。他の例では、他のサブシステムが置き換えられて良く、かつ/あるいは、追加のサブシステムが用いられて良い。他のS-D処理シーケンスが、サブシステムの個数及び/又は種類、並びに前記サブシステムをいつ用いるのかを決定するのに用いられて良い。
追加の実施例では、S-D測定処理が実行されて良い。一部のウエハについてのS-D処理シーケンス及び/又はS-D搬送シーケンスがウエハデータを用いて設定されて良い。シーケンスはS-D測定処理を有して良い。S-D処理シーケンス及び/又はS-D搬送シーケンスは、S-D処理装置(410、420、430、440、及び450)及び搬送サブシステム(401、460、及び470)を用いて実行されて良い。たとえば、第1非S-D搬送サブシステム401及び/又は第2非S-D搬送サブシステム402は、S-Dウエハ及び/又は非S-Dウエハを含む多数のウエハを受け取って良い。第1組のウエハは、第1S-D搬送サブシステム460及び第2S-D搬送サブシステム470によって受け取られて良い。
各ウエハは関連するウエハデータを有して良い。そのウエハデータはS-Dデータ及び/又は非S-Dデータを有して良い。1つ以上のウエハは、上に1つ以上の評価用構造を有する。ウエハ、サブシステム、処理装置、処理、若しくは処理結果データ、又はこれらの結合についてのS-D信頼性データ及び/又は非S-D信頼性データが決定されて良い。
第1組のS-D測定ウエハが作製されて良い。その第1組のS-D測定ウエハの中の各ウエハ、上には1つ以上の評価用構造を有して良い。第1組のS-D測定ウエハはS-Dデータ及び/又は非S-Dデータを用いて作製されて良い。第1組のS-D測定ウエハは、1つ以上のS-D処理装置(410、420、430、440、及び450)へ搬送されて良い。たとえば、信頼性データ、ウエハ状態データ、処理シーケンスデータ、又は履歴データが用いられて良い。
第1組のS-D測定ウエハについて第1S-D測定処理が決定されて良い。第1組のS-D測定ウエハは、第1S-D測定処理を用いることによって、第1S-D評価装置410内で測定される。たとえば、信頼性データ、ウエハ状態データ、処理シーケンスデータ、又は履歴データが、第1S-D測定処理の設定に用いられて良い。
第1組のS-D測定ウエハは、1つ以上のS-D搬送サブシステム(460、470)を用いることによって、第1S-Dサブシステム400内の1つ以上の第1S-D測定関連装置410へ搬送されて良い。第1S-D搬送シーケンス、第1S-D処理シーケンス、若しくは第1S-D測定処理、又はこれらの結合は、1つ以上の第1S-D測定関連装置410の決定に用いられて良い。1つ以上の第1S-D測定関連装置410は第1S-D測定処理を実行して良い。
一部の実施例では、第1測定ウエハは第1組のS-D測定ウエハから選ばれて良く、かつ、第1測定ウエハは、上に第1S-D評価用部位を有して良い。第1S-D部位からの測定信号データを含む第1測定データが取得されて良い。第1S-D最善推定信号及び関連する第1S-Dテスト推定構造は、S-D測定信号及び関連する構造のライブラリから選ばれて良い。たとえば、その信号は、回折信号及び/若しくはスペクトル、屈折信号及び/若しくはスペクトル、反射信号及び/若しくはスペクトル、透過信号及び/若しくはスペクトル、又はこれらの結合を有して良い。
それに加えて、S-D評価用部位は、マスク構造、エッチング構造、ドーピング構造、埋められた構造、半分埋められた構造、損傷構造、誘電構造、ゲート構造、ゲート電極構造、ゲート積層構造、トランジスタ構造、FinFET構造、CMOS構造、フォトレジスト構造、周期構造、位置合わせ構造、溝構造、ビア構造、アレイ構造、回折格子構造、又はこれらの結合を有して良い。
第1S-D測定信号データと第1S-D最善推定信号データとの間の第1S-D差異が計算されて良い。第1測定ウエハについての第1S-D信頼性データが第1S-D差異を用いて設定されて良い。第1S-D信頼性データは第1S-D製品要件と比較されて良い。1つ以上のS-D製品要件が満たされる場合、第1測定データは第1高信頼性ウエハと認定されて、処理が続けられて良い。あるいは、1つ以上のS-D製品要件が満たされない場合、第1補正行為が適用されて良い。
S-D測定信号データは、S-D評価用構造、若しくは他の構造、又はこれらの結合から取得されて良い。
1つ以上の第1S-D製品要件が満たされるとき、S-D評価用部位は第1S-D最善推定構造及び関連する第1S-D最善推定信号データを用いて作成されて良い。
一部の実施例では、第1補正行為は、S-D回折信号及び関連する構造のライブラリから新たなS-D最善推定信号データ及び関連する新たなS-D最善推定構造を選択する選択工程、第1S-D測定信号データと新たなS-D最善推定信号データとの新たなS-D差異を計算する計算工程、新たなS-D差異を用いることによって第1測定ウエハについての新たなS-D信頼性データを設定する設定工程、新たなS-D信頼性データを新たなS-D製品要件と比較する比較工程、並びに、1つ以上の新たなS-D製品要件が満たされる場合には第1測定ウエハを新たな高信頼性ウエハと認定して処理を続ける認定工程、又は、1つ以上の新たなS-D製品要件が満たされない場合には前記選択工程、前記計算工程、前記設定工程、前記比較工程、及び前記認定工程を中止する中止工程のいずれかを有して良い。第1S-Dプロファイルライブラリ生成基準が満たされるとき、第1S-D評価用部位は、新たなS-D最善推定構造及び関連する新たなS-D最善推定信号データを用いて作成されて良い。あるいはその代わりに、他の最善推定データが用いられて良く、かつ他の比較が行われても良い。
他の実施例では、第1補正行為は、第1組のS-D測定ウエハから第2測定ウエハを選択する工程であって、前記第2測定ウエハは上に第1S-D評価用部位を有する、第1選択工程、第1S-D部位からの第2S-D測定信号データを含む第2測定データを取得する取得工程、S-D測定データ(回折信号)及び関連する構造のライブラリから第1S-D最善推定信号データ及び関連する第2S-D最善推定構造を選択する第2選択工程、第2S-D測定信号データと第2S-D最善推定信号データとの第2S-D差異を計算する計算工程、第2S-D信頼性データを第2S-D製品要件と比較する比較工程、並びに、1つ以上の第2S-D製品要件が満たされる場合には第2測定ウエハを第2高信頼性ウエハと認定して処理を続ける認定工程、又は、1つ以上の第2S-D製品要件が満たされない場合には第2補正行為を適用する補正適用工程のいずれかを有して良い。
さらに他の実施例では、第1補正行為は、測定ウエハ上の第2S-D評価用部位を選択する第1選択工程、第2S-D部位からの第2S-D測定信号データを含む第2測定データを取得する取得工程、S-D測定データ(回折信号)及び関連する構造のライブラリから第1S-D最善推定信号データ及び関連する第2S-D最善推定構造を選択する第2選択工程、第2S-D測定信号データと第2S-D最善推定信号データとの第2S-D差異を計算する計算工程、第2S-D差異を用いることによって第1測定ウエハについての第2S-D信頼性データを設定する設定工程、第2S-D信頼性データを第2S-D製品要件と比較する比較工程、並びに、1つ以上の第2S-D製品要件が満たされる場合には第1測定ウエハを第2高信頼性ウエハと認定して処理を続ける認定工程、又は、1つ以上の第2S-D製品要件が満たされない場合には第2補正行為を適用する補正適用工程のいずれかを有して良い。
一部の実施例では、追加補正行為は、1つ以上の測定ウエハ上の追加S-D評価用部位を選択する第1選択工程、追加S-D部位からの追加S-D測定信号データを含む追加測定データを取得する取得工程、S-D測定データ及び関連する構造のライブラリから追加S-D最善推定信号データ及び関連する追加S-D最善推定構造を選択する第2選択工程、追加S-D測定信号データと追加S-D最善推定信号データとの追加S-D差異を計算する計算工程、追加S-D差異を用いることによって1つ以上の測定ウエハについての追加S-D信頼性データを設定する設定工程、追加S-D信頼性データを追加S-D製品要件と比較する比較工程、並びに、1つ以上の追加S-D製品要件が満たされる場合には1つ以上の測定ウエハを追加の高信頼性ウエハと認定して処理を続ける認定工程、又は、1つ以上の追加S-D製品要件が満たされない場合には前記選択工程、前記計算工程、前記設定工程、前記比較工程、及び前記認定工程を中止する中止工程のいずれかを有して良い。
新たなサイトが選ばれるとき、ライブラリ生成規則が用いられて良い。
他の実施例では、二重パターニング処理シーケンスが1つ以上のS-D処理を用いることによって実行されて良い。第1組のウエハが、第1S-D搬送サブシステム460及び第2S-D搬送サブシステム470によって受け取られて良い。第1組のウエハは1つ以上の第1S-D装置410へ搬送されて良い。第1マスク層が第1S-Dマスク堆積処理を用いることによって各ウエハ上に堆積されて良い。第1組の高信頼性ウエハが第1S-D評価処理を用いることによって作成されて良い。第1組の高信頼性ウエハは、第1S-D搬送サブシステム460及び第2S-D搬送サブシステム470によって受け取られて良い。第1組の高信頼性ウエハは、1つ以上の第2S-D装置420へ搬送されて良い。各ウエハ上のマスク層は第1S-D露光処理を用いることによって第1パターニング放射線に曝露されて良い。第2組の高信頼性ウエハは、第1S-D搬送サブシステム460及び第2S-D搬送サブシステム470によって受け取られて良い。第1組の高信頼性ウエハは、1つ以上の第3S-D装置430へ搬送されて良い。露光層はS-D現像処理を用いることによって現像されて良い。第3組の高信頼性ウエハは第3評価処理を用いることによって作成されて良い。第3組の高信頼性ウエハは、第1S-D搬送サブシステム460及び第2S-D搬送サブシステム470によって受け取られて良い。第3組の高信頼性ウエハは、1つ以上の第4S-D装置440へ搬送されて良い。現像されたウエハはS-Dエッチング処理を用いることによってエッチングされて良い。第1組のエッチング構造が各ウエハ上の1層以上の層内に生成されて良い。第4組の高信頼性ウエハは第4評価処理を用いることによって作成されて良い。第4組の高信頼性ウエハは、第1S-D搬送サブシステム460及び第2S-D搬送サブシステム470によって受け取られて良い。第4組の高信頼性ウエハは、1つ以上の第5S-D装置450へ搬送されて良い。1つ以上の第1材料がS-D堆積処理を用いることによってエッチングされたウエハ上に堆積されて良い。第1組の埋められた構造が各ウエハ上の1層以上の層内に生成されて良い。第5組の高信頼性ウエハは第5評価処理を用いることによって作成されて良い。
第5組の高信頼性ウエハが、第1S-D搬送サブシステム460及び第2S-D搬送サブシステム470によって受け取られて良い。第5組の高信頼性ウエハは1つ以上の第1S-D装置410へ搬送されて良い。第2マスク層が第2S-Dマスク堆積処理を用いることによって各ウエハ上に堆積されて良い。第6組の高信頼性ウエハが第6S-D評価処理を用いることによって作成されて良い。第6組の高信頼性ウエハは、第1S-D搬送サブシステム460及び第2S-D搬送サブシステム470によって受け取られて良い。第6組の高信頼性ウエハは、1つ以上の第2S-D装置420へ搬送されて良い。各ウエハ上の第2マスク層は第2S-D露光処理を用いることによって第2パターニング放射線に曝露されて良い。第7組の高信頼性ウエハが第7S-D評価処理を用いることによって作成されて良い。第7組の高信頼性ウエハは、第1S-D搬送サブシステム460及び第2S-D搬送サブシステム470によって受け取られて良い。第7組の高信頼性ウエハは、1つ以上の第3S-D装置430へ搬送されて良い。第2露光層は第2S-D現像処理を用いることによって現像されて良い。第8組の高信頼性ウエハは第8評価処理を用いることによって作成されて良い。第8組の高信頼性ウエハは、第1S-D搬送サブシステム460及び第2S-D搬送サブシステム470によって受け取られて良い。第8組の高信頼性ウエハは、1つ以上の第4S-D装置440へ搬送されて良い。現像されたウエハはS-Dエッチング処理を用いることによってエッチングされて良い。第2組のエッチング構造が各ウエハ上の1層以上の層内に生成されて良い。第9組の高信頼性ウエハは第9評価処理を用いることによって作成されて良い。第9組の高信頼性ウエハは、第1S-D搬送サブシステム460及び第2S-D搬送サブシステム470によって受け取られて良い。第9組の高信頼性ウエハは、1つ以上の第5S-D装置450へ搬送されて良い。1つ以上の第2材料がS-D堆積処理を用いることによってエッチングされたウエハ上に堆積されて良い。第2組の埋められた構造が各ウエハ上の1層以上の層内に生成されて良い。第10組の高信頼性ウエハは第10評価処理を用いることによって作成されて良い。
第1組の高信頼性ウエハは、1a)第1S-Dマスク生成処理中に1つ以上のマスク生成評価サイトからS-D信頼性データを取得し、2a)第1組のウエハ中の各ウエハについてのS-D信頼性データを、1つ以上のマスク生成評価サイトについて設定される1つ以上の信頼性要件と比較し、かつ3a)第1マスク生成信頼性要件が満たされる場合には、第1組の高信頼性ウエハのメンバーとして第1組のウエハ中のウエハを認定する、ことによって作成されて良い。
第2組の高信頼性ウエハは、1b)S-D露光処理中に1つ以上の露光依存サイトからS-D信頼性(マッピング)データを取得し、2b)第1組の高信頼性ウエハ中の各ウエハについてのS-D信頼性(マッピング)データを、1つ以上の露光依存サイトについて設定される1つ以上の信頼性(マッピング)要件と比較し、かつ3b)第1露光関連信頼性(マッピング)要件が満たされる場合には、第2組の高信頼性ウエハのメンバーとして第1組の高信頼性ウエハ中のウエハを認定する、ことによって作成されて良い。
第3組の高信頼性ウエハは、1c)S-D現像処理中に1つ以上の現像依存サイトからS-D信頼性(マッピング)データを取得し、2c)第2組の高信頼性ウエハ中の各ウエハについてのS-D信頼性(マッピング)データを、1つ以上の現像依存サイトについて設定される1つ以上の信頼性(マッピング)要件と比較し、かつ3c)第1現像関連信頼性(マッピング)要件が満たされる場合には、第3組の高信頼性ウエハのメンバーとして第2組の高信頼性ウエハ中のウエハを認定する、ことによって作成されて良い。
第4組の高信頼性ウエハは、1d)S-Dエッチング処理中に1つ以上のエッチング依存サイトからS-D信頼性(マッピング)データを取得し、2d)第3組の高信頼性ウエハ中の各ウエハについてのS-D信頼性(マッピング)データを、1つ以上のエッチング依存サイトについて設定される1つ以上の信頼性(マッピング)要件と比較し、かつ3d)第1エッチング関連信頼性(マッピング)要件が満たされる場合には、第4組の高信頼性ウエハのメンバーとして第3組の高信頼性ウエハ中のウエハを認定する、ことによって作成されて良い。
第5組の高信頼性ウエハは、1e)S-D堆積処理中に1つ以上の堆積依存サイトからS-D信頼性(マッピング)データを取得し、2e)第4組の高信頼性ウエハ中の各ウエハについてのS-D信頼性(マッピング)データを、1つ以上の堆積依存サイトについて設定される1つ以上の信頼性(マッピング)要件と比較し、かつ3e)第1堆積関連信頼性(マッピング)要件が満たされる場合には、第5組の高信頼性ウエハのメンバーとして第4組の高信頼性ウエハ中のウエハを認定する、ことによって作成されて良い。
追加の高信頼性ウエハの組は同様の手法を用いて作成されて良い。
評価サイトは、処理依存サイト、測定依存サイト、検査依存サイト、層依存サイト、ウエハ依存サイトを有して良い。S-D信頼性データはS-D(マスク生成)データについての信頼値を有して良い。S-D(マスク生成)データは、精度データ、S-D処理データ、S-D測定データ、S-D検査データ、S-Dシミュレーションデータ、S-D予測データ、若しくはS-D履歴データ、又はこれらの結合を有して良い。第1マスク生成信頼性要件はマスク生成データについての信頼性データ限界を有して良い。マスク生成データは、精度限界、処理データ限界、測定データ限界、検査データ限界、シミュレーションデータ限界、予測データ限界、及び/又は履歴データ限界を有して良い。
一部の追加実施例では、第1非S-D搬送サブシステム401及び/又は第2非S-D搬送サブシステム402はS-D及び/又は非S-Dウエハを受け取って良い。S-Dウエハは、第1S-D搬送サブシステム460及び第2S-D搬送サブシステム470へ搬送されて良い。ウエハに関連するデータはS-D信頼性データ及び/又は非S-D信頼性データを有して良い。
第1組のS-DウエハがS-D信頼性データ及び/又は非S-D信頼性データを用いて作成されて良い。第1組のS-Dウエハについての第1S-D処理シーケンスが決定されて良い。第1組のS-Dウエハが、第1S-D処理シーケンスを用いることによって、S-D装置(410、420、430、440、及び450)内で処理されて良い。ウエハ状態データは、第1S-D処理シーケンスの設定に用いられて良い。第1組のS-Dウエハは1つ以上のS-D処理装置(410、420、430、440、及び450)へ搬送されて良い。第1S-D処理シーケンスは、1つ以上の第1S-D処理装置の決定に用いられて良い。
それに加えて、第1S-Dサブシステム処理データは、第1S-D処理シーケンスが、第1組のS-Dウエハを用いて実行される前、間、及び/又は後に収集されて良く、かつ、第1組のS-Dウエハ中の1つ以上のウエハについての第1S-D信頼性データが、ウエハデータ及び/又は第1S-Dサブシステム処理データを用いることによって設定されて良い。一部の例では、第1組のS-Dウエハ中の第1S-Dウエハについての第1S-D信頼性データが、第1S-Dサブシステム処理データを用いることによって設定されて良い。第1S-Dウエハについての第1S-D信頼値は第1S-D信頼性限界程度であって良い。第1S-D信頼性限界が満たされる場合、第1組のS-Dウエハの処理は継続されて良い。あるいは第1S-D信頼性限界が満たされない場合には、第1S-D補正行為が適用されて良い。第1S-D補正行為は、第1S-Dサブシステム処理データを用いることによって第1組のS-Dウエハ中の1つ以上のウエハについてのS-D信頼値を設定する設定工程、1つ以上の追加ウエハについてのS-D信頼値を追加の第1S-D信頼値と比較する比較工程、並びに、1つ以上の追加の第1S-D信頼性限界が満たされる場合には第1組のS-Dウエハの処理を継続し、又は1つ以上の追加の第1S-D信頼性限界が満たされない場合には前記設定工程及び前記比較工程を中止する工程、を有して良い。
他の組のS-DウエハもまたS-D信頼性データ及び/又は非S-D信頼性データを用いることによって作成されて良い。他の組のS-Dウエハについて他のS-D処理シーケンスが決定されて良い。ウエハ状態データは、他のS-D処理シーケンスを設定するのに用いられて良い。他の組のS-Dウエハは、他のS-Dサブシステム内の1つ以上の他のS-D処理装置へ搬送されて良い。他のS-D処理シーケンスは、1つ以上の他のS-D処理装置を決定するのに用いられて良い。たとえば他の組のS-Dウエハは、1つ以上の他のS-Dサブシステム内の1つ以上のS-D処理装置へ搬送されて良い。
ウエハ処理中、第1組の非S-DウエハがS-D信頼性データ及び/又は非S-D信頼性データを用いて作成されて良く、かつ第1組の非S-Dウエハについての第1非S-D処理シーケンスが決定されて良い。場合によっては、第1組の非S-Dウエハは、第1非S-D処理シーケンスを用いることによって、非S-Dサブシステム内で処理されて良く、かつウエハ状態データは、第1非S-D処理シーケンスの設定に用いられて良い。第1組の非S-Dウエハは1つ以上の非S-Dサブシステム内の1つ以上の非S-D処理装置へ搬送されて良く、かつ第1非S-D処理シーケンスは、1つ以上の第1非S-D処理装置の決定に用いられて良い。たとえば第1組の非S-Dウエハは、他のサブシステム内の1つ以上の非S-D処理装置へ搬送されて良い。
様々な実施例では、非S-Dウエハは非S-D処理シーケンスを用いることによって非S-Dサブシステム内で処理されて良く、又は、非S-Dウエハは非S-D処理シーケンスを用いることによってS-Dサブシステム内で処理されて良く、又は、非S-DウエハはS-D処理シーケンスを用いることによって非S-Dサブシステム内で処理されて良く、かつ、ウエハ状態データは、処理シーケンスを設定するのに用いられて良い。それに加えて、非S-Dウエハは、非S-D搬送シーケンス及び/又はS-D搬送シーケンスを用いることによって搬送されて良い。処理シーケンスは、搬送シーケンスを決定するのに用いられて良い。
それに加えて、第1非S-Dサブシステム処理データは、第1非S-D処理シーケンスが、第1組の非S-Dウエハを用いて実行される前、間、及び/又は後に収集されて良く、かつ、第1組の非S-Dウエハ中の1つ以上のウエハについての第1非S-D信頼性データが、ウエハデータ及び/又は第1非S-Dサブシステム処理データを用いることによって設定されて良い。一部の例では、第1組の非S-Dウエハ中の第1非S-Dウエハについての第1非S-D信頼性データが、第1非S-Dサブシステム処理データを用いることによって設定されて良い。第1非S-Dウエハについての第1非S-D信頼値は第1非S-D信頼性限界程度であって良い。第1非S-D信頼性限界が満たされる場合、第1組の非S-Dウエハの処理は継続されて良い。あるいは第1非S-D信頼性限界が満たされない場合には、第1非S-D補正行為が適用されて良い。第1非S-D補正行為は、第1非S-Dサブシステム処理データを用いることによって第1組の非S-Dウエハ中の1つ以上のウエハについての非S-D信頼値を設定する設定工程、1つ以上の追加ウエハについての非S-D信頼値を追加の第1非S-D信頼値と比較する比較工程、並びに、1つ以上の追加の第1非S-D信頼性限界が満たされる場合には第1組の非S-Dウエハの処理を継続し、又は1つ以上の追加の第1非S-D信頼性限界が満たされない場合には前記設定工程及び前記比較工程を中止する工程、を有して良い。
他の組の非S-DウエハもまたS-D信頼性データ及び/又は非S-D信頼性データを用いることによって作成されて良い。他の組の非S-Dウエハについて他の非S-D処理シーケンスが決定されて良い。ウエハ状態データは、他の非S-D処理シーケンスを設定するのに用いられて良い。他の組の非S-Dウエハは、他の非S-Dサブシステム内の1つ以上の他の非S-D処理装置へ搬送されて良い。他の非S-D処理シーケンスは、1つ以上の他の非S-D処理装置を決定するのに用いられて良い。たとえば他の組の非S-Dウエハは、1つ以上の他のサブシステム内の1つ以上の処理装置へ搬送されて良い。
S-D処理シーケンス及び/又は非S-D処理シーケンスは、1つ以上のコーティング処理、1つ以上のエッチング処理、1つ以上の熱処理、1つ以上の露光処理、1つ以上の酸化処理、1つ以上の窒化処理、1つ以上の現像処理、1つ以上のリソグラフィ処理、1つ以上のスキャナ関連処理、1つ以上の測定処理、1つ以上の検査処理、1つ以上の評価処理、1つ以上のシミュレーション処理、1つ以上の予測処理、1つ以上の再加工処理、1つ以上の保存処理、1つ以上の搬送処理、1つ以上のロードロック処理、若しくは1つ以上の洗浄処理、又はこれらの結合を有して良い。
S-D処理サブシステム及び/又は非S-D処理サブシステムは、1つ以上のコーティングサブシステム、1つ以上のエッチングサブシステム、1つ以上の熱サブシステム、1つ以上の露光サブシステム、1つ以上の酸化サブシステム、1つ以上の窒化サブシステム、1つ以上の現像サブシステム、1つ以上のリソグラフィサブシステム、1つ以上のスキャナ関連サブシステム、1つ以上の測定サブシステム、1つ以上の検査サブシステム、1つ以上の評価サブシステム、1つ以上のシミュレーションサブシステム、1つ以上の予測サブシステム、1つ以上の再加工サブシステム、1つ以上の保存サブシステム、1つ以上の搬送サブシステム、1つ以上のロードロックサブシステム、若しくは1つ以上の洗浄サブシステム、又はこれらの結合を有して良い。
S-D処理装置及び/又は非S-D処理装置は、1つ以上のコーティング処理装置、1つ以上のエッチング処理装置、1つ以上の熱処理装置、1つ以上の露光処理装置、1つ以上の酸化処理装置、1つ以上の窒化処理装置、1つ以上の現像処理装置、1つ以上のリソグラフィ処理装置、1つ以上のスキャナ関連処理装置、1つ以上の測定処理装置、1つ以上の検査処理装置、1つ以上の評価処理装置、1つ以上のシミュレーション処理装置、1つ以上の予測処理装置、1つ以上の再加工処理装置、1つ以上の保存処理装置、1つ以上の搬送処理装置、1つ以上のロードロック処理装置、若しくは1つ以上の洗浄処理装置、又はこれらの結合を有して良い。
図5は、本発明の実施例による、S-D部位、S-Dウエハ、及び/又はS-D処理の検証方法の典型的なフローダイアグラムを表している。
510では、1つ以上のウエハが、処理サブシステム内の1つ以上のS-D処理装置によって受け取られて良く、1つ以上のS-D処理装置が1つ以上のS-D搬送サブシステムと結合して良く、かつ1つ以上のウエハについてのウエハデータが受け取られて良い。あるいはその代わりに、ウエハは1つ以上のS-D搬送サブシステムによって受け取られて良い。ウエハデータは履歴データ及び/又はリアルタイムデータを有して良い。1つ以上のウエハについてウエハ状態データが設定されて良い。ウエハ状態データは、S-Dデータ、チップ依存データ、及び/又はダイ依存データを有して良い。
515では、S-DウエハについてのS-D処理及び/又は搬送シーケンスが決定されて良い。場合によっては、一部のS-Dウエハについて異なるS-D処理シーケンスが決定されて良い。あるいはその代わりに非S-D処理シーケンスが設定されても良い。
520では、1つ以上のウエハが処理されて良い。一部の実施例では、第1組の未検証S-Dウエハが、1つ以上のS-D処理装置を用いた第1S-D生成処理を実行することによって生成されて良く、かつ、1つ以上の未検証評価用部位が各未検証S-Dウエハ上の第1数の評価用サイトに生成されて良い。各未検証S-DウエハについてS-Dウエハ状態データが設定されて良い。S-Dウエハ状態データは、各未検証S-Dウエハについて、多数の必要な生成用サイト及び多数の必要な評価用サイトを有して良い。
525では、クエリーが、1つ以上のS-D生成処理が正しく実行されたか否かを判断するために実行されて良い。1つ以上のS-D生成処理が正しく実行されたときには、処理500は工程530へ分岐して良い。1つ以上のS-D生成処理が正しく実行されなかったときには、処理500は工程580へ分岐して良い。たとえば装置データ、チャンバデータ、粒子データ、像データ、及び/又は失敗データが用いられて良い。
580では、ウエハは1つ以上の追加処理を用いることによって後処理されて良い。前記1つ以上の追加処理は、ウエハの再測定、再評価、再加工、及び/又は処理シーケンスからのウエハの取り外しを有して良い。
545では、S-Dウエハが選択されたサイトを用いて評価されて良い。場合によっては、第1ウエハ検証データが第1S-D評価用ウエハ上の第1サイトから取得されて良い。第1ウエハ検証データは第1S-D測定データ及び/又は第1S-D検査データを有して良い。第1S-D測定データ及び/又は第1S-D検査データは、S-D測定装置内で実行されるS-D測定処理及び/又はS-D検査装置内で実行されるS-D検査処理を用いることによって取得されて良い。次に、第1S-D評価用ウエハ上の第1サイトについて第1の検証されたデータが設定されて良く、第1の検証されたデータは第1の検証された測定データ及び/又は第1の検証された検査データを有して良く、かつ第1の検証された測定データ及び/又は第1の検証された検査データは履歴及び/又はリアルタイムデータベースから取得されて良い。続いて、第1S-D評価用ウエハ上の第1サイトについての第1信頼値が第1ウエハ検証用差異を用いることによって設定されて良く、かつ第1ウエハ検証用差異は、第1ウエハ検証データ及び第1検証データを用いることによって計算されて良い。
第1S-D評価用ウエハ上の第1サイトについての第1リスク因子が、第1信頼値、第1ウエハ検証用差異、若しくはウエハデータ、又はこれらの結合を用いることによって設定されて良い。第1S-D評価用ウエハについての第1全リスク因子が、第1リスク因子、第1信頼値、第1ウエハ検証用差異、若しくはウエハデータ、又はこれらの結合を用いることによって設定されて良い。
550では、クエリーが、1つ以上のS-D評価用ウエハが検証されたか否かを判断するために実行されて良い。1つ以上のS-D評価用ウエハが検証されたときには、処理500は工程565へ分岐して良い。1つ以上のS-D評価用ウエハが検証されなかったときには、処理500は工程555へ分岐して良い。
第1全リスク因子が第1ウエハ検証限界以下であるときには、第1S-D評価用ウエハは、第1全リスク因子を有する第1の検証されたS-Dウエハとして認定され、残りのサイト数は1つ減り、アクセスしたサイト数は1つ増えて、かつ第1S-D評価用ウエハに関連する第1S-D生成処理は第1の検証されたS-D処理として認定されて良い。
第1全リスク因子が第1ウエハ検証限界よりも大きいときには、第1サイトは第1リスク因子を有する第1未検証サイトとして認定され、残りのサイト数は1つ減り、アクセスしたサイト数は1つ増えて良い。第1の検証されたS-D評価用ウエハは検証されたウエハデータを有して良い。
555では、クエリーが、追加のサイトが必要か否かを判断するために実行されて良い。追加のサイトが必要なときには、処理500は工程540へ戻るように分岐して良い。追加のサイトが必要ないときには、処理500は工程555へ分岐して良い。
現在のウエハにとって追加サイトが必要なときには、以下の工程が実行されて良い。前記以下の工程とは、a)第1S-D評価用ウエハ上の必要なサイト数から、第1S-D生成処理を用いて生成された新たな未検証の評価用部位を有する新たなサイトを選ぶ工程、b)第1S-D評価用ウエハ上に存在する新たなS-D測定及び/又は新たなS-D検査データを有する新たなサイトから新たなウエハ検証用データを取得する工程、c)第1S-D評価用ウエハ上の新たなサイトについて新たな検証データを設定する工程であって、前記新たな検証データは新たに検証された測定及び/又は検査データを有する工程、d)新たなウエハ検証用データと新たな検証データを用いることによって計算された新たなウエハ検証用の差異を用いることによって第1S-D評価用ウエハ上の新たなサイトについての新たな信頼値を設定する工程、e)新たな信頼値、新たなウエハ検証用の差異、第1信頼値、第1ウエハ検証用の差異、若しくはウエハデータ、又はこれらの結合を用いることによって第1 S-D評価用ウエハ上の新たなサイトについての新たなリスク因子を設定する工程、f)新たなリスク因子、新たな信頼値、新たなウエハ検証用の差異、第1リスク因子、第1信頼値、第1ウエハ検証用の差異、若しくはウエハデータ、又はこれらの結合を用いた第1S-D評価用ウエハ上の新たなサイトについての新たな全リスク因子を設定する工程、g)新たな全リスク因子が新たなウエハ検証用の限界以下であるときには、関連する新たな全リスク因子を有する第1検証されたウエハとして第1 S-D評価用ウエハを認定して、必要なサイト数を1つ減らしてアクセスされたサイト数を1つ増やして、かつ第1S-D評価用ウエハに関連する第1S-D生成処理を新たな検証されたS-D処理として認定する工程、h)新たな全リスク因子が新たなウエハ検証用の限界よりも大きいときには、関連する新たなリスク因子を有する新たな未検証サイトとして新たなサイトを認定して、必要なサイト数を1つ増やしてアクセスされたサイト数を1つ減らす工程、i)必要サイト数が0よりも大きいときにはa)-h)を繰り返す工程、かつj)必要サイト数が0に等しいときには第1ウエハの検証を止める工程、である。
あるいはその代わりに他の処理が用いられても良い。
560では、クエリーが、追加の評価用ウエハが必要か否かを判断するために実行されて良い。追加の評価用ウエハが必要なときには、処理500は工程535へ戻るように分岐して良い。追加のサイトが必要ないときには、処理500は工程565へ分岐して良い。
追加の評価用ウエハが必要なとき、以下の工程が実行されて良い。前記以下の工程とは、a1)追加のS-D評価用ウエハを選ぶ工程、b1)追加のS-D評価用ウエハについての第1数の必要なサイトを決定する工程、c1)追加のS-D評価用ウエハ上の第1数の必要サイト数から追加サイトを選ぶ工程であって、前記追加サイトは、第1S-D生成処理を用いて生成された追加の未検証評価用部位を有する工程、d1)追加のS-D評価用ウエハ上の追加サイトから追加のウエハ検証用データを取得する工程であって、前記追加のウエハ検証用データは追加のS-D測定及び/又はS-D検査データを有する工程、e1)追加のS-D評価用ウエハ上の追加サイトについて追加の検証データを設定する工程であって、追加の検証データは追加の検証された測定及び/又は検査データを有する工程、f1)追加のウエハ検証用データと追加の検証データを用いることによって計算された追加のウエハ検証用の差異を用いることによって追加のS-D評価用ウエハ上の追加サイトについて追加の信頼値を設定する工程、g1)追加の信頼値、追加のウエハ検証用の差異、新たな信頼値、新たなウエハ検証用の差異、第1信頼値、第1ウエハ検証用の差異、若しくはウエハデータ、又はこれらの結合を用いることによって追加のS-D評価用ウエハ上の追加サイトについての追加のリスク因子を設定する工程、h1)追加のリスク因子、追加の信頼値、追加のウエハ検証用の差異、新たなリスク因子、新たな信頼値、新たなウエハ検証用の差異、第1リスク因子、第1信頼値、第1ウエハ検証用の差異、若しくはウエハデータ、又はこれらの結合を用いた追加のS-D評価用ウエハについて追加の全リスク因子を設定する工程、i1)追加の全リスク因子が追加のウエハ検証用の限界以下であるときには、関連する追加の全リスク因子を有する追加の検証されたウエハとして追加の S-D評価用ウエハを認定して、必要なサイト数を1つ減らしてアクセスされたサイト数を1つ増やして、かつ追加サイトに関連するデータを評価ライブラリ内の検証されたデータとして保存する工程、j1)追加の全リスク因子が追加のウエハ検証用の限界よりも大きいときには、関連する追加の第1リスク因子を有する追加の未検証サイトとして追加のS-D評価用ウエハを認定して、必要なサイト数を1つ増やしてアクセスされたサイト数を1つ減らす工程、k1)追加のS-D評価用ウエハが利用可能であり、かつ追加S-D評価用ウエハ上の必要サイト数が0よりも大きいときにはa1)-j1)を繰り返す工程、かつl1)追加のS-D評価用ウエハが利用可能でなく、かつ必要サイト数が0に等しいときにはS-Dライブラリ生成処理を止める工程、である。
565では、クエリーが、追加の生成用ウエハが必要か否かを判断するために実行されて良い。追加の生成用ウエハが必要なときには、処理500は工程515へ戻るように分岐して良い。追加のサイトが必要ないときには、処理500は工程570へ分岐して良い。処理500は570で終了する。
典型的な第1補正行為は、S-D評価用ウエハの個数である第1数と利用可能な評価装置の個数である第1数との差異を用いることによって第1数の猶予されたS-Dウエハを決定する工程、並びに、S-D搬送サブシステム内に存在する、2つ以上のウエハを支持する手段を有する1つ以上の搬送装置を用いて第1期間だけ第1数の猶予されたS-Dウエハを保存及び/又は猶予する工程、を有して良い。
追加の補正行為は、S-D評価用ウエハの個数である第1数と利用可能な評価装置の個数である第1数との差異を用いることによって第1数の猶予されたS-Dウエハを決定する工程、第1の猶予されたS-Dウエハについての更新されたS-Dウエハ状態データを決定する工程、第1評価サブシステム内の1つ以上のS-D評価装置についての動作状態データを決定する工程、更新された動作状態データを用いて1つ以上の新たに利用可能となったS-D評価装置を特定する工程、第1の新たに利用可能となったS-D評価装置が利用可能であるときには、第1の更新された搬送シーケンスを用いて、第1の猶予されたS-D評価用ウエハを、1つ以上の評価サブシステム内の第1新たに利用可能となったS-D評価装置へ搬送する工程、並びに、第1新たに利用可能となったS-D評価装置が利用可能でないときには第2補正行為を適用する工程、を有して良い。
他の補正行為は、処理を中止する工程、処理を中断する工程、1つ以上のS-D評価用ウエハを再評価する工程、1つ以上のS-D評価用ウエハを再測定する工程、1つ以上のS-D評価用ウエハを再検査する工程、1つ以上のS-D評価用ウエハを再加工する工程、1つ以上のS-D評価用ウエハを保存する工程、1つ以上のS-D評価用ウエハを洗浄する工程、若しくは1つ以上のS-D評価用ウエハを取り去る工程、又はこれらの結合を有して良い。
それに加えて、S-D信頼性マップ及び/又はS-Dリスク評価マップが、ウエハを検証するのに用いられて良い。
図6は、本発明の実施例による、S-D評価用ライブラリの生成方法の典型的なフローダイアグラムを表している。第1組のS-Dウエハは1つ以上の処理サブシステム内の1つ以上のS-D処理装置によって受け取られて良い。1つ以上のS-D処理装置が1つ以上のS-D搬送サブシステムと結合して良い。各ウエハはウエハデータを有して良い。ウエハデータは履歴データ及び/又はリアルタイムデータを有して良い。あるいはその代わりに、ウエハは異なるサブシステムによって受け取られても良い。1つ以上のウエハについてウエハ状態データが設定されて良い。ウエハ状態データは、S-Dデータ、チップ依存データ、及び/又はダイ依存データを有して良い。それに加えて、ウエハについて1つ以上のS-D処理シーケンスが設定されて良い。S-D処理シーケンスは、S-Dウエハ状態データ、チップ依存のウエハ状態データ、及び/又はダイ依存のウエハ状態データを用いて設定されて良い。
各S-Dウエハについてウエハ状態データが設定されて良い。ウエハ状態データは、各ウエハについて、多数の必要な生成用サイト及び多数の評価用サイトを有して良い。
610では、S-D評価データのライブラリを生成するためのライブラリ生成処理シーケンスが設定されて良く、かつライブラリ生成処理シーケンスが、ウエハ状態データを用いて生成されて良い。ライブラリ生成処理シーケンスは、S-D搬送処理、S-D生成処理、若しくはS-D評価処理、又はこれらの結合を有して良い。
620では、処理される第1数のS-D処理用ウエハが第1ライブラリ生成処理シーケンスを用いることによって決定されて良く、かつ、第1S-D生成処理及び第1S-D評価処理が第1ライブラリ生成処理シーケンスを用いることによって決定されて良い。
1つ以上の処理サブシステム内の複数のS-D処理装置につぃいての第1動作状態が設定される。第1数の利用可能な処理装置が、1つ以上のS-D処理装置についての第1動作状態を用いて決定されて良い。
第1S-D搬送シーケンスが、ウエハデータ、ウエハ状態データ、若しくは第1数の利用可能な処理装置、又はこれらの結合を用いることによって設定されて良い。
625では、S-D処理用ウエハの個数である第1数が利用可能な処理装置の個数である第1数以下であるときには、第1数のS-D処理用ウエハは、第1S-D搬送シーケンスを用いることによって1つ以上の処理サブシステム内の第1数の利用可能な処理装置へ搬送されて良い。S-D処理用ウエハの個数のである第1数が利用可能な処理装置の個数である第1数よりも多いときには、第1補正行為が適用されて良い。
630では、第1S-D生成処理が実行されて良く、かつ1つ以上のライブラリ関連の参照用部位が、各S-D処理用ウエハ上の第1数の評価用サイトに生成されて良い。更新されたウエハデータ及び/又は更新されたウエハ状態データは第1S-D生成処理を用いて生成される。更新されたウエハ状態データは各S-D処理用ウエハについて多数の必要な評価用サイトを有して良い。
635では、クエリーが、1つ以上のS-D生成処理が正しく実行されたか否かを判断するために実行されて良い。1つ以上のS-D生成処理が正しく実行されたときには、処理600は工程640へ分岐して良い。1つ以上のS-D生成処理が正しく実行されなかったときには、処理600は工程690へ分岐して良い。たとえば装置データ、チャンバデータ、粒子データ、像データ、及び/又は失敗データが用いられて良い。
640では、評価される第1数のS-D評価用ウエハが第1S-D評価処理を用いて決定されて良い。各S-D評価用ウエハについての必要サイト数が、更新されたウエハデータ、更新されたウエハ状態データ、ウエハデータ、若しくはウエハ状態データ、又はこれらの結合によって決定されて良い。
1つの評価サブシステム内の複数のS-D評価装置についての第1動作状態が決定されて良い。S-D搬送サブシステムは1つ以上のS-D評価装置に結合して良い。
第1数の利用可能な評価装置は、1つ以上のS-D評価装置についての動作状態を用いることによって決定されて良い。第2S-D搬送シーケンスが、更新されたウエハデータ、更新されたウエハ状態データ、ウエハデータ、ウエハ状態データ、第1数のS-D評価用ウエハ、若しくは第1数の利用可能な評価装置、又はこれらの結合によって設定されて良い。
645では、S-D評価用ウエハの個数である第1数が利用可能な評価装置の個数である第1数以下であるときには、第1数のS-D評価用ウエハが、第2S-D搬送シーケンスを用いることによって、1つ以上の評価サブシステム内の第1数の利用可能な評価装置へ搬送されて良い。S-D評価用ウエハの個数である第1数が利用可能な評価装置の個数である第1数よりも多いときには、第2補正行為が適用されて良い。
650では、第1サイトが、第1S-D評価用ウエハ上の多数の必要サイトから選ばれて良く、かつ第1サイトは、第1S-D生成処理を用いて生成された第1ライブラリ関連の(評価用)部位を有して良い。
655では、評価処理が実行されて良い。第1ライブラリ関連の評価用データが第1S-Dウエハ上の第1サイトから取得されて良い。第1サイトは第1ライブラリ関連の測定及び/又は検査データを有して良い。第1S-Dウエハ上の第1サイトについて第1予測データが設定されて良い。第1予測データは予測された測定及び/又は検査データを有して良い。第1サイトについての第1信頼値が、第1ライブラリ関連の評価用データと第1予測データとの差異を用いて計算された第1ライブラリ関連の差異を用いることによって設定されて良い。第1サイトについての第1リスク因子が、第1信頼値、第1ライブラリ関連の差異、若しくはウエハデータ、又はこれらの結合を用いることによって設定されて良い。第1サイトについての第1全リスク因子が、第1リスク因子、第1信頼値、第1ライブラリ関連の差異、若しくはウエハデータ、又はこれらの結合を用いることによって設定されて良い。
660では、第1全リスク因子が第1ライブラリ関連の生成限界以下であるときには、第1の検証されたサイトとしての第1サイトは第1全リスク因子を有して良く、残りのサイト数は1つ減り、アクセスしたサイト数は1つ増えて、かつ第1サイトに関連するデータは評価用ライブラリ内に検証されたデータとして保存されて良い。
第1全リスク因子が第1ライブラリ関連の生成限界よりも大きいときには、第1サイトは第2リスク因子を有する第1未検証サイトとして認定され、残りのサイト数は1つ減り、アクセスしたサイト数は1つ増えて良い。第1の検証されたサイトは検証されたライブラリ関連のデータを有して良い。
665では、クエリーが、追加のサイトが必要か否かを判断するために実行されて良い。追加のサイトが必要なときには、処理600は工程650へ戻るように分岐して良い。追加のサイトが必要ないときには、処理600は工程670へ分岐して良い。
第1ウエハにとって新たなサイトが必要なときには、以下の工程が実行されて良い。前記以下の工程とは、a)第1S-D評価用ウエハ上の必要なサイト数から、第1S-D生成処理を用いて生成された新たなライブラリ関連の参照用部位を有する新たなサイトを選ぶ工程、b)第1S-D評価用ウエハ上に存在する新たなライブラリ関連の測定及び/又は検査データを有する新たなサイトから新たなライブラリ関連の評価用データを取得する工程、c)第1S-Dウエハ上の新たなサイトについて新たな予測データを設定する工程であって、前記新たな予測データは新たに予測された測定及び/又は検査データを有する工程、d)新たなライブラリ関連の評価用データと新たな予測データを用いることによって計算された新たなライブラリ関連の差異を用いることによって新たなサイトについての新たな信頼値を設定する工程、e)新たな信頼値、新たなライブラリ関連の差異、第1信頼値、第1ライブラリ関連の差異、若しくはウエハデータ、又はこれらの結合を用いることによって新たなサイトについての新たなリスク因子を設定する工程、f)新たなリスク因子、新たな信頼値、新たなライブラリ関連の差異、第1リスク因子、第1信頼値、第1ライブラリ関連の差異、若しくはウエハデータ、又はこれらの結合を用いることによって新たなサイトについての新たな全リスク因子を設定する工程、g)新たな全リスク因子が新たなライブラリ関連の生成限界以下であるときには、関連する新たな全リスク因子を有する新たな検証されたウエハとして新たなサイトを認定して、必要なサイト数を1つ減らしてアクセスされたサイト数を1つ増やして、かつ検証されたデータとして新たなサイトに関連するデータを評価用ライブラリ内に保存する工程、h)新たな全リスク因子が新たなライブラリ関連の生成限界よりも大きいときには、関連する第2リスク因子を有する新たな未検証サイトとして新たなサイトを認定して、必要なサイト数を1つ増やしてアクセスされたサイト数を1つ減らす工程、i)必要サイト数が0よりも大きいときにはa)-h)を繰り返す工程、かつj)必要サイト数が0に等しいときには第1ウエハの検証を止める工程、である。ここで新たな検証されたサイトは新たな検証されたライブラリ関連のデータを有する。
670では、クエリーが、1つ以上のS-D評価用ウエハが必要か否かを判断するために実行されて良い。1つ以上のS-D評価用ウエハが必要なときには、処理600は工程645へ戻るように分岐して良い。1つ以上のS-D評価用ウエハが必要ないときには、処理600は工程675へ分岐して良い。
追加のウエハが用いられるとき、1つ以上の制御装置は以下の工程を用いて良い。前記以下の工程とは、a1)追加のS-D評価用ウエハ上の多数の必要サイトから追加のサイトを選ぶ工程であって、前記追加のサイトは、第1S-D生成処理を用いて生成された追加のライブラリ関連参照(評価)用部位を有する工程、b1)追加のS-Dウエハ上の追加サイトから追加のライブラリ関連の評価用データを取得する工程であって、前記追加サイトは関連する追加のライブラリ関連の測定及び/又は検査データを有する工程、c1)追加のS-Dウエハ上の追加サイトについて追加の予測データを設定する工程であって、前記追加の予測データは追加の予測された測定及び/又は検査データを有する工程、d1)追加のライブラリ関連の評価用データと追加の予測データを用いることによって計算された追加のライブラリ関連の差異を用いることによって追加サイトについて追加の信頼値を設定する工程、e1)追加の信頼値、追加のライブラリ関連の差異、新たな信頼値、新たなライブラリ関連の差異、第1信頼値、第1ライブラリ関連の差異、若しくはウエハデータ、又はこれらの結合を用いることによって追加サイトについての追加のリスク因子を設定する工程、f1)追加のリスク因子、追加の信頼値、追加のライブラリ関連の差異、新たなリスク因子、新たな信頼値、新たなライブラリ関連の差異、第1リスク因子、第1信頼値、第1ライブラリ関連の差異、若しくはウエハデータ、又はこれらの結合を用いることによって追加サイトについて追加の全リスク因子を設定する工程、g1)追加の全リスク因子が追加のライブラリ関連の生成限界以下であるときには、関連する追加の全リスク因子を有する追加の検証されたサイトとして追加の サイトを認定して、必要なサイト数を1つ減らしてアクセスされたサイト数を1つ増やして、かつ追加サイトに関連するデータを検証されたデータとして評価ライブラリ内に保存する工程、h1)追加の全リスク因子が追加のライブラリ関連の生成限界よりも大きいときには、関連する追加の第2リスク因子を有する追加の未検証サイトとして追加のサイトを認定して、必要なサイト数を1つ増やしてアクセスされたサイト数を1つ減らす工程、i1)追加のS-D評価用ウエハが利用可能であり、かつ追加S-D評価用ウエハ上の必要サイト数が0よりも大きいときにはa1)-h1)を繰り返す工程、かつj1)追加のS-D評価用ウエハが利用可能でなく、かつ必要サイト数が0に等しいときにはS-Dライブラリ生成処理を止める工程、である。ここで追加の検証されたサイトは関連する追加の検証されたライブラリ関連データを有する。
それに加えて、猶予されたS-D評価用ウエハは様々な回数で処理及び/又は評価されて良い。猶予されたウエハからのデータは利用可能となるとすぐに利用される。たとえば猶予されたウエハからのデータは、他の処理で用いられるようにフィードバック又はフィードフォワードされて良い。
675では、クエリーが、追加の生成用ウエハが必要か否かを判断するために実行されて良い。追加の生成用ウエハが必要なときには、処理600は工程615へ戻るように分岐し、かつその処理は図6に図示されているように進められて良い。追加のサイトが必要ないときには、処理600は工程680へ分岐して良い。処理600は680で終了する。
図7は、S-D処理を用いたウエハ上のデュアルダマシン構造の作製方法の典型的なフローダイアグラムを表している。
710では、1つ以上のウエハがS-D搬送サブシステムによって受け取られて良く、かつ1つ以上のウエハについてのウエハデータが受け取られて良い。あるいはその代わりに、ウエハは異なるサブシステムによって受け取られて良い。ウエハデータは履歴データ及び/又はリアルタイムデータを有して良い。1つ以上のウエハについてウエハ状態データが設定されて良い。ウエハ状態データは、S-Dデータ、チップ依存データ、及び/又はダイ依存データを有して良い。それに加えて、ウエハについて1つ以上のS-D処理シーケンスが設定されて良く、かつS-D処理シーケンスは、S-Dウエハ状態データ、チップ依存ウエハ状態データ、及び/又はダイ依存ウエハ状態データを用いて設定されて良い。
図1に戻ると、第1の典型的実施例では、S-Dウエハが、第1リソグラフィサブシステム110と結合可能なS-D搬送サブシステム(101、102)のうちの1つによって受け取られて良い。1つ以上の制御装置(114、119、124、129、134、139、144、149、154、159、及び195)がデータを受け取って良い。一部の実施例では、ウエハが受け取られるとき、ウエハ及び/又はロットに関連するデータも受け取られて良く、かつそのデータはS-D及び/若しくは非S-Dデータ並びに/又はメッセージを有して良い。たとえばそのデータはS-Dマップを有して良い。S-Dマップとはたとえば、入ってくるウエハ及び/若しくは入ってくるロットについての、信頼性マップ、処理マップ、リスク評価マップ、損傷評価マップ、参照マップ、測定マップ、予測マップ、イメージングマップ、ライブラリ関連マップ、並びに/又は他のウエハ関連マップである。データは、処理システムに関連する1つ以上のサブシステム、ホストシステム、及び/又は他の処理システムからのデータ並びに/又はメッセージを有して良い。たとえばS-Dメッセージ及び/又はデータは、処理シーケンス及び/若しくは搬送シーケンスの決定並びに/又は制御に用いられて良い。
そのデータはウエハデータを取得するために処理されて良い。そのウエハデータは履歴及び/又はリアルタイムデータを有して良い。各ウエハについてS-Dウエハデータが決定されて良い。S-DウエハデータはS-Dウエハ状態データ及び/又はS-D信頼性データを有して良い。
追加のS-Dウエハが処理を必要とする場合において、第1S-D処理装置が利用可能であるときには、追加のS-Dウエハは、1つ以上の処理サブシステムと結合するS-D搬送サブシステムを用いることによって、1つ以上の処理サブシステム内の追加S-D処理装置へ搬送されて良く、かつ、第1S-D処理装置が利用可能でないときには、追加のS-Dウエハは、1つ以上の処理サブシステムと結合するS-D搬送サブシステムを用いることによって猶予されて良い。S-D搬送サブシステム内の搬送装置は、ある期間だけウエハを保存及び/又は猶予するのに用いられて良い。
715では、各S-Dウエハについて1つ以上のS-D処理シーケンスが、ウエハデータを用いることによって設定されて良い。ウエハデータ及び/又はS-Dウエハ状態データは、ウエハが受け取られるとき及び/又はその前に、各S-DウエハについてS-D処理シーケンスを設定するのに用いられて良い。それに加えて、各ウエハについての第1処理サブシステムが、第1S-D処理シーケンス及び/又はS-Dウエハデータを用いることによって特定されて良い。一例では、ウエハ上の1層以上の層中に多数のエッチング部位を作製するために第1処理シーケンスが設定されて良い。
第1の典型的実施例では、S-Dデュアルダマシン(DD)処理シーケンスが設定されて良く、S-D DD処理シーケンスは、第1ダマシン生成処理、第1ダマシン評価処理、第2ダマシン生成処理、及び第2ダマシン評価処理を有して良い。第1組のS-D処理用ウエハが設定されて良い。S-Dウエハデータは、第1組のS-D処理用ウエハを特定するのに用いられて良い。第1組のS-D処理用ウエハは、第1ダマシン生成処理を用いることによって処理されて良い。
720では、未処理S-Dウエハが搬送及び/又は猶予されて良い。第1未処理S-Dウエハについての第1S-D処理が決定されて良い。第1S-D処理は1つ以上のプロセス関連処理を有して良い。第1S-D処理装置が利用可能であるとき、第1未処理S-Dウエハは、第1処理サブシステムと結合するS-D搬送サブシステムを用いることによって、第1処理サブシステム内の第1S-D処理装置へ搬送されて良い。第1S-D処理装置が利用可能でないとき、第1未処理S-Dウエハは、第1処理サブシステムと結合するS-D搬送サブシステムを用いることによって猶予されて良い。
第1の典型的実施例では、第1組のS-D処理用ウエハについてS-D搬送シーケンスが設定されて良い。第1リソグラフィサブシステム110内の1つ以上の第1S-D処理装置112についてのリアルタイム動作状態が設定されて良い。ウエハがS-D処理装置に対して搬入出されることで、動作状態は変化して良い。リアルタイム搬送シーケンスは、リソグラフィ関連サブシステム内の第1S-D処理装置110に対してウエハを搬入出するために設定され、かつ用いられて良い。それに加えて、内部搬送装置113が用いられても良い。第1組のS-D処理用ウエハについてS-D搬送シーケンスが設定されて良い。第1リソグラフィサブシステム110内の1つ以上の第1S-D処理装置112についてリアルタイム動作状態が設定されて良い。ウエハがS-D処理装置に対して搬入出されることで、動作状態は変化して良い。リアルタイム搬送シーケンスが設定されて良く、かつ時間と共に変化して良い。第1数の第1S-D処理装置が利用可能であるとき、第1数の第1組のS-D処理用ウエハは、S-D搬送サブシステムを用いることによって、第1リソグラフィサブシステム110内の第1数の第1S-D処理装置112へ搬送されて良い。第1数の第1S-D処理装置が第1組のS-D処理用ウエハの中の他のS-Dウエハにとって利用可能でないとき、前記の第1組のS-D処理用ウエハの中の他のS-Dウエハは、S-D搬送サブシステムを用いることによって、第1期間だけ猶予されて良い。第1組のS-D処理用ウエハが搬送されるとき、第1S-D搬送シーケンスが用いられて良い。たとえば、前記の第1組のS-D処理用ウエハの中の他のS-Dウエハは、S-D搬送サブシステム内の1つ以上の搬送装置を用いることによって第1期間だけ猶予されて良い。2つ以上のウエハを支持するように搬送装置が備えられて良い。前記の第1組のS-D処理用ウエハの中の他のS-Dウエハは、第1期間後に処理されて良い。S-Dウエハが猶予されるとき、新たなS-D搬送シーケンスが設定されて良い。
猶予された未処理S-Dウエハが特定されたとき、その猶予された未処理S-Dウエハについて更新されたウエハ状態データが決定されて良い。第1猶予期間後、1つ以上の処理サブシステム内の1つ以上のS-D処理装置についての更新された動作状態データが決定されて良く、かつ1つ以上の新たに利用可能となるS-D処理装置が、更新された動作状態データを用いることによって特定されて良い。新たに利用可能となったS-D処理装置が利用可能であるとき、猶予された未処理S-Dウエハは、1つ以上の処理サブシステムと結合したS-D搬送サブシステムを用いることによって、1つ以上の処理サブシステム内の第1新たに利用可能となったS-D処理装置へ搬送されて良い。第1S-D処理装置が利用可能でないときには、第1猶予された未処理S-Dウエハは、処理サブシステムと結合する1つ以上のS-D搬送サブシステムを用いることによって、第2期間だけ猶予されて良い。猶予された未処理S-Dウエハは、第2期間の猶予後に後処理されて良い。後処理は、その処理を中止する工程、その処理を中断する工程、1つ以上のウエハを再評価する工程、1つ以上のウエハを再測定する工程、1つ以上のウエハを再検査する工程、1つ以上のウエハを再加工する工程、1つ以上のウエハを保存する工程、1つ以上のウエハを洗浄する工程、若しくは1つ以上のウエハを取り除く工程、又はこれらの結合を有して良い。
1つ以上のS-Dウエハは、そのウエハについてのS-D処理シーケンスによって作成される1つ以上の処理サブシステム内の1つ以上のS-D処理装置へ搬送されて良い。それに加えて、1つ以上のS-Dウエハが、S-D搬送シーケンスを用いることによって搬送されて良い。
725では、1つ以上のS-Dウエハが、1つ以上の処理サブシステム内の1つ以上のS-D処理装置内で処理されて良い。第1S-D処理は第1未処理S-Dウエハを処理するのに用いられて良い。第1S-D処理は1つ以上のプロセス関連処理を有して良い。代替実施例では、1つ以上のウエハが非S-Dサブシステム内で処理されて良い。たとえば、S-D処理シーケンスにおける第1処理が第1処理サブシステム内で実行されて良く、かつS-D処理シーケンスにおける追加処理が追加サブシステム内で実行されて良い。
第1S-D検証処理が実行されるとき、第1組の未検証S-D検証用部位が第1検証用ウエハ上に生成されて良く、かつ第1組の未検証S-D検証用部位は、第1検証用ウエハ上の第1サイトにおいて第1未検証検証用部位を有して良い。
追加の未処理S-Dウエハが特定されたとき、その追加の未処理S-Dウエハは第1S-D処理を用いて処理されて良い。追加の第1組の未検証S-D検証用部位が追加の検証用ウエハ上に生成されて良い。追加の第1組の未検証S-D検証用部位は、各追加の検証用ウエハ上の第1サイトにおいて第1未検証の検証用部位を有して良い。
猶予された未処理S-Dウエハが特定されたとき、その猶予された未処理S-Dウエハは、後で第1S-D処理を用いて処理されて良い。追加の第1組の未検証S-D検証用部位が猶予された検証用ウエハ上に生成されて良い。追加の第1組の未検証S-D検証用部位は、各追加の検証用ウエハ上の第1サイトにおいて第1未検証の検証用部位を有して良い。あるいはその代わりに、他の未検証S-D処理が、追加の未処理ウエハを用いることによって実行されても良い。
第1の典型的実施例について続けると、第1ダマシン層が生成されているときに第1生成処理が実行されて良く、かつ第2ダマシン層が生成されているときに第2生成処理が実行されて良い。第1生成処理の間、第1数の第1組のS-D処理用ウエハは第1ダマシン生成処理を用いることによって作成されて良く、かつ第1組の処理されたウエハが特定されて良い。第1ダマシン生成処理は、第1数の第1組のS-Dウエハ上に第1組のS-Dダマシン部位を生成するのに用いられて良い。第1組のS-Dダマシン部位は、第1組のS-D処理用ウエハの各々の上の1つ以上のサイトに1つ以上の検証用部位を有して良い。第2生成処理の間、第1数の第2組のS-D処理用ウエハは第2ダマシン生成処理を用いることによって作成されて良く、かつ第2組の処理されたウエハが特定されて良い。第2ダマシン生成処理は、第1数の第2組のS-Dウエハ上に第2組のS-Dダマシン部位を生成するのに用いられて良い。第2組のS-Dダマシン部位は、第2組のS-D処理用ウエハの各々の上の1つ以上のサイトに1つ以上の検証用部位を有して良い。第1生成処理の間及び/又はその後、第1組のS-D評価用ウエハが特定されて良く、かつ第1組のS-D評価用ウエハは、1つ以上の第1組の処理されたウエハを有して良い。それに加えて、第1生成処理の間及び/又はその後、第1組のS-D評価用ウエハが特定されて良く、かつ第1組のS-D評価用ウエハは1つ以上の第1組の処理されたウエハを有して良い。
730では、1つ以上の処理されたS-Dウエハが搬送及び/又は猶予されて良い。様々な実施例では、処理されたS-Dウエハは、サイト検証用、処理検証用、ウエハ検証用、部位検証用、像検証用、ライブラリ検証用、若しくはプロセス検証用のウエハ、又はこれらの結合であって良い。S-D評価装置が利用可能であるとき、処理されたS-Dウエハは、1つ以上の評価サブシステムと結合するS-D搬送サブシステムを用いることによって、1つ以上の評価サブシステム内のS-D評価装置へ搬送されて良い。S-D評価装置が利用可能でないとき、処理されたS-Dウエハは、1つ以上の評価サブシステムと結合するS-D搬送サブシステムを用いることによって猶予されて良い。
猶予されたS-D被処理ウエハが特定されたとき、その猶予された被処理ウエハについて更新されたウエハ状態データが決定されて良い。第1猶予期間後、1つ以上の処理サブシステム内の1つ以上のS-D評価装置についての更新された動作状態データが決定されて良く、かつ1つ以上の新たに利用可能となるS-D評価装置が、更新された動作状態データを用いることによって特定されて良い。新たに利用可能となったS-D評価装置が利用可能であるとき、猶予された被処理S-Dウエハは、1つ以上の評価サブシステムと結合したS-D搬送サブシステムを用いることによって、1つ以上の評価サブシステム内の第1新たに利用可能となったS-D評価装置へ搬送されて良い。第1S-D評価装置が利用可能でないときには、第1猶予された被処理S-Dウエハは、第1処理サブシステムと結合する1つ以上のS-D搬送サブシステムを用いることによって、第2期間だけ猶予されて良い。猶予された被処理S-Dウエハは、第2期間の猶予後に後処理されて良い。後処理は、その処理を中止する工程、その処理を中断する工程、1つ以上のウエハを再評価する工程、1つ以上のウエハを再測定する工程、1つ以上のウエハを再検査する工程、1つ以上のウエハを再加工する工程、1つ以上のウエハを保存する工程、1つ以上のウエハを洗浄する工程、若しくは1つ以上のウエハを取り除く工程、又はこれらの結合を有して良い。1回以上の期間で1つ以上のウエハが、S-D搬送サブシステム内の搬送装置を用いることによって猶予されて良い。搬送装置は2つ以上のウエハを支持する手段を有して良い。
さらに第1の典型的実施例について続けると、第1組の評価用ウエハの中の各S-Dウエハについて第2S-D搬送シーケンスが設定されて良い。評価サブシステム150内の1つ以上の第1S-D評価装置152についてのリアルタイム動作状態が設定されて良い。ウエハがS-D評価装置152に対して搬入出されることで、動作状態は変化して良い。リアルタイム搬送シーケンスは、評価サブシステム150内の第1S-D評価装置152に対してウエハを搬入出するために設定され、かつ用いられて良い。それに加えて、検査サブシステム135内のS-D評価装置137が用いられても良い。第1数の第1S-D評価装置が利用可能であるとき、第1数の第1組のS-D評価用ウエハは、S-D搬送サブシステム(101、102)を用いることによって、評価サブシステム150内の第1数の第1S-D評価装置152へ搬送されて良い。第1数の第1S-D評価装置が第1組のS-D評価用ウエハの中の他のS-Dウエハにとって利用可能でないとき、前記の第1組のS-D処理用ウエハの中の他のS-Dウエハは、S-D搬送サブシステムを用いることによって、第2期間だけ猶予されて良い。たとえば、前記の第1組のS-D処理用ウエハの中の他のS-Dウエハは、S-D搬送サブシステム(101、102)内の1つ以上の搬送装置104を用いることによって第2期間だけ猶予されて良い。搬送装置104は2つ以上のウエハを支持するように備えられて良い。前記の第1組のS-D処理用ウエハの中の他のS-Dウエハは、第2期間後に処理されて良い。第2ダマシン層についてS-Dウエハが処理を必要とするときには、同様の工程の組が用いられて良い。たとえば第3及び第4の搬送シーケンスが用いられても良い。
735では、クエリーが、ウエハが評価を必要としているか否かを判断するために実行されて良い。ウエハが評価を必要としているときには、処理700は工程740へ分岐して良い。ウエハが評価を必要としていないときには、処理700は工程745へ分岐して良い。
740では、1つ以上のサイトが1つ以上のS-Dウエハ上で選択されて良い。様々な実施例では、そのサイトはS-D処理において用いられて良い。そのS-D処理は、サイト検証処理、部位検証処理、像検証処理、ライブラリ検証処理、若しくはプロセス検証処理、又はこれらの結合を有して良い。サイトはS-Dウエハ上の多数の残りのサイトから選ばれて良い。そのサイトは、関連する未検証又は検証部位を有して良い。
745では、1つ以上の処理されたS-Dウエハが、1つ以上の選ばれたサイトからのデータを用いることによって評価されて良い。たとえば、第1サイトは最も重要なサイトで、かつ一部の検証についての決定は、第1サイトだけを用いて行われて良い。信頼性データ及び/又はリスク評価データは評価処理内において用いられて良い。たとえば、選ばれたサイトについての1つ以上の信頼値が、未検証データと検証データとの間の差異を用いることによって設定されて良く、かつS-D処理についての1つ以上の更新されたリスク因子が設定されて良い。
それに加えて、更新された信頼値が、1つ以上のウエハ上の追加サイトからの追加信頼性データを用いて設定されて良く、かつ全リスク因子が、1つ以上のウエハ上の追加サイトからの追加信頼性データを用いて更新されて良い。他の場合では、検証の決定は、1つ以上のウエハ上の1つ以上のサイトからの信頼値及び/又はリスク因子を用いることによって行われて良い。未処理ウエハ、被処理ウエハ、若しくは猶予されたウエハ、又はこれらの結合についての信頼値が決定されて良い。
さらに第1の典型的実施例について続けると、第1ダマシン層が評価されているときに第1評価処理が実行されて良く、かつ第2ダマシン層が評価されているときに第2評価処理が実行されて良い。第1評価処理の間、1つ以上のS-D第1評価処理が実行されて良い。第1数の第1組のS-D評価用ウエハは第1ダマシン評価処理を用いることによって評価されて良く、かつ第1組の検証されたウエハが特定されて良い。第1ダマシン評価処理は、第1数の第1組のS-Dウエハ上に第1組のS-Dダマシン部位を生成するのに用いられて良い。第1組のS-Dダマシン部位は、第1組のS-D評価用ウエハの各々の上の1つ以上のサイトに1つ以上の検証用部位を有して良い。第2評価処理の間、第1数の第2組のS-D評価用ウエハは第2ダマシン評価処理を用いることによって評価されて良く、かつ第2組の検証されたウエハが特定されて良い。第2ダマシン評価処理は、第1数の第2組のS-Dウエハ上に第2組のS-Dダマシン部位を生成するのに用いられて良い。第2組のS-Dダマシン部位は、第2組のS-D評価用ウエハの各々の上の1つ以上のサイトに1つ以上の検証用部位を有して良い。
第1評価処理の間及び/又はその後、第2組のS-D処理が設定されて良く、かつ第2組のS-D処理は、1つ以上の第1組の検証されたウエハを有して良い。
745では、クエリーが、いつ追加のS-D評価用ウエハが必要であるかを判断するために実行されて良い。追加のS-D評価用ウエハが処理を必要とするときには、処理700は740へ分岐して良い。追加のS-D評価用ウエハが必要とされないときには、処理700は750へ分岐して良い。
750では、クエリーが、いつ追加のS-D生成用ウエハが必要であるかを判断するために実行されて良い。追加のS-D生成用ウエハが処理を必要とするときには、処理700は720へ分岐して良い。追加のS-D生成用ウエハが必要とされないときには、処理700は755へ分岐して良い。それに加えて、追加の検証用データが、1つ以上の追加のS-Dウエハ上の1つ以上のサイトから取得されて良い。追加のS-Dウエハ上の追加サイトについて追加の信頼値が設定されて良い。追加のリスク因子もまた、追加の信頼性データを用いることによって設定されて良い。さらにS-D処理を検証するとき、以降で処理された猶予されたS-Dウエハからのデータが評価されて良い。
755では、クエリーが、いつ追加のS-D及び/又は非S-D処理が必要であるかを判断するために実行されて良い。追加のS-D及び/又は非S-D処理が必要とされるときには、処理700は715へ分岐して良い。追加のS-D及び/又は非S-D処理が必要とされないときには、処理700は760へ分岐して良い。
一部の多工程の例では、リソグラフィ関連及び/又はスキャナ関連処理装置は、マスク堆積処理、マスク層露光処理、及び/又は現像処理を実行して良く、かつ、S-D評価装置は、マスク堆積処理、マスク層露光処理、及び/又は現像処理の検証に用いられて良い。マスク堆積処理、マスク層露光処理、及び/又は現像処理はS-D及び/又は非S-Dであって良い。それに加えて、1層以上の層がエッチング関連処理装置を用いてエッチングされて良く、かつエッチングされた部位は、1つ以上のS-D評価装置を用いることによって評価されて良い。
他の多工程の例では、デュアルダマシン処理が、1つ以上のウエハ上で実行されて良い。デュアルダマシン処理の間、第1ダマシン処理が実行され、それに続いて第2ダマシン処理が実行されて良い。実施例によっては、ビア・ファースト・トレンチ・ラスト(VFTL)処理が実行されて良い。またトレンチ・ファースト・ビア・ラスト(TFVL)処理が実行されても良い実施例もある。S-D測定、検査、検証、及び/又は評価処理は、ダマシン処理の前、間、及び/又は後に実行されて良い。あるいはその代わりに1つ以上の非S-D処理が必要とされても良い。たとえば、第1のパターニングされたダマシン層上のエッチングされた部位は、「ビア・ファースト」(via first)又は「トレンチ・ファースト」(trench first)エッチング処理が実行された後に測定されて良い。1つ以上のS-Dデータ収集(DC)計画及び/又はS-Dマッピングアプリケーションが用いられても良い。あるいはその代わりに異なる処理が用いられても良い。
S-Dウエハ厚さデータ及び/又はウエハ温度データが、リソグラフィ処理中に、S-Dマスク(フォトレジスト)データの生成、S-Dマスクの浸漬後洗浄及び/又は乾燥データの生成、並びにS-Dマスク現像及び/又はベーキングデータの生成を行うのに用いられて良い。それに加えて、S-Dウエハ厚さデータ及び/又はウエハ温度データは、エッチングサブシステム140によって、S-Dエッチング及び/又はアッシングデータを生成するのに用いられて良い。たとえばそのデータは、エッチング用化学物質データ、エッチング時間データ、処理気体比のデータ、予想される端点データ、ヒーター出力データ、及び/又はRF出力データを有して良い。それに加えて、S-Dウエハ厚さデータ及び/又はウエハ温度データは、熱処理サブシステム130によって、S-D加熱及び/又は冷却データを生成するのに用いられて良い。S-Dウエハ厚さデータ及び/又はウエハ温度データは、検査サブシステム135によって、S-D検査、検証、及び/又は検討データ(examination data)を生成するのに用いられて良い。他の例では、S-Dウエハ厚さデータ及び/又はウエハ温度データは、再加工サブシステム155によって、S-D再加工処理を行うのに用いられて良い。
図8は、S-D評価用ライブラリを生成するための別な典型的フローダイアグラムを表している。例示された処理800では、多数の工程が示されている。あるいはその代わりに、異なる数の工程及び異なる数のシーケンスが用いられても良い。
810では、1つ以上のS-Dウエハが、1つ以上のS-D搬送システムを用いることによって受け取られて良い。あるいはその代わりに、1つ以上の非S-Dウエハが受け取られても良い。それに加えて、1つ以上のウエハについてのウエハデータが受け取られて良い。ウエハデータは履歴データ及び/又はリアルタイムデータを有して良い。あるいはその代わりに、ウエハは異なるサブシステムによって受け取られても良い。
815では、1つ以上のS-D搬送システムを用いることによって受け取り可能な1つ以上のウエハについて1つ以上のS-Dウエハデータ及び/又は非S-Dウエハデータが決定されて良い。ウエハデータは、S-Dウエハ及び非S-Dウエハの組を特定するのに用いられて良い。様々な実施例では、S-Dウエハに関連するS-Dウエハデータは、S-D、チップ依存、製品依存、位置依存、層依存、ウエハ依存、若しくはダイ依存、又はこれらの結合であって良い。それに加えて、ウエハについて1つ以上のS-D処理シーケンスが設定されて良く、かつS-D処理シーケンスは、S-Dウエハデータ、チップ依存のウエハ状態データ、及び/又はダイ依存のウエハ状態データを用いることによって設定されて良い。
820では、1つ以上のS-Dウエハが、S-D搬送システムを用いることによって1つ以上のS-D処理装置へ搬送されて良い。
825では、1つ以上の処理されたS-Dウエハが生成されて良い。処理されたS-Dウエハは、上に1つ以上のS-Dライブラリ関連部位を有して良い。前記1つ以上のS-Dライブラリ関連部位は、1つ以上のS-D生成処理を用いることによって1つ以上のサイトに生成されて良い。
830では、クエリーが、1つ以上のS-D生成処理が正しく行われたか否かを判断するために実行されて良い。1つ以上のS-D生成処理が正しく行われたときには、処理800は工程835へ分岐して良い。1つ以上のS-D生成処理が正しく行わなかったときには、処理800は工程880へ分岐して良い。たとえば、装置データ、チャンバデータ、及び/又は失敗データが用いられて良い。
1組以上のS-D評価用ウエハが、1組以上の被処理S-Dウエハを用いることによって作製されて良い。
835では、1組以上のS-D評価用ウエハが、S-D搬送システムを用いることによって1つ以上の評価装置へ搬送されて良い。それに加えて、1組以上の他のS-D評価用ウエハの組が、S-D搬送システムを用いることによって猶予及び/又は保存されても良い。
840では、1つ以上のS-D評価処理が、1つ以上のS-D評価装置へ搬送された1つ以上のS-D評価用ウエハを用いることによって実行されて良い。それに加えて、猶予された後に1つ以上の評価装置が利用可能となったときにその1つ以上の評価装置へ搬送された1つ以上のS-D評価用ウエハを用いることによって、1つ以上のS-D評価処理が実行されて良い。
一部の評価処理中では、第1S-D評価用ウエハについての第1信頼性データが、第1S-D評価用ウエハ上の第1サイトでのS-Dライブラリ関連部位を評価することによって設定されて良い。第1S-D評価用ウエハについての第1信頼性データは1つ以上の第1信頼性限界程度であって良い。各異なるレベルの信頼性が各異なる信頼性限界に関連して良い。
第1信頼性限界が満たされているとき、第1ライブラリ関連の参照用部位は、第1レベルの信頼性を有する高信頼性部位として認定されて良く、第1S-D評価用ウエハは第1レベルの信頼性を有する高信頼性ウエハとして認定されて良く、かつ高信頼性部位及び第1S-D評価用ウエハに関連する第1ライブラリ関連評価用データは、S-D評価用ライブラリ内に保存されて良い。高信頼性部位及びS-D評価用ウエハは1つ以上の信頼性レベルを有して良い。
845では、クエリーが、1つ以上のS-D評価処理が正しく行われたか否かを判断するために実行されて良い。1つ以上のS-D評価処理が正しく行われたときには、処理800は工程850へ分岐して良い。1つ以上のS-D評価処理が正しく行わなかったときには、処理800は工程880へ分岐して良い。たとえば、装置データ、チャンバデータ、及び/又は失敗データが用いられて良い。
850では、1つ以上の信頼性限界が満たされないときには、1つ以上の補正行為が実行されて良い。
855では、クエリーが、追加の評価用ウエハが評価を必要とするか否かを判断するために実行されて良い。追加の評価用ウエハが評価を必要とするときには、処理800は工程835へ分岐して良い。追加の評価用ウエハが評価を必要としないときには、処理800は工程860へ分岐して良い。
860では、クエリーが、追加の生成用ウエハがさらなる処理に利用可能か否かを判断するために実行されて良い。追加の生成用ウエハが利用可能なときには、処理800は工程810へ分岐して良い。追加の生成用ウエハが利用可能でないときには、処理800は工程870へ分岐して良い。処理800は870で終了する。
一部の例では、補正行為を適用する工程は以下の工程を有して良い。前記以下の工程とは、a)第1S-D評価用ウエハ上の最大数の評価用サイトを決定する工程、b)第1S-D評価用ウエハ上の最小数の評価用サイトを決定する工程、c)第1S-D評価用ウエハについての第1信頼性マップを生成する工程、d)第1S-D評価用ウエハ上の必要な数の評価用サイトを決定する工程、e)第1S-D評価用ウエハ上の新たなサイトを選択する工程、f)新たなS-D評価処理を用いることによって第1S-D評価用ウエハについての新たな信頼性データを設定する工程であって、第1S-Dウエハ上の新たなサイトでのS-Dライブラリ関連部位が評価される工程、g)新たなサイトを、第1S-D評価用ウエハについての新たな第1信頼性マップに追加する工程、h)新たな信頼性データを、第1S-D評価用ウエハについての新たな信頼性限界と比較する工程、i)新たな第1信頼性限界が満たされるときには、第1S-D評価用ウエハ上の新たなサイトのS-Dライブラリ関連部位を、新たな第1レベルの信頼性を有する新たな高信頼性部位と認定し、第1S-D評価用ウエハを、新たな第1レベルの信頼性を有する新たな高信頼性ウエハと認定し、かつ新たな高信頼性部位及び第1S-D評価用ウエハに関連する第1ライブラリ関連の評価用データをS-D評価用ライブラリ内に保存する工程、j)新たな第1信頼性限界が満たされないときには、第1S-D評価用ウエハ上の新たなサイトのS-Dライブラリ関連部位を、新たな信頼性データを有する新たな未検証部位と認定し、必要サイト数を1つ減らして、かつアクセスされたサイト数1を1つ増やす工程、k)第1S-D評価用ウエハ上の必要サイト数が0よりも大きいときには、工程e)-j)を繰り返す工程、並びにl)第1S-D評価用ウエハ上の必要サイト数が0に等しいときには、第1S-D評価用ウエハの評価を中止する工程、である。
他の例では、補正行為を適用する工程は以下の工程を有して良い。前記以下の工程とは、a1)S-D搬送システムを用いることによって追加のS-Dウエハを受け取る工程、b1)第1S-D搬送システムを用いることによって追加の第1S-D処理装置へ追加のS-Dウエハを搬送する工程、c1)1つ以上の追加の被処理S-Dウエハを生成する工程であって、1つ以上のS-Dライブラリ関連部位が、第1S-D生成処理を用いることによって各追加S-D被処理ウエハ上の1つ以上のサイトに生成される工程、d1)追加の被処理S-Dウエハを用いることによって追加のS-D評価用ウエハを決定する工程、e1)S-D搬送サブシステムを用いることによって追加の第1S-D評価装置へ追加のS-Dウエハを搬送する工程、f1)追加の第1S-D評価処理を用いることによって追加のS-D評価用ウエハについての追加の第1信頼性データを設定する工程であって、追加のS-D評価用ウエハ上の第1サイトでのS-Dライブラリ関連部位が評価される工程、g1)追加の第1信頼性データを、追加のS-D評価用ウエハについての追加の第1信頼性データと比較する工程、h1)追加の第1信頼性限界が満たされるときには、追加のS-D評価用ウエハ上の第1サイトのS-Dライブラリ関連部位を、追加の第1レベルの信頼性を有する追加の高信頼性部位と認定し、追加のS-D評価用ウエハを、追加の第1レベルの信頼性を有する追加の高信頼性ウエハと認定し、かつ追加の高信頼性部位及び追加のS-D評価用ウエハに関連する追加のライブラリ関連の評価用データをS-D評価用ライブラリ内に保存する工程、i1)追加の第1信頼性限界が満たされないときには、第2補正行為を適用する工程、である。
それに加えて、第2補正行為を適用する工程は以下の工程を有して良い。前記以下の工程とは、a2)追加のS-D評価用ウエハ上の最大数の評価用サイトを決定する工程、b2)追加のS-D評価用ウエハ上の最小数の評価用サイトを決定する工程、c2)追加のS-D評価用ウエハについての第1信頼性マップを生成する工程、d2)追加のS-D評価用ウエハ上の必要な数の評価用サイトを決定する工程、e2)追加のS-D評価用ウエハ上の新たなサイトを選択する工程、f2)追加の新たなS-D評価処理を用いることによって追加のS-D評価用ウエハについての新たな追加の信頼性データを設定する工程であって、追加のS-Dウエハ上の新たなサイトでのS-Dライブラリ関連部位が評価される工程、g2)新たなサイトを、追加のS-D評価用ウエハについての第1信頼性マップに追加する工程、h2)新たな追加の信頼性データを、追加のS-D評価用ウエハについての新たな第1信頼性限界と比較する工程、i2)追加の新たな第1信頼性限界が満たされるときには、追加のS-D評価用ウエハ上の新たなサイトのS-Dライブラリ関連部位を、追加の新たな第1レベルの信頼性を有する追加の新たな高信頼性部位と認定し、第1S-D評価用ウエハを、追加の新たな第1レベルの信頼性を有する追加の新たな高信頼性ウエハと認定し、かつ追加の新たな高信頼性部位及び追加のS-D評価用ウエハに関連する新たな追加のライブラリ関連の評価用データをS-D評価用ライブラリ内に保存する工程、j2)追加の新たな第1信頼性限界が満たされないときには、追加のS-D評価用ウエハ上の新たなサイトのS-Dライブラリ関連部位を、新たな信頼性データを有する追加の新たな未検証部位と認定し、必要サイト数を1つ減らして、かつアクセスされたサイト数1を1つ増やす工程、k2)追加のS-D評価用ウエハ上の必要サイト数が0よりも大きいときには、工程e2)-j2)を繰り返す工程、並びにl2)追加のS-D評価用ウエハ上の必要サイト数が0に等しいときには、追加のS-D評価用ウエハの評価を中止する工程、である。
一部の例では、第1サイトは最も重要なサイトの1つであって良く、かつ、決定は1つ以上のウエハからの第1サイトデータからの結果に基づいて良い。
S-D及び/又は非S-D処理からのデータは、測定、検査、検証、及び/又は評価処理の変更、新たな測定、検査、検証、及び/又は評価サイトをいつ設定するのかを決定するのに用いられて良い。それに加えて、信頼値がウエハの1つ以上の領域において低いとき、又は、エラーが発生したときには、1つ以上の新たなサイトが設定されて良い。さらに信頼性マップ上の値が特定の処理について一貫して高いとき、かつ/又は特定の処理についての精度値が一貫して受容可能な限界範囲内であるときには、新たな測定、検査、検証、及び/又は評価計画が設定されて良い。その計画は、より少数のサイトを用い、かつ各ウエハについてのスループット時間を減少させることができる。
場合によっては、ウエハ全体についてのデータはS-D処理中に計算されて良い。あるいはその代わりに、ウエハの一部についてのデータが計算及び/又は予測されて良い。たとえばその一部とは1つ以上の半径領域及び/又は四分円を有して良い。1つ以上の測定値及び/又は計算/予測値が、ウエハについて設定された精度限界の範囲外であるときには、エラー状態であることが宣言されて良い。一部のエラーは、S-D制度改善処理を用いることによって解消することができる。他のエラーは、サブシステム及び/又は制御装置によって解決することができる。
ウエハの一部は様々な信頼値を有する製品を有して良い。S-D処理は、製品開発サイクルにおける多くの様々な段階で、S-Dウエハから最大量の製品を得るのに用いられて良い。
プロセス結果及び/又は他のマップに関連する許容値及び/又は許容限界は、1つ以上のプロセスにおける許容可能なばらつきを特定するのに用いられて良い。それに加えて、プロセス結果及び/又は他のマップは、処理シーケンスにおける1つ以上の処理についての信頼性データ及び/又はリスク因子を設定するのに用いられて良い。たとえば、プロセス結果及び/又は他のマップは、チャンバ洗浄処理に応じて変化して良く、かつS-D処理は、チャンバ洗浄後に生じる「最初のウエハ」問題を改善及び/又は解決するのに用いられて良い。
一部の実施例では、S-Dデータは層の製造情報を有して良く、かつその層の製造情報は各異なる層についてそれぞれ異なっていて良い。新たなS-D層データは、S-D処理中に取得され、プロセスレシピを更新及び/又は最適化するのに用いられ、プロセスモデルを更新及び/又は最適化するのに用いられ、かつプロファイルデータを更新及び/又は最適化するのに用いられて良い。それに加えて、S-D処理は新たなS-D層データを、他のサブシステム及び/又は工場システム内の制御装置へ送って良い。たとえば新たなS-Dデータは新たなウエハ厚さ及び/又は均一性データを有して良い。
S-D処理は状態に係る情報を利用して良い。状態に係る情報とはたとえば、ウエハデータを構成して番号付けする手段としての、サイトID、チップID、ダイID、製品ID、サブシステムID、時間、ウエハID、スロットID、ロットID、レシピ、及び/又はパターニング構造のIDである。
それに加えて、S-Dモデル化処理は、ウエハモデル、精度モデル、レシピモデル、光学特性モデル、構造モデル、FDCモデル、予測モデル、信頼性モデル、測定モデル、エッチングモデル、堆積モデル、最初のウエハ効果モデル、チャンバモデル、装置モデル、ドリフトモデル、猶予期間モデル、電気特性モデル、若しくはデバイスモデル、又はこれらの結合を、生成、精緻化、及び/又は使用して良い。
S-D処理はまた、履歴データ、ウエハデータ、精度データ、プロセスデータ、光学特性データ、構造データ、FDCデータ、予測データ、信頼性データ、測定データ、エッチングデータ、チャンバデータ、装置データ、ドリフトデータ、電気特性データ、若しくはデバイスデータ、又はこれらの結合をも用いて良い。
S-DパラメータはS-D層情報を有して良い。S-D厚さデータはリソグラフィ処理後に供されて良い。S-D処理はこの情報をスキャナサブシステムへ送るのに用いられて良い。それに加えて、厚さデータは堆積処理後に供されて良く、かつS-D処理はこの情報を他のサブシステムへ送るのに用いられて良い。S-Dウエハデータをリアルタイムで測定及び/又は処理サブシステムへフィードフォワードすることによって、ウエハ処理を改善することが可能となる。層の厚さに影響を及ぼす恐れのある材料のばらつき及び/又は処理のばらつきは、サイト間、ウエハ間、及びロット間で変化して良い。厚さのばらつきは堆積処理がウエハ全体にわたって均一ではないために生じると考えられる。これには、チャンバ間でのばらつき及び長時間にわたるチャンバのドリフトが含まれると考えられる。厚さのばらつきは光学特性のばらつき及び/又は熱のばらつきを生じさせる恐れがある。S-D処理は、これらのばらつきを緩和及び/又は除去するのに用いることができる。
システム及び/又はサブシステムは非S-D及び/又はS-Dデータを有して良い。非S-D及び/又はS-Dデータは、セットアップデータ、構成データ、履歴データ、入力データ、出力データ、優先データ、猶予データ、失敗データ、応答データ、エラーデータ、フィードフォワードデータ、フィードバックデータ、通過データ、内部データ、外部データ、最適化データ、状態データ、タイミングデータ、プロセス結果データ、及び/又は測定データを有して良い。
一部の実施例では、S-Dウエハデータ及び/又はウエハデータは、底部CDデータ、中間CDデータ、上部CDデータ、若しくは角度データ、又はこれらの結合を有して良い。たとえば、サブシステムはエッチング装置を有して良く、かつそのエッチング装置はS-Dである新たなウエハ及び/又はプロセス状態データを用いて、ウエハ上に深い溝をエッチングして作製するときのエッチング時間を決定し、ウエハ上にデュアルダマシン構造をエッチングするときのエッチング時間を決定し、ウエハ上のゲート構造をエッチングするときのエッチング時間を決定して良い。それに加えて、リアルタイム処理データは、計算されたCD、計算された深さ、及び/又は計算された側壁角度を有して良い。
処理装置がウエハを受け入れる準備を整えるまで、その処理装置へウエハが搬送されないようにするために、S-D制御アプリケーションが用いられて良い。受け手がS-Dメッセ維持及び/又はデータを用いる準備を整えるまで、そのS-Dメッセ維持及び/又はデータが送られないようにするために、S-D制御アプリケーションが用いられて良い。S-D制御アプリケーションは、猶予期間変数を用いて、ウエハ、計算、プロセス、及び/又は測定を猶予することができる。たとえば、猶予期間は、ウエハについての計算、プロセス、及び/又は測定によってS-Dデータが用いられ得る前に、そのS-Dデータが届くのを防止するのに用いられて良い。猶予期間は、ウエハデータ、シーケンスデータ、制御データ、及び/又は履歴データを用いることによって決定されて良い。猶予期間変数は、1つ以上の制御装置(114、119、124、129、134、139、144、149、154、及び159)によって用いられて良い。
それに加えて、判定及び/又は介入規則がS-D処理に関連するとき、これらの判定及び/又は介入規則が実行されて良い。評価処理及び/又は限界についての介入及び/又は判定規則は、履歴処理、装置使用者の経験、プロセスに関する知識に基づいて実行されて良く、かつホストコンピュータから得られて良い。規則は、S-D FDC処理において用いられることで、警告状態、エラー状態、故障状態、及び/又は注意状態にどのようにして応答するのかを決定して良い。FDC S-D処理は、故障を優先及び/又は分類し、システム性能を予測し、予防的維持スケジュールを予測し、保守不稼働時間を減らし、かつそのシステム内の使用可能な方法のサービス寿命を延ばすことが可能である。
サブシステムは、警告/故障の性質に依存して、警告/故障に応じた様々な行為をとることができる。警告/故障に対してとられる行為は状況に基づいて良い。その状況はS-Dであって良く、かつ、規則、システム/プロセスレシピ、チャンバの種類、識別番号、搬入ポート番号、カセット番号、ロット番号、制御ジョブID、プロセスジョブID、スロット番号ID、及び/又はデータ種類によって特定されて良い。
1つ以上のS-Dシミュレーションアプリケーションは、入力状態、プロセス特性、及びプロセスモードに基づいて、ウエハについての予測データを計算するのに用いられて良い。S-D計測モデルは、65nm未満の設計ノードに関連するより小さな構造及び/又は部位の予測及び/又は計算に用いられて良い。たとえば予測モデルは、プロセス化学物質モデル、チャンバモデル、EMモデル、SPCチャート、PLSモデル、PCAモデル、FDCモデル、及び多変数解析(MVA)モデルを有して良い。
構造の物理的寸法が減少することで、より正確なデータを得るのに、ウエハの大半についてリアルタイムのS-D処理が必要になると考えられる。それに加えて、一部のウエハは、新たなS-Dプロセスの検証及び/又は既存のS-Dプロセスの評価に用いられて良い。新たなS-Dプロセスが使用及び/又は検証されるとき、プロセス結果はばらついて良く、かつ評価又は検証処理が大半のウエハ上で実行されて良い。評価又は検証処理が実行されるとき、S-D処理が用いられて良い。
S-D処理シーケンスが、いつどのようにして評価用サイトを用いるのかを設定するために実行及び使用されて良い。S-D処理シーケンスは、履歴データベースに保存されたデータに基づいて、半導体製造者によって特定されて良い。たとえば半導体製造者は、SEM測定を行うときにウエハ上の位置の数を履歴に基づいて選ぶことが可能で、かつ、一の装置からの測定データ、検査データ、及び/又は評価データを、SEM装置、TEM装置、及び/又はFIB装置を用いて測定されたデータに関連づける。それに加えて、その半導体製造者が、そのプロセスが高品質の製品及び/またはデバイスを製造し続けているという自信を得ることで、使用される評価用サイト数を減らすことができる。
評価/検査/測定処理は、時間を要するものであり、かつ処理システムのスループットに影響を及ぼすと考えられる。処理実行中、製造者は、ウエハの生成及び評価に用いられる期間を最小限に抑制したいと思うだろう。S-D処理は状態に依存して行われると考えられる。様々なS-D処理がウエハの状態に基づいて実行されて良い。たとえば、1つ以上のウエハが測定及び/又は検査できず、S-D処理が評価計画に含まれる評価用サイトのサブセットを用いて実行されても良い。
半導体プロセスの現像中、S-D及び/又は非S-D履歴データは以降での使用のために生成及び保存されて良い。S-D履歴データは多数のサイトでデータを有して良い。
処理の実行前、間、及び/又はその後、シミュレーション及び/又は予測データが生成及び/又は修正されて良い。シミュレーション及び/又は予測データはS-D及び/又は非S-Dデータを有して良い。新たなシミュレーション及び/又は予測データは、リアルタイムで用いられることで、計算、モデル、及び/又は結果を更新して良い。それに加えて、処理の実行前、間、及び/又はその後、シミュレーション及び/又は予測データについての信頼性データが生成及び/又は修正されて良い。
S-D履歴データは、GOFデータ、熱データ、厚さデータ、ビア関連データ、CDデータ、CDプロファイルデータ、材料関連データ、溝関連データ、側壁角データ、差分幅データ、又はこれらの結合を有して良い。そのデータはまたとりわけ、サイト結果データ、サイト数データ、CD測定フラグデータ、測定サイト数データ、X座標データ及びY座標データをも有して良い。
S-D処理はサブシステムによって用いられることで、レシピ及び/又はモデルをリアルタイムで調節して3次元構造を処理することができる。3次元構造とはたとえば、メモリ構造、デュアルダマシン構造、溝、ビア、及び多ゲート構造である。それに加えて、S-D処理はサブシステムによって用いられることで、評価、検査、検証、及び/又は測定レシピ及び/又はモデルをリアルタイムで調節して3次元構造を評価、検査、及び/又は測定することができる。3次元構造は、厚さばらつきのS-D感度を増大させる恐れがあり、かつ多方向での構造のモデル化及び/又は測定を必要とする。評価サブシステムはスループットの問題を引き起こす恐れがある。より高い測定スループットは、S-D処理におけるサンプリング位置及び構造を動的に調節することによって得ることができる。
S-D半導体処理システムでは、複数の処理及び/又は測定装置が存在し、かつ装置の相性は重要な問題であると考えられる。場合によっては、内部装置からのデータは外部及び/又は参照用装置からのデータと適合しなければならない。S-D処理は、装置間でのデータを適合させるのに用いられて良く、かつサブシステムによって必要とされる校正の調節を行うのに用いられて良い。これらの調節はR2R計算によって行われて良い。
1つ以上のS-D処理が、S-Dデータのやり取り及びハンドシェーキングを行うための2方向通信を可能にするために用いられて良い。S-D処理は、現在の状態及び設定を、サブシステム、制御装置、及び/又はS-D処理へ問い合わせて良い。S-D処理は、各デバイスに固有のパラメータを分離し、かつ情報を各デバイスへ供給することによるサブシステム内の多数のデバイスとのやり取りに用いられて良い。たとえばS-Dパラメータは、制御装置、処理装置、計測装置、OES装置、RFセンサ、カメラ、光センサ、CCD、端点検出器、温度センサ、及び深さセンサへ送られて良い。
ウエハがS-Dデータを用いることによってサブシステム内で処理されるとき、処理されたウエハは、そのウエハについてのウエハ状態データを変更することによって被処理S-Dウエハとして認定され、かつウエハに関連する処理データは新たなS-D処理データとして認定及び/又は保存されて良い。ウエハが非S-Dデータを用いることによってサブシステム内で処理されるときには、その処理されたウエハは、そのウエハについてのウエハ状態データを変更することによって被処理非S-Dウエハとして認定され、ウエハに関連する処理データは新たな非S-D処理データとして認定及び/又は保存されて良い。
ウエハデータは、サブシステム内で生成、改良、及び/又は修正された被処理ウエハについてのモデル化データを有して良い。S-Dモデル化データが用いられるとき、新たなモデル及び関連モデルパラメータが、S-Dモデル及びデータとして認定及び保存されて良い。非S-Dデータが用いられるとき、そのモデル及び関連モデルパラメータは非S-Dモデル及びデータとして認定及び保存されて良い。たとえば、S-Dモデル及びデータはS-Dライブラリ及び/又はデータベース内に保存されて良く、かつ非S-Dモデル及びデータは非S-Dライブラリ及び/又はデータベース内に保存されて良い。S-D又は非S-Dデータを用いてシミュレーションが実行されるとき、シミュレーションモデル及び/又はシミュレーションデータが認定及び/又は保存されて良い。
S-D処理は、ウエハプロファイルデータを生成、使用、変更、及び/又は検証して良い。たとえば、寸法が小さくなることで、S-Dウエハプロファイルデータは、位置合わせ、測定、及び/又は処理中により大きな影響を有すると考えられるし、かつウエハプロファイルデータは、半径方向データ、曲線データ、部位データ、温度データ、及び/又は厚さデータを有して良い。
一部のサブシステムでは、S-D及び/又は非S-Dウエハデータは、汚染レベル、汚染確率、及び/又は気体放出(outgassing)率を決定するのに用いられて良い。他のサブシステムでは、堆積処理中でのノズル位置、並びに/又は、位置合わせ及び/若しくは測定処理中でのプローブ位置が決定されても良い。チャンバ内でウエハによって放出されるエネルギー量が決定されて良い。たとえば、使用される光学素子、ノズル、及び/又はプローブは、位置感受性、地点感受性、サイト感受性、及び/又は温度感受性であって良い。それに加えて、ウエハについての光学特性及び/又は光学特性についての校正因子が決定されて良い。たとえば、被処理マスク及び/又は材料層の特性が決定されて良い。
そのシステムデータは、ウエハ状態の情報、地点情報、測定情報、ベンダー情報、設計情報、チップレイアウト情報、ライブラリ情報、装置情報、若しくは調査情報、又はこれらの結合を有して良い。
一部の実施例では、1つ以上のサブシステムが1つ以上のウエハ及び/又は関連するウエハデータを受け取って良い。サブシステムは、1つ以上のウエハを実質的に同時に処理する多数の処理装置を有して良い。たとえば検査サブシステムは、1つ以上のウエハを実質的に同時に検査する2つ以上の検査装置/モジュールを有して良い。サブシステムに関連する制御装置はS-D処理シーケンスを用いることで、どのウエハが各処理装置によって処理されるのかを判断して良い。サブシステムの内部及び/又は外部にある搬送装置は、ウエハの移動及び/又は保存に用いられて良い。それに加えて、1つ以上のサブシステム内の1つ以上の処理装置は、非リアルタイムで1つ以上のウエハを処理するのに用いられて良い。各処理装置について現在のウエハが認定され、各ウエハについてウエハデータが設定され、かつウエハデータはリアルタイム及び/又は履歴ウエハデータを有して良い。処理シーケンスは、内部及び/又は外部処理を有して良い。内部及び/又は外部処理では、ウエハは外部測定及び/又は処理装置へ送られて良い。ウエハロット内の他のウエハが他のサブシステム又は他のIM装置へ送られても良い。
本発明のさらに他の実施例はS-D像ライブラリの生成方法を供する。当該方法は、パターニングされたマスク層内及び/又はその上の第1S-D部位から第1S-D検査像を取得する工程であって、第1S-D部位はウエハ上の第1所定サイトに生成され、かつS-D検査サブシステムは第1S-D検査像を生成する工程、第1S-D部位の仮想像に相当する第1S-Dシミュレーション像を計算する工程、S-D検査像と第1S-Dシミュレーション像との第1差異を計算する工程、第1差異と第1S-D像生成基準とを比較する工程、並びに、第1S-D造成性基準が満たされているときには仮想像を用いて第1S-D部位を認定して、S-D検査像ライブラリ内に、第1S-D検査像及び関連するサイトデータを保存し、又は、第1S-D造成性基準が満たされていないときには第1補正行為を適用する工程、を有して良い。
一部の実施例では、ウエハは1つ以上のS-D処理を用いることによって1つ以上のリソグラフィサブシステムによって処理されて良く、かつS-Dウエハ厚さは1つ以上のリソグラフィサブシステムによってリアルタイムで生成されて良い。続いてウエハはエッチングサブシステムへ搬送されて良い。1つ以上のリソグラフィサブシステムは、S-Dメッセージ及び/又はデータを、エッチングサブシステムへ送って良い。エッチングサブシステムはS-Dメッセージを受け取りかつ処理し、S-Dウエハ厚さデータを抽出して良い。エッチングサブシステムは、S-Dウエハ厚さデータをもちいることによってS-Dエッチングデータを設定して良い。そのS-Dエッチングデータには、エッチングレシピ、エッチング時間、及び/又はエッチング用化学物質が含まれて良い。次にエッチングサブシステムは、S-Dエッチングデータを用いることによってウエハをエッチングして良い。それに加えて、S-D層の厚さデータがエッチング装置に供されるとき、計算時間は減少し、かつ精度は改善されうる。
S-D又は非S-D処理及び/又は結果について精度値が決定されて良い。精度値は精度限界程度であって良い。精度値が精度限界を満たさない場合には、精緻化処理が実行されて良い。あるいはその代わりに、他の処理が実行されて良く、他のサイトが用いられて良く、又は他のウエハが用いられても良い。
精緻化処理が用いられるとき、その精緻化処理は、双一次法、ラグランジュ法、キュービックスプライン法、アイトケン法、重み付け平均法、多次法、双正方形(bi-cubic)法、チュラン法、ウエーブレット法、ベッセル法、エバレット法、有限差分法、ガウス法、エルミート法、ニュートン分割差分法、接触法、若しくはシール法、又はこれらの結合を利用して良い。
一部の実施例では、S-D及び/又は非S-D処理について完了時間及び/又は実行時間が決定されて良い。更新されたレシピを設定するための十分な時間があるか否かを判断するため、完了時間及び/又は実行時間は、測定及び/又は処理開始時間と同程度であって良い。完了時間及び/又は実行時間が処理開始時間よりも短い場合には、ウエハは更新された測定レシピを用いて測定及び/又は処理されて良い。あるいは完了時間及び/又は実行時間が処理開始時間よりも短くない場合には、ウエハは更新されていない測定レシピを用いて測定及び/又は処理されて良い。
S-D処理シーケンスは時間と共に変化して良い。S-D処理シーケンスが作成されているとき、スループットは期待しているよりも劣ると考えられる。なぜなら新たな処理についての信頼値が低下して、リスク因子が高くなって、かつ信頼値を向上させてリスク因子を低減させるために追加の測定工程が必要となるからである。ウエハが別個及び/又は外部の測定装置を用いて測定されるとき、さらなる時間が必要となる。
S-Dシステム、サブシステム、及び/又は処理が作成されているとき、安定したS-D処理が最初に作成され、続いて安定したS-D処理が最適化されて良い。S-D処理は、プロセス安定化中、プロセス改良中、及びプロセス最適渦中に用いられて良い。
安定化シーケンス中、最適化シーケンスが設定される前に、1つ以上の追加S-D測定工程が、信頼値の向上及び/又はリスク因子の低減に用いられて良い。猶予時間は、プロセス実行前にS-Dデータを待つのに用いられて良い。
1つ以上のS-D測定がエッチング処理の実行前に行われて良い。それにより、パターニングされたエッチング層からのS-Dデータとの比較に用いることのできるパターニングされたマスク層についてのS-Dデータを得ることができる。それに加えて、堆積処理後にS-D測定が行われて良く、かつこれらのS-D測定はS-D厚さデータ、均一性データ、及び/又は光学特性データを供して良い。これらのデータは、S-Dデータ又は履歴データとしてリアルタイムでフィードフォワードされて良い。S-Dウエハデータは、処理装置、測定装置、位置合わせ装置、搬送装置、検査装置、及び/又はパターン認識装置から取得されて良い。
製造環境によっては、S-D処理は、以前は利用不可能だったS-Dデータを供し、高速の処理を供し、プロセスのより完全な理解を供し、有害な方法に取って代わり、より高い信頼値を供し、より高速の搬送速度を供し、均一性を改善し、危険なウエハ数を減らし、かつ処理及び/又は装置の軌跡に対して短い反応時間を供することができる。
上述したように、集積回路に用いられている現在の製造法及び工場の設計は、スタンドアローンのプラットフォームとして設けられ、又は大体の領域において群を成す-通常は2000フィート以上離れている-多くの装置を必要とする。従ってこれらの装置を動作させる設備は工場全体を通じて広範囲に分布していなければならない。これらのプラットフォームによって必要とされる典型的な機能は、基板コーティング(接合、BARC、TARC、レジスト、上部コーティング)、ベーキング(塗布後ベーキング、露光後ベーキング)、イメージング(露光)、計測(オーバーレイ、限界寸法、欠陥、及び膜厚)、浸漬処理における露光前及び露光後洗浄、エッチング(下地の薄膜中のパターンを確定する)、及びエッチング後洗浄(ポリマー及び他の副生成物の除去)である。32nm未満のゲート長を目指した技術は、半導体デバイスの1層の活性層が完成するまでこれらの動作を繰り返すこと-つまり二重BARC、二重若しくは三重パターニング、又は三重描画等-が必要となる。集積回路をこれらの製造用の「島」間で移動させるためには、FOUP(フープ)が、別個のプラットフォーム間でICを移動させるのに用いられている。
処理速度を向上させ、かつ300mm、450mm、又は他の直径のウエハをより良好に製造するため、コーティング、ベーキング、露光、現像、全検査、エッチング、エッチング後洗浄、ウエハ廃棄、及びウエハ再加工を含む全製造プロセスは理想的には、内部の共通の制御ソフトウエアによって制御される1つのプラットフォーム内で完結されて良い。またその1つのプラットフォームは、かなり早期の処理工程に関連するエッチング後の結果に対するフィードフォワード及び/又はフィードバックAPC(自動処理制御)を有する。APCは、エッチング後CD(限界寸法)、オーバーレイ、及び欠陥情報が、評価され、かつフィードフォワード(同一ウエハについての将来の処理を導く)データ及び/又はフィードバック(現在のウエハについての現在の処理、又は将来のウエハについての現在の処理を導く)データを供給することによって、すぐに作用されることを可能にする。
それに加えて、フィードフォワード及び/又はフィードバックAPCシステム及び関連するS-D搬送サブシステムは、サイトに固有な方法と併用されて良い。たとえば、S-D搬送サブシステムはウエハを特定の処理装置へ搬送させるのに用いられて良く、かつウエハの特定のサイトについてAPC調節が行われて良い。それに加えて、製造プロセス及び搬送シーケンスは、ウエハの特定サイトで実行されるプロセスから収集されるサイト固有情報に基づいて作成されて良い。
さらに製造プロセスは及び搬送シーケンスは、「先送り」(send ahead)ウエハ(つまりロットを処理する前に1つの完全なウエハを処理及び評価する)用いることによって、FAB(製造プラント)利用への影響を最小限にしながら作成され、かつ完成させることができる。このようなことを、FAB生産性を大きく損なうことなく従来のプロセスで行うことは不可能である。たとえば、S-D搬送シーケンスを用いることによって、「先送り」ウエハがエッチング及び検査を介して処理され、それと同時に主ロットが上流で処理される。これにより、全体のスループットへの影響を最小限にしながら上流の製造プロセスを調節することが可能となる。
よって、薄膜処理から得られるウエハはプラットフォームの一端へ入り込み、かつ良好に仕上げされたウエハは他端を出る。換言すると、FOUPは一端での処理のためにウエハを供給し、新たなFOUPは他端で受け取る。上述の製造用の「島」を用いたシステムとは対照的に、全てのウエハがフォトリソグラフィシステムへ搬入された後では、中間的な供給を行うFOUPはもはや必要ない。
これらの必要な処理を完了させるため、プラットフォームは多数のモジュールを有して良い。その多数のモジュールには、接合からエッチング後洗浄の検査までウエハを処理する全ての必要な装置が含まれている。各モジュールは取り外し可能である。装置を「再起動」するのに置換は必要ない。これにより、修理が助けられ、かつ予定していないモジュールレベルでの装置問題による生産時間の損失が最小限に抑制される。それに加えて、取り外し可能なモジュールを有する基本ブロック設計は、長い不稼働時間及び装置の費用のかかる除去や再設置をすることなく、特化された副集合体(モジュール)用の十分なスペースの追加又は削除を可能にする。
ウエハがモジュール間を移動ので、そのウエハはレール型システム上のロボットによって管理されて良い。ウエハを移動させるのに用いられるロボットは、中心軸上を回転する二重又は三重ピンセットのバランスシステムを有して良い。地点間でウエハを移動させるこれらのロボットは、スキャナのいずれかの面上のレール上を移動して良い。それにより、高速なサイクル時間、及び改善されたプロセス多目的性を実現する処理工程の全ての考えられ得る構成を可能にする。よって「面搬送」システムは、現像後のIMから多重リソグラフィ(二重パターニング又はリソグラフィ)のためのコーティング処理又は再加工の開始へ、ウエハを容易に移行させることを可能にする。それにより、露光装置の利用を向上させることが可能となる。それに加えて、多重パターニングは「面搬送」システムによって可能となる。それにより、1つのウエハは、現像後IMから多重リソグラフィ用のフォトリソグラフィシステムの入力へ移動することが可能となる。再加工処理がフォトリソグラフィシステムのプレリソグラフィ部分において利用可能である場合、再加工を必要とするウエハもまた、このように処理されて良い。よってウエハは、FOUPへ再搬入される必要がなく、かつ人間又は間接的な自動化によって装置間を移動させる必要もない。そのためウエハレベルでの欠陥が減少する。
上述のレールシステムを使用する結果、そのシステムはウエハを順次処理する必要がなくなる。全プロセスを構成するモジュールは、そのモジュールの組を提供する1つ以上のロボットを備えるように群を成して良い。それに加えて、ロットはウエハの再加工又は廃棄を待つ必要がない。良好なウエハはラインの端部で処理可能である一方で、再加工ウエハの「子ロット」が、生成され、処理され、かつエッチング後に主ロットへ追いついて良い。これと同一の考え方は、主ロット中での良好なウエハを猶予させることなく、基本ロットから廃棄されるウエハを選ぶのにも用いられて良い。仕様に適合しないウエハの再加工は迅速かつ自動であって良い。よって全体の製造、検査、及び制御機能は、共通のソフトウエアを備えた1つの装置に内蔵されて良い。前記共通のソフトウエアは、出力の制御と監視を行って、プロセス入力をリアルタイムで調節する。
本発明の一の実施例では、接合からエッチング後洗浄検査までウエハを処理するために必要なすべての装置を含むモジュールが存在する。そのモジュールは、図9に図示されているように、順序通りに配置されている必要はない。
図9に図示されているように、薄膜処理(又は他の上流プロセス)からのウエハが第1端部に入り込み、かつ検証されて完成したウエハが他の端部を出る。たとえば、モジュール1及び3はレジストスピナー、ベーキングプレート、浸漬前洗浄処理を有して良い。モジュール2は、ウエハを汚染する恐れのある「汚れた」ベーキングプロセスを有して良い。従って本発明は、これらの「汚れた」プロセスを、残りの装置から隔離することを可能にすることで、欠陥を低減して可能性のある汚染を最小限に抑制する。大気中の粒子カウンタが、周囲の欠陥レベルを監視するためにウエハ路及び重要な処理領域内に設置されて良い。検出は警告条件を設定する役割を果たして良い。さらにロボットウエハハンドラが、ウエハ入口からモジュール4内で見いだされるスキャナまでの、多レール型システムに乗って良い。スキャナは自身の内部ウエハハンドラを有して良い。よってウエハは、多レールシステム上の他のロボットによる露光後に、浸漬後洗浄、PEB、BWEE、及び現像用のモジュール5,6へ、運搬されて良い。続いてウエハは、オーバーレイ、欠陥、及び限界寸法チェックのためのIMモジュール7(イメージングモジュール)へ向かう。
この点では、ウエハが失敗した場合には、そのウエハは再加工されて良く、再加工できない場合には廃棄されて良く、二重又は三重パターニングのための関節処理又は単一ウエハの「サイドトラック」を介して送り戻される。またフォトリソグラフィシステムPAB、PEB、スキャナ、又は現像処理に対するAPC調節は、この点での計測結果に基づいて行われて良い。しかしAPC調節及びサイトに固有なAPC調節もまた、処理中での任意の点で行われて良い。たとえば本発明では、たとえIMモジュール7がウエハの像生成を行う最初のモジュールであるとしても、そのウエハ及びそのウエハ上の特定のサイトに関する情報が、プロセス中の任意の工程から得られて良い。たとえば、モジュール4に見いだされるスキャナは、ウエハ上で実行されるプロセスに関する情報、又はウエハの特定のサイトで実行されるプロセスに関する情報を供して良い。よってAPC調節は、ウエハ上の特定のサイトに従って行われて良く、かつプロセス中の様々な情報源からの情報を用いて行われて良い。
それに加えて、エッチングプロセスは自身の内部ハンドラ(モジュール8)内で実行されて良い。またエッチング後洗浄装置(モジュール9)及び最終IM装置(モジュール10)も含まれる。最終IMは、必要に応じて、限界寸法、欠陥、及びオーバーレイ部位を有する。良好なウエハと粗悪なウエハはこの点で分類されて良い。エッチング後限界寸法データが、レジストフォトリソグラフィシステム、PAB、PEB、露光装置、又はフォトリソグラフィシステム現像装置レシピを駆動するように、真の完全なAPCは実装されて良い。
たとえ本発明のある典型的実施例のみが詳細に説明されたとしても、当業者は、本発明の新規な教示及び利点からほとんど逸脱することなく、多くの修正型が可能であることをすぐに理解する。従って多くの係る修正型は、本発明の技術的範囲内に含まれるものと解される。
よって本記載は本発明を限定するものではない。本発明の設定、動作、及び挙動は、本明細書に存在するレベルの詳細が与えられれば、実施例の修正型及び変化型が可能であるという理解を前提として記載されている。従って前述の詳細な説明は如何なる意味においても本発明を限定するものではない。本発明の技術的範囲は、この詳細な説明によってではなく「特許請求の範囲」の請求項によって定義される。

Claims (47)

  1. 複数のウエハを処理する方法であって:
    前記複数のウエハを処理システムによって受け取る工程であって、前記処理システムはサイト依存(S-D)及び非サイト依存(N-S-D)サブシステムを有し、各ウエハは関連するウエハデータを有し、前記ウエハデータはS-D信頼性データ及び/又はN-S-D信頼性データを有する、工程;
    前記S-D信頼性データ及び/又はN-S-D信頼性データを用いて第1組のS-Dウエハを作製する工程;
    前記第1組のS-Dウエハについての第1S-D処理シーケンスを決定する工程であって、前記第1組のS-Dウエハは前記第1S-D処理シーケンスを用いることによって第1S-Dサブシステム内で処理され、前記第1S-D処理シーケンスを設定するのにウエハ状態データが用いられる、工程;並びに、
    前記第1S-Dサブシステム内の1つ以上の第1S-D処理装置へ前記第1組のS-Dウエハを搬送する工程であって、前記第1S-D処理シーケンスは前記1つ以上の第1S-D処理装置を決定するのに用いられる、工程;
    を有する方法。
  2. 前記S-D信頼性データ及び/又はN-S-D信頼性データを用いて第1組のN-S-Dウエハを作製する工程;
    前記第1組のN-S-Dウエハについての第1S-D処理シーケンスを決定する工程であって、前記第1組のN-S-Dウエハは前記第1N-S-D処理シーケンスを用いることによって第1N-S-Dサブシステム内で処理され、前記第1N-S-D処理シーケンスを設定するのにウエハ状態データが用いられる、工程;並びに、
    前記第1N-S-Dサブシステム内の1つ以上の第1N-S-D処理装置へ前記第1組のN-S-Dウエハを搬送する工程であって、前記第1N-S-D処理シーケンスは前記1つ以上の第1N-S-D処理装置を決定するのに用いられる、工程;
    をさらに有する、請求項1に記載の方法。
  3. 前記S-D信頼性データ及び/又はN-S-D信頼性データを用いて他の組のN-S-Dウエハを作製する工程;
    前記他の組のN-S-Dウエハについての他のS-D処理シーケンスを決定する工程であって、前記他の組のN-S-Dウエハは前記他のN-S-D処理シーケンスを用いることによって他のN-S-Dサブシステム内で処理され、前記他のN-S-D処理シーケンスを設定するのにウエハ状態データが用いられる、工程;並びに、
    前記他のN-S-Dサブシステム内の1つ以上の他のN-S-D処理装置へ前記他の組のN-S-Dウエハを搬送する工程であって、前記他のN-S-D処理シーケンスは前記1つ以上の他のN-S-D処理装置を決定するのに用いられる、工程;
    をさらに有する、請求項2に記載の方法。
  4. 前記S-D信頼性データ及び/又はN-S-D信頼性データを用いて他の組のS-Dウエハを作製する工程;
    前記他の組のS-Dウエハについての他のS-D処理シーケンスを決定する工程であって、前記他の組のS-Dウエハは前記他のS-D処理シーケンスを用いることによって他のS-Dサブシステム内で処理され、前記他のS-D処理シーケンスを設定するのにウエハ状態データが用いられる、工程;並びに、
    前記他のS-Dサブシステム内の1つ以上の他のS-D処理装置へ前記他の組のS-Dウエハを搬送する工程であって、前記他のS-D処理シーケンスは前記1つ以上の他のS-D処理装置を決定するのに用いられる、工程;
    をさらに有する、請求項1に記載の方法。
  5. 前記第1S-D処理シーケンスが前記第1組のS-Dウエハを用いることによって実行される前、間、及び/又は後に、第1S-Dサブシステム処理データを収集する工程;
    前記ウエハデータ及び/又は前記第1S-Dサブシステム処理データを用いることによって、前記第1組のS-Dウエハの中の1つ以上のウエハについての第1S-D信頼性データを設定する工程;
    前記第1S-D信頼性データ、前記S-D信頼性データ、若しくは前記N-S-D信頼性データ、又はこれらの結合を用いることによって追加の組のS-Dウエハを作製する工程;並びに、
    前記追加の組のS-Dウエハを、追加のサブシステム内の1つ以上の追加S-D処理装置へ搬送する工程であって、前記1つ以上の追加S-D処理装置の決定に追加のS-D処理シーケンスが用いられる、工程;
    をさらに有する、請求項1に記載の方法。
  6. 前記の第1S-D信頼性データを設定する工程が:
    前記第1S-Dサブシステム処理データを用いることによって、前記第1組のS-Dウエハの中の第1S-Dウエハについての第1S-D信頼値を設定する工程;
    前記の第1S-Dウエハについての第1S-D信頼値を第1S-D信頼限界と比較する工程;並びに、
    前記第1S-D信頼限界が満たされるときには前記第1組のS-Dウエハの処理を継続し、又は前記第1S-D信頼限界が満たされないときには第1S-D補正行為を適用する工程;
    を有する、請求項5に記載の方法。
  7. 前記の第1S-D補正行為を適用する工程が:
    前記第1S-Dサブシステム処理データを用いることによって、前記第1組のS-Dウエハの中の1つ以上の追加のウエハについてのS-D信頼値を設定する設定工程;
    前記の1つ以上の追加のウエハについてのS-D信頼値を追加の第1S-D信頼限界と比較する比較工程;並びに、
    前記追加の第1S-D信頼限界が満たされるときには前記第1組のS-Dウエハの処理を継続し、又は前記追加の第1S-D信頼限界が満たされないときには、前記設定工程及び前記比較工程を中止する工程;
    を有する、請求項6に記載の方法。
  8. 前記第1N-S-D処理シーケンスが前記第1組のN-S-Dウエハを用いることによって実行される前、間、及び/又は後に、第1N-S-Dサブシステム処理データを収集する工程;
    前記ウエハデータ及び/又は前記第1N-S-Dサブシステム処理データを用いることによって、前記第1組のN-S-Dウエハの中の1つ以上のウエハについての第1N-S-D信頼性データを設定する工程;
    前記第1N-S-D信頼性データ、前記S-D信頼性データ、若しくは前記N-S-D信頼性データ、又はこれらの結合を用いることによって追加の組のN-S-Dウエハを作製する工程;並びに、
    前記追加の組のN-S-Dウエハを、追加のサブシステム内の1つ以上の追加N-S-D処理装置へ搬送する工程であって、前記1つ以上の追加N-S-D処理装置の決定に追加のN-S-D処理シーケンスが用いられる、工程;
    をさらに有する、請求項2に記載の方法。
  9. 前記の第1 N-S-D信頼性データを設定する工程が:
    前記第1 N-S-Dサブシステム処理データを用いることによって、前記第1組のN-S-Dウエハの中の第1N-S-Dウエハについての第1N-S-D信頼値を設定する工程;
    前記の第1N-S-Dウエハについての第1N-S-D信頼値を第1N-S-D信頼限界と比較する工程;並びに、
    前記第1N-S-D信頼限界が満たされるときには前記第1組のN-S-Dウエハの処理を継続し、又は前記第1N-S-D信頼限界が満たされないときには第1N-S-D補正行為を適用する工程;
    を有する、請求項8に記載の方法。
  10. 前記の第1N-S-D補正行為を適用する工程が:
    前記第1N-S-Dサブシステム処理データを用いることによって、前記第1組のN-S-Dウエハの中の1つ以上の追加のウエハについてのN-S-D信頼値を設定する設定工程;
    前記の1つ以上の追加のウエハについてのN-S-D信頼値を追加の第1N-S-D信頼限界と比較する比較工程;並びに、
    前記追加の第1N-S-D信頼限界が満たされるときには前記第1組のN-S-Dウエハの処理を継続し、又は前記追加の第1N-S-D信頼限界が満たされないときには、前記設定工程及び前記比較工程を中止する工程;
    を有する、請求項9に記載の方法。
  11. 前記他のN-S-D処理シーケンスが前記他の組のN-S-Dウエハを用いることによって実行される前、間、及び/又は後に、他のN-S-Dサブシステム処理データを収集する工程;
    前記ウエハデータ及び/又は前記他のN-S-Dサブシステム処理データを用いることによって、前記他の組のN-S-Dウエハの中の1つ以上のウエハについての他のN-S-D信頼性データを設定する工程;
    前記他のN-S-D信頼性データ、前記S-D信頼性データ、若しくは前記N-S-D信頼性データ、又はこれらの結合を用いることによって追加の組のN-S-Dウエハを作製する工程;並びに、
    前記追加の組のN-S-Dウエハを、追加のサブシステム内の1つ以上の追加N-S-D処理装置へ搬送する工程であって、前記1つ以上の追加N-S-D処理装置の決定に追加のN-S-D処理シーケンスが用いられる、工程;
    をさらに有する、請求項3に記載の方法。
  12. 前記の他のN-S-D信頼性データを設定する工程が:
    前記他のN-S-Dサブシステム処理データを用いることによって、前記他の組のN-S-Dウエハの中の第1N-S-Dウエハについての第1N-S-D信頼値を設定する工程;
    前記の第1N-S-Dウエハについての第1N-S-D信頼値を第1N-S-D信頼限界と比較する工程;並びに、
    前記第1N-S-D信頼限界が満たされるときには前記第1組のN-S-Dウエハの処理を継続し、又は前記第1N-S-D信頼限界が満たされないときには第1N-S-D補正行為を適用する工程;
    を有する、請求項11に記載の方法。
  13. 前記の第1N-S-D補正行為を適用する工程が:
    前記他のN-S-Dサブシステム処理データを用いることによって、前記他の組のN-S-Dウエハの中の1つ以上の追加のウエハについてのN-S-D信頼値を設定する設定工程;
    前記の1つ以上の追加のウエハについてのN-S-D信頼値を追加の第1N-S-D信頼限界と比較する比較工程;並びに、
    前記追加の第1N-S-D信頼限界が満たされるときには前記第1組のN-S-Dウエハの処理を継続し、又は前記追加の第1N-S-D信頼限界が満たされないときには、前記設定工程及び前記比較工程を中止する工程;
    を有する、請求項12に記載の方法。
  14. 前記他のS-D処理シーケンスが前記他の組のS-Dウエハを用いることによって実行される前、間、及び/又は後に、他のS-Dサブシステム処理データを収集する工程;
    前記ウエハデータ及び/又は前記他のS-Dサブシステム処理データを用いることによって、前記他の組のS-Dウエハの中の1つ以上のウエハについての他のS-D信頼性データを設定する工程;
    前記他のS-D信頼性データ、前記S-D信頼性データ、若しくは前記N-S-D信頼性データ、又はこれらの結合を用いることによって追加の組のS-Dウエハを作製する工程;並びに、
    前記追加の組のS-Dウエハを、追加のサブシステム内の1つ以上の追加S-D処理装置へ搬送する工程であって、前記1つ以上の追加S-D処理装置の決定に追加のS-D処理シーケンスが用いられる、工程;
    をさらに有する、請求項4に記載の方法。
  15. 前記の他のS-D信頼性データを設定する工程が:
    前記他のS-Dサブシステム処理データを用いることによって、前記他の組のS-Dウエハの中の第1S-Dウエハについての第1S-D信頼値を設定する工程;
    前記の第1S-Dウエハについての第1N-S-D信頼値を第1S-D信頼限界と比較する工程;並びに、
    前記第1S-D信頼限界が満たされるときには前記第1組のS-Dウエハの処理を継続し、又は前記第1S-D信頼限界が満たされないときには第1S-D補正行為を適用する工程;
    を有する、請求項14に記載の方法。
  16. 前記の第1S-D補正行為を適用する工程が:
    前記他のS-Dサブシステム処理データを用いることによって、前記他の組のS-Dウエハの中の1つ以上の追加のウエハについてのS-D信頼値を設定する設定工程;
    前記の1つ以上の追加のウエハについてのS-D信頼値を追加の第1S-D信頼限界と比較する比較工程;並びに、
    前記追加の第1S-D信頼限界が満たされるときには前記第1組のS-Dウエハの処理を継続し、又は前記追加の第1S-D信頼限界が満たされないときには、前記設定工程及び前記比較工程を中止する工程;
    を有する、請求項15に記載の方法。
  17. 前記第1S-D処理シーケンスが、1つ以上のコーティング処理、1つ以上のエッチング処理、1つ以上の熱処理、1つ以上の露光処理、1つ以上の酸化処理、1つ以上の窒化処理、1つ以上の現像処理、1つ以上のリソグラフィ処理、1つ以上のスキャナ関連処理、1つ以上の測定処理、1つ以上の検査処理、1つ以上の評価処理、1つ以上のシミュレーション処理、1つ以上の予測処理、1つ以上の再加工処理、1つ以上の保存処理、1つ以上の搬送処理、1つ以上のロードロック処理、若しくは1つ以上の洗浄処理、又はこれらの結合のうちの少なくとも1つを有する、請求項1に記載の方法。
  18. 前記第1S-Dサブシステムが、1つ以上のコーティングサブシステム、1つ以上のエッチングサブシステム、1つ以上の熱サブシステム、1つ以上の露光サブシステム、1つ以上の酸化サブシステム、1つ以上の窒化サブシステム、1つ以上の現像サブシステム、1つ以上のリソグラフィサブシステム、1つ以上のスキャナ関連サブシステム、1つ以上の測定サブシステム、1つ以上の検査サブシステム、1つ以上の評価サブシステム、1つ以上のシミュレーションサブシステム、1つ以上の予測サブシステム、1つ以上の再加工サブシステム、1つ以上の保存サブシステム、1つ以上の搬送サブシステム、1つ以上のロードロックサブシステム、若しくは1つ以上の洗浄サブシステム、又はこれらの結合のうちの少なくとも1つを有する、請求項1に記載の方法。
  19. 前記第1S-D処理装置が、1つ以上のコーティング処理装置、1つ以上のエッチング処理装置、1つ以上の熱処理装置、1つ以上の露光処理装置、1つ以上の酸化処理装置、1つ以上の窒化処理装置、1つ以上の現像処理装置、1つ以上のリソグラフィ処理装置、1つ以上のスキャナ関連処理装置、1つ以上の測定処理装置、1つ以上の検査処理装置、1つ以上の評価処理装置、1つ以上のシミュレーション処理装置、1つ以上の予測処理装置、1つ以上の再加工処理装置、1つ以上の保存処理装置、1つ以上の搬送処理装置、1つ以上のロードロック処理装置、若しくは1つ以上の洗浄処理装置、又はこれらの結合のうちの少なくとも1つを有する、請求項1に記載の方法。
  20. 前記複数のウエハは、半導体材料、炭素材料、誘電材料、ガラス材料、セラミック材料、金属材料、酸化物材料、マスク材料、若しくは平坦化材料、又はこれらの結合のうちの少なくとも1つを有する、請求項1に記載の方法。
  21. 複数のウエハを処理する方法であって:
    前記複数のウエハを処理システム内の非サイト依存の搬送サブシステムによって受け取る工程であって、前記処理システムはサイト依存(S-D)及び非サイト依存(N-S-D)サブシステムを有し、各ウエハは関連するウエハデータを有し、前記ウエハデータはS-D信頼性データ及び/又はN-S-D信頼性データを有し、少なくとも1つのウエハは上に1つ以上の評価用構造を有する、工程;
    前記S-D信頼性データ及び/又はN-S-D信頼性データを用いて第1組のS-D測定用ウエハを作製する工程であって、前記第1組のS-D測定用ウエハの各ウエハは上に1つ以上の評価用構造を有し、前記第1組のS-D測定用ウエハはN-S-D搬送サブシステムからS-D搬送サブシステムへ搬送される、工程;
    前記第1組のS-D測定用ウエハについての第1S-D測定用処理を決定する工程であって、前記第1組のS-D測定用ウエハは前記第1S-D測定用処理を用いることによって第1S-D測定用サブシステム内で処理され、前記第1S-D測定用処理を設定するのに前記ウエハデータが用いられる、工程;
    前記S-D搬送サブシステムを用いることによって、前記第1S-Dサブシステム内の1つ以上の第1S-D測定関連装置へ前記第1組のS-D測定用ウエハを搬送する工程であって、第1S-D搬送シーケンス、第1S-D処理シーケンス、若しくは第1S-D測定シーケンス、又はこれらの結合は、前記1つ以上の第1S-D測定関連装置を決定するのに用いられる、工程;並びに、
    前記第1S-D測定処理を実行する工程;
    を有する方法。
  22. 前記の第1S-D測定処理を実行する工程が:
    前記第1組のS-D測定用ウエハから第1測定用ウエハを選択する工程であって、前記第1測定用ウエハは上に第1S-D評価用部位を有する、工程;
    前記S-D評価用部位からの第1S-D測定信号データを有する第1測定データを取得する工程;
    S-D測定信号及び関連する構造のライブラリから第1S-D最善推定信号及び関連する第1S-D最善推定構造を選択する工程;
    前記第1S-D測定信号データと前記第1S-D最善推定信号データとの間の第1S-D差異を計算する工程;
    前記第1S-D差異を用いることによって前記第1測定用ウエハについて第1S-D信頼性データを設定する工程;
    前記第1S-D信頼性データを第1S-D製品要件と比較する工程;並びに、
    前記第1S-D製品要件の1つ以上が満たされるときには、前記第1測定用ウエハを第1高信頼性ウエハと認定して前記処理を継続し、又は前記第1S-D製品要件の1つ以上が満たされないときには第1補正行為を適用する工程;
    を有する、請求項21に記載の方法。
  23. 前記第1S-D製品要件の1つ以上が満たされるときには、前記第1S-D最善推定構造及び関連する前記第1S-D最善推定信号データを用いることによって、前記第1S-D評価用部位を認定する工程をさらに有する、請求項22に記載の方法。
  24. 前記第1補正行為を適用する工程が:
    S-D回折信号及び関連する構造のライブラリから新たなS-D最善推定信号及び関連する新たなS-D最善推定構造を選択する選択工程;
    前記新たなS-D測定信号データと前記新たなS-D最善推定信号データとの間の新たなS-D差異を計算する計算工程;
    前記新たなS-D差異を用いることによって前記第1測定用ウエハについて新たなS-D信頼性データを設定する設定工程;
    前記新たなS-D信頼性データを新たなS-D製品要件と比較する比較工程;並びに、
    前記新たなS-D製品要件の1つ以上が満たされるときには、前記第1測定用ウエハを新たな高信頼性ウエハとして認定して前記処理を継続し、又は、前記新たなS-D製品要件の1つ以上が満たされないときには、前記選択工程、前記計算工程、前記設定工程、前記比較工程、及び前記認定を中止する工程;
    を有する、請求項22に記載の方法。
  25. 第1S-Dプロファイルライブラリ生成基準が満たされるときには、前記新たなS-D最善推定構造及び関連する前記新たなS-D最善推定信号データを用いることによって、前記第1S-D評価用部位を認定する工程をさらに有する、請求項24に記載の方法。
  26. 前記の第1S-D測定処理を実行する工程が:
    前記第1組のS-D測定用ウエハから第2測定用ウエハを選択する工程であって、前記第2測定用ウエハは上に前記第1S-D評価用部位を有する、工程;
    前記S-D評価用部位からの第2S-D測定信号データを有する第2測定データを取得する工程;
    前記のS-D測定信号及び関連する構造のライブラリ、又はS-D回折信号及び関連する構造のライブラリから第2S-D最善推定信号及び関連する第2S-D最善推定構造を選択する工程;
    前記第2S-D測定信号データと前記第2S-D最善推定信号データとの間の第2S-D差異を計算する工程;
    前記第2S-D差異を用いることによって前記第2測定用ウエハについて第2S-D信頼性データを設定する工程;
    前記第2S-D信頼性データを第2S-D製品要件と比較する工程;並びに、
    前記第2S-D製品要件の1つ以上が満たされるときには、前記第2測定用ウエハを第2高信頼性ウエハとして認定して前記処理を継続し、又は前記第2S-D製品要件の1つ以上が満たされないときには第2補正行為を適用する工程;
    を有する、請求項22に記載の方法。
  27. 前記第2S-D製品要件の1つ以上が満たされるときには、前記第2S-D最善推定構造及び関連する前記第2S-D最善推定信号データを用いることによって、前記第1S-D評価用部位を認定する工程をさらに有する、請求項26に記載の方法。
  28. 前記第2補正行為を適用する工程が:
    前記のS-D回折信号及び関連する構造のライブラリ、又はS-D回折信号及び関連する構造のライブラリから新たな第2S-D最善推定信号及び関連する新たな第2S-D最善推定構造を選択する選択工程;
    前記新たな第2S-D測定信号データと前記新たな第2S-D最善推定信号データとの間の新たな第2S-D差異を計算する計算工程;
    前記新たな第2S-D差異を用いることによって前記第1測定用ウエハについて新たな第2S-D信頼性データを設定する設定工程;
    前記新たな第2S-D信頼性データを新たな第2S-D製品要件と比較する比較工程;並びに、
    前記新たな第2S-D製品要件の1つ以上が満たされるときには、前記第2測定用ウエハを新たな第2高信頼性ウエハとして認定して前記処理を継続し、又は、前記新たな第2S-D製品要件の1つ以上が満たされないときには、前記選択工程、前記計算工程、前記設定工程、前記比較工程、及び前記認定を中止する工程;
    を有する、請求項22に記載の方法。
  29. 前記新たな第2S-Dプロファイルライブラリ生成基準が満たされるときには、前記新たな第2S-D最善推定構造及び関連する前記新たな第2S-D最善推定信号データを用いることによって、前記第1S-D評価用部位を認定する工程をさらに有する、請求項28に記載の方法。
  30. 前記第2補正行為を適用する工程が、前記第1測定用ウエハ、前記第2測定用ウエハ、若しくは前記第1組のS-D測定用ウエハ、又はこれらの結合を、再測定する工程、再検査する工程、再加工する工程、保存する工程、洗浄する工程、及び/又は取り除く工程、を有する、請求項28に記載の方法。
  31. 前記の第1S-D測定処理を実行する工程が:
    前記第1 S-D測定用ウエハ上の第2S-D評価用部位を選択する工程;
    前記第2S-D評価用部位からの第2S-D測定信号データを有する第2測定データを取得する工程;
    前記のS-D測定信号及び関連する構造のライブラリから第2S-D最善推定信号及び関連する第2S-D最善推定構造を選択する工程;
    前記第2S-D測定信号データと前記第2S-D最善推定信号データとの間の第2S-D差異を計算する工程;
    前記第2S-D差異を用いることによって前記第1測定用ウエハについて第2S-D信頼性データを設定する工程;
    前記第2S-D信頼性データを第2S-D製品要件と比較する工程;並びに、
    前記第2S-D製品要件の1つ以上が満たされるときには、前記第1測定用ウエハを第2高信頼性ウエハとして認定して前記処理を継続し、又は前記第2S-D製品要件の1つ以上が満たされないときには第2補正行為を適用する工程;
    を有する、請求項22に記載の方法。
  32. 前記の第2S-D測定処理を実行する工程が:
    前記第1 S-D測定用ウエハ上の第3S-D評価用部位を選択する工程;
    前記第3S-D評価用部位からの第3S-D測定信号データを有する第3測定データを取得する工程;
    S-D測定信号及び関連する構造のライブラリ、又はS-D回折信号及び関連する構造のライブラリから第3S-D最善推定信号及び関連する第3S-D最善推定構造を選択する選択工程;
    前記第3S-D測定信号データと前記第3S-D最善推定信号データとの間の第3S-D差異を計算する計算工程;
    前記第3S-D差異を用いることによって前記第1測定用ウエハについて第3S-D信頼性データを設定する設定工程;
    前記第3S-D信頼性データを第3S-D製品要件と比較する比較工程;並びに、
    前記第3S-D製品要件の1つ以上が満たされるときには、前記第1測定用ウエハを第3高信頼性ウエハとして認定して前記処理を継続し、又は前記第3S-D製品要件の1つ以上が満たされないときには、前記選択工程、前記計算工程、前記設定工程、前記比較工程、及び前記認定を中止する工程;
    を有する、請求項21に記載の方法。
  33. 前記の第1S-D測定処理を実行する工程が:
    上に第2S-D評価用部位を有する第2測定用ウエハ選択する工程;
    前記第2S-D評価用部位からの第2S-D測定信号データを有する第2測定データを取得する工程;
    前記のS-D測定信号及び関連する構造のライブラリから第2S-D最善推定信号及び関連する第2S-D最善推定構造を選択する工程;
    前記第2S-D測定信号データと前記第2S-D最善推定信号データとの間の第2S-D差異を計算する工程;
    前記第2S-D差異を用いることによって前記第2測定用ウエハについて第2S-D信頼性データを設定する工程;
    前記第2S-D信頼性データを第2S-D製品要件と比較する工程;並びに、
    前記第2S-D製品要件の1つ以上が満たされるときには、前記第2測定用ウエハを第2高信頼性ウエハとして認定して前記処理を継続し、又は前記第2S-D製品要件の1つ以上が満たされないときには第2補正行為を適用する工程;
    を有する、請求項22に記載の方法。
  34. 前記第2補正行為を適用する工程が:
    前記のS-D測定データ及び関連する構造のライブラリから新たな第2S-D最善推定信号データ及び関連する新たな第2S-D最善推定構造を選択する選択工程;
    前記第2測定信号データと前記新たな第2S-D最善推定信号データとの間の新たな第2S-D差異を計算する計算工程;
    前記新たな第2S-D差異を用いることによって前記第2測定用ウエハについて新たな第2S-D信頼性データを設定する設定工程;
    前記新たな第2S-D信頼性データを新たな第2S-D製品要件と比較する比較工程;並びに、
    前記新たな第2S-D製品要件の1つ以上が満たされるときには、前記第2測定用ウエハを新たな第2高信頼性ウエハとして認定して前記処理を継続し、又は、前記新たな第2S-D製品要件の1つ以上が満たされないときには、前記選択工程、前記計算工程、前記設定工程、前記比較工程、及び前記認定を中止する工程;
    を有する、請求項23に記載の方法。
  35. 前記第1補正行為を適用する工程が、前記第1組の測定用ウエハのうちの1つ以上を、再測定する工程、再検査する工程、再加工する工程、保存する工程、洗浄する工程、及び/又は取り除く工程、を有する、請求項22に記載の方法。
  36. 前記第1補正行為を適用する工程が:
    前記のS-D測定信号及び関連する構造のライブラリ、又はS-D回折信号及び関連する構造のライブラリに関連するプロファイル空間の外側に存在する新たなプロファイル空間から、第1S-D計算された評価用構造及び関連する第1S-D計算された信号データを作成する工程;
    前記第1測定信号データと前記第1S-D計算された信号データとの間の第1S-D計算された差異を決定する工程;
    前記第1S-D計算された差異を用いることによって前記第1測定用ウエハについての第1S-D計算された信頼性データを設定する工程;
    前記第1S-D計算された信頼性データを第1S-Dプロファイルライブラリ生成基準と比較する工程;並びに、
    前記1つ以上の第1S-Dプロファイルライブラリ生成基準が満たされるときには、前記第1測定用ウエハを第1計算された高信頼性ウエハと認定して前記処理を継続し、又は前記1つ以上の第1S-Dプロファイルライブラリ生成基準が満たされないときには、第2補正行為を適用する工程;
    を有する、請求項22に記載の方法。
  37. 高さ、幅、厚さ、深さ、体積、面積、角度、誘電特性、プロセスレシピパラメータ、処理時間、限界寸法、間隔、期間、位置、若しくは線幅、又は上記2以上の結合を変更することによって、新たなS-D計算された評価用構造及び関連する新たなS-D計算された信号データを生成する生成工程;
    前記第1測定信号と前記新たなS-D計算された信号データとの新たなS-D計算された差異を決定する決定工程;
    前記新たなS-D計算された差異を用いることによって前記第1測定用ウエハについて新たなS-D計算された信頼性データを設定する設定工程;
    前記新たなS-D計算された信頼性データを新たなS-Dプロファイルライブラリ生成基準と比較する比較工程;並びに、
    前記新たなS-Dプロファイルライブラリ生成基準の1つ以上が満たされるときには、前記第1測定用ウエハを新たな計算された高信頼性ウエハとして認定して前記処理を継続し、又は前記新たなS-Dプロファイルライブラリ生成基準の1つ以上が満たされないときには、前記生成工程、前記決定工程、前記設定工程、前記比較工程、及び前記認定を中止する工程;
    をさらに有する、請求項36に記載の方法。
  38. 前記のS-D測定信号及び関連する構造のライブラリ、又は前記のS-D回折信号のライブラリ内に、前記第1S-D計算された評価用構造及び前記関連する第1S-D計算された信号データを保存する工程をさらに有する、請求項36に記載の方法。
  39. 前記第1S-Dプロファイルライブラリ生成基準が満たされるときには、前記第1S-D計算された評価用構造及び前記関連する第1計算された信号データを用いることによって前記第1S-D評価用部位を認定する工程をさらに有する、請求項36に記載の方法。
  40. 前記第1補正行為を適用する工程が:
    S-Dプロファイルライブラリに関連するデータ空間の外側に存在する第1S-Dプロファイルデータ空間内で第1外側データ点を決定する工程であって、第1外側S-D信号データ、第1外側S-Dプロファイルデータ、第1外側S-Dプロファイルパラメータデータ、又は上記の結合は、前記第1外側データ点に関連する、工程;
    前記第1S-D測定信号データと前記第1外側S-D信号データとの第1外側S-D差異を計算する工程;
    前記第1外側S-D差異を用いることによって前記第1測定用ウエハについて第1外側S-D信頼性データを設定する設定工程;
    前記第1外側S-D信頼性データを第1外側S-D製品要件と比較する比較工程;並びに、
    前記第1外側S-D製品要件の1つ以上が満たされるときには、前記第1測定用ウエハを外側高信頼性ウエハとして認定して前記処理を継続し、又は前記第1外側S-D製品要件の1つ以上が満たされないときには、第2補正行為を適用する工程;
    をさらに有する、請求項22に記載の方法。
  41. 前記第1外側S-D製品要件の1つ以上が満たされるときには、前記第1外側データ点に関連するデータを用いることによって前記第1S-D評価用部位を認定する工程をさらに有する、請求項40に記載の方法。
  42. 前記継続する工程が:
    前記第1 S-D差異を第1精度要件と比較する比較工程;及び、
    前記第1精度要件の1つ以上が満たされるときには、第1組の検査用ウエハを第1高信頼性ウエハとして認定して前記処理を継続し、又は前記第1精度要件の1つ以上が満たされないときには、追加の補正行為を適用する工程;
    を有する、請求項22に記載の方法。
  43. ウエハを処理するためのプラットフォームであって:
    各々が処理データに基づいて前記ウエハを処理する装置を有する複数の処理モジュール;
    前記モジュール間でウエハを搬送するように備えられていて、かつ前記モジュールの面のレール上を移動する、少なくとも1つのロボット;
    前記処理モジュール内の前記ウエハ上で完了したプロセスを検査するように備えられている少なくとも1つの検査モジュール;
    前記モジュール、前記少なくとも1つのロボット、及び前記少なくとも1つの検査モジュールからのウエハデータを制御して受け取り、かつ前記の受け取ったデータに基づいて前記複数の処理モジュール内でデータを調節する、共通制御ユニット;
    を有するウエハ処理プラットフォーム。
  44. 前記ウエハデータがサイトに固有なウエハデータを有する、請求項43に記載のウエハ処理プラットフォーム。
  45. 前記処理データが前記ウエハのために作成された製造プロセスに基づく、請求項43に記載のウエハ処理プラットフォーム。
  46. 前記製造プロセスが、前記プロセスデータの調節に従って対応するように調節される、請求項45に記載のウエハ処理プラットフォーム。
  47. 前記ウエハは、多くのウエハが当該ウエハ処理プラットフォームによって処理される前に、前記製造プロセスを更新するのに用いられる先送りウエハである、請求項46に記載のウエハ処理プラットフォーム。
JP2010501280A 2007-03-30 2008-03-31 インラインリソグラフィ及びエッチングシステム Active JP5200276B2 (ja)

Applications Claiming Priority (13)

Application Number Priority Date Filing Date Title
US11/730,279 US7783374B2 (en) 2007-03-30 2007-03-30 Method and apparatus for performing a site-dependent dual damascene procedure
US11/730,339 2007-03-30
US11/730,339 US7935545B2 (en) 2007-03-30 2007-03-30 Method and apparatus for performing a site-dependent dual patterning procedure
US11/730,284 2007-03-30
US11/730,202 2007-03-30
US11/730,341 US7650200B2 (en) 2007-03-30 2007-03-30 Method and apparatus for creating a site-dependent evaluation library
US11/730,283 2007-03-30
US11/730,284 US7596423B2 (en) 2007-03-30 2007-03-30 Method and apparatus for verifying a site-dependent procedure
US11/730,341 2007-03-30
US11/730,202 US7531368B2 (en) 2007-03-30 2007-03-30 In-line lithography and etch system
US11/730,279 2007-03-30
US11/730,283 US7373216B1 (en) 2007-03-30 2007-03-30 Method and apparatus for verifying a site-dependent wafer
PCT/US2008/058888 WO2008121955A2 (en) 2007-03-30 2008-03-31 In-line lithography and etch system

Publications (2)

Publication Number Publication Date
JP2010524209A JP2010524209A (ja) 2010-07-15
JP5200276B2 true JP5200276B2 (ja) 2013-06-05

Family

ID=39808885

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2010501280A Active JP5200276B2 (ja) 2007-03-30 2008-03-31 インラインリソグラフィ及びエッチングシステム

Country Status (4)

Country Link
JP (1) JP5200276B2 (ja)
KR (1) KR101475967B1 (ja)
TW (1) TWI381468B (ja)
WO (1) WO2008121955A2 (ja)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8739095B2 (en) * 2010-03-08 2014-05-27 Cadence Design Systems, Inc. Method, system, and program product for interactive checking for double pattern lithography violations
US9277186B2 (en) * 2012-01-18 2016-03-01 Kla-Tencor Corp. Generating a wafer inspection process using bit failures and virtual inspection
US10769320B2 (en) 2012-12-18 2020-09-08 Kla-Tencor Corporation Integrated use of model-based metrology and a process model
TWI631636B (zh) * 2013-12-16 2018-08-01 克萊譚克公司 以模型爲基礎之量測及一製程模型的整合使用
US11263737B2 (en) * 2019-01-10 2022-03-01 Lam Research Corporation Defect classification and source analysis for semiconductor equipment
US20220351996A1 (en) * 2021-04-29 2022-11-03 Changxin Memory Technologies, Inc. Front opening unified pod, wafer transfer system and wafer transfer method

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3889355A (en) * 1973-02-05 1975-06-17 Ibm Continuous processing system
US5124927A (en) * 1990-03-02 1992-06-23 International Business Machines Corp. Latent-image control of lithography tools
JPH0480939A (ja) * 1990-07-24 1992-03-13 Hitachi Ltd 半導体集積回路装置の製造方法
US6171174B1 (en) * 1998-06-26 2001-01-09 Advanced Micro Devices System and method for controlling a multi-arm polishing tool
US6909930B2 (en) * 2001-07-19 2005-06-21 Hitachi, Ltd. Method and system for monitoring a semiconductor device manufacturing process
JP4158384B2 (ja) * 2001-07-19 2008-10-01 株式会社日立製作所 半導体デバイスの製造工程監視方法及びそのシステム
US6908807B2 (en) * 2002-03-26 2005-06-21 Micron Technology, Inc. Methods of forming semiconductor constructions
TWI233008B (en) * 2002-09-30 2005-05-21 Tokyo Electron Ltd Method and apparatus for the monitoring and control of a semiconductor manufacturing process
US7065738B1 (en) * 2004-05-04 2006-06-20 Advanced Micro Devices, Inc. Method of verifying an optical proximity correction (OPC) model
US8084400B2 (en) * 2005-10-11 2011-12-27 Intermolecular, Inc. Methods for discretized processing and process sequence integration of regions of a substrate
US8882914B2 (en) * 2004-09-17 2014-11-11 Intermolecular, Inc. Processing substrates using site-isolated processing
TW200745771A (en) * 2006-02-17 2007-12-16 Nikon Corp Adjustment method, substrate processing method, substrate processing apparatus, exposure apparatus, inspection apparatus, measurement and/or inspection system, processing apparatus, computer system, program and information recording medium

Also Published As

Publication number Publication date
TWI381468B (zh) 2013-01-01
WO2008121955A3 (en) 2009-01-15
WO2008121955A2 (en) 2008-10-09
KR20100016095A (ko) 2010-02-12
KR101475967B1 (ko) 2014-12-23
JP2010524209A (ja) 2010-07-15
TW200903686A (en) 2009-01-16

Similar Documents

Publication Publication Date Title
US7531368B2 (en) In-line lithography and etch system
US7729795B2 (en) Method and apparatus for verifying a site-dependent wafer
US11520238B2 (en) Optimizing an apparatus for multi-stage processing of product units
US7596423B2 (en) Method and apparatus for verifying a site-dependent procedure
US7650200B2 (en) Method and apparatus for creating a site-dependent evaluation library
TWI777585B (zh) 判定程序之校正
US6960416B2 (en) Method and apparatus for controlling etch processes during fabrication of semiconductor devices
US7673278B2 (en) Enhanced process yield using a hot-spot library
KR102308124B1 (ko) 공정 핑거프린트들의 세트의 유지
TWI667532B (zh) 最佳化用於製造產品單元之製程序列
JP5200276B2 (ja) インラインリソグラフィ及びエッチングシステム
CN110573966A (zh) 用于优化光刻工艺的方法和装置
US7449265B1 (en) Scatterometry target for determining CD and overlay
US7783374B2 (en) Method and apparatus for performing a site-dependent dual damascene procedure
US20100214545A1 (en) Creating Metal Gate Structures Using Lithography-Etch-Lithography-Etch (LELE) Processing Sequences
US7935545B2 (en) Method and apparatus for performing a site-dependent dual patterning procedure
TWI749607B (zh) 微影製程之子場控制及相關聯裝置
TWI839789B (zh) 用於將一基板區域量測資料模型化之方法及其相關裝置

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20101111

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20130115

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20130122

R150 Certificate of patent or registration of utility model

Ref document number: 5200276

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20160222

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250