JP5192214B2 - ガス供給装置、基板処理装置および基板処理方法 - Google Patents

ガス供給装置、基板処理装置および基板処理方法 Download PDF

Info

Publication number
JP5192214B2
JP5192214B2 JP2007286411A JP2007286411A JP5192214B2 JP 5192214 B2 JP5192214 B2 JP 5192214B2 JP 2007286411 A JP2007286411 A JP 2007286411A JP 2007286411 A JP2007286411 A JP 2007286411A JP 5192214 B2 JP5192214 B2 JP 5192214B2
Authority
JP
Japan
Prior art keywords
gas
processing
substrate
additional
processed
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2007286411A
Other languages
English (en)
Other versions
JP2009117477A (ja
Inventor
法生 益田
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2007286411A priority Critical patent/JP5192214B2/ja
Priority to KR1020080106003A priority patent/KR101061630B1/ko
Priority to CN201310152919.8A priority patent/CN103325652B/zh
Priority to CN2008101730557A priority patent/CN101425450B/zh
Priority to TW97142043A priority patent/TWI447806B/zh
Priority to US12/262,698 priority patent/US8430962B2/en
Publication of JP2009117477A publication Critical patent/JP2009117477A/ja
Priority to KR1020100114004A priority patent/KR101162884B1/ko
Priority to US13/871,470 priority patent/US8679255B2/en
Application granted granted Critical
Publication of JP5192214B2 publication Critical patent/JP5192214B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/8593Systems
    • Y10T137/877With flow control means for branched passages

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)

Description

本発明は、基板に対してプラズマエッチング等の基板処理を行う際に、処理容器にガスを供給するガス供給装置、およびこのようなガス供給装置を含む基板処理装置、ならびに基板処理方法に関する。
半導体装置や液晶表示装置等の電子デバイスの製造プロセスにおいては、基板の表面に所定の膜を形成する成膜処理や、基板上に形成された膜を所定パターンに加工するエッチング処理などの基板処理が行われる。
このような基板処理においては、高い反応性を得る観点からプラズマが用いられることがあり、特にエッチング処理にはプラズマエッチング装置が多用されている。プラズマエッチング装置は、基板を収容する処理容器内に、基板を載置する下部電極と、下部電極と対向して設けられ、下部電極の基板に向けてガスを噴出するシャワーヘッドを構成する上部電極とを有している。そして、シャワーヘッドから所定の混合ガスを噴出しつつ両電極間に高周波電界を形成してプラズマを生成し、このプラズマにより基板上の膜をエッチングする。
このようなプラズマエッチング装置において、エッチングレートやエッチング選択比などのエッチング特性は基板上に供給されるガス濃度に影響されるため、エッチング特性を基板面内において均一にする観点から、基板面内においてガス分布を調整する手法が種々提案されている。
例えば特許文献1には、比較的簡単な配管構成で処理容器の複数箇所に任意の混合ガスを供給してガス分布を調整する技術が開示されている。また、特許文献2には、処理ガスを第1および第2の流路に分岐させてシャワーヘッドの第1および第2の部位から吐出するようにし、これら流路を介して所定の付加ガスを流せるようにして、ガス成分や流量を調整し、簡単な配管構成および制御で処理容器の複数箇所に任意の混合ガスを供給してガス分布を調整する技術が開示されている。さらに、特許文献3には、処理ガスを第1および第2の流路に分岐させてシャワーヘッドの第1および第2の部位から吐出するようにし、それとは別個に所定の付加ガスを吐出可能にして、より高い自由度でガス成分や流量を調整し、簡単な配管構成および制御で処理容器の複数箇所に任意の混合ガスを供給してガス分布を調整する技術が開示されている。
特開平2006−165399号公報 特開平2007−207808号公報 特開平2007−214295号公報
しかしながら、基板の面内均一性のスペックがより厳しくなっており、特に、基板のエッジの最外周の特性補正を行うことが困難であり、単に上記技術を採用しただけでは、面内均一性が十分とは言えない場合が生じる。また、プロセスによっては付加ガスを用いる必要のない場合もあるが、上記特許文献3のように付加ガスのラインを別途に設けた際には、付加ガスを用いない場合に、付加ガス吐出用の吐出孔にデポが生じたり吐出孔付近で異常放電が生じたりするという問題が生じるおそれがある。
本発明はかかる事情に鑑みてなされたものであって、簡易な配管構成を採用しながら、基板の最外周の特性補正を有効に行うことができるガス供給装置を提供することを目的とする。
また、本発明は、基板の最外周の特性を有効に補正して基板の面内で均一な処理を行うことが可能な基板処理装置および基板処理方法を提供することを目的とする。
さらに、本発明は、処理ガスの他に付加ガスを供給可能であって、付加ガスを供給しない場合にデポや異常放電が生じ難いガス供給装置、ならびに基板処理装置および基板処理方法を提供することを目的とする。
上記課題を解決するために、本発明の第1の観点では、被処理基板が配置された処理室内にガスを供給するガス供給装置であって、前記処理室内において、その中に配置された被処理基板に対向して設けられ、前記処理室内にガスを導入する複数のガス導入部を有するガス導入部材と、被処理基板を処理する処理ガスを前記ガス導入部材に向けて供給する処理ガス供給部と、前記処理ガス供給部からの処理ガスを流す処理ガス供給流路と、前記処理ガス供給流路から分岐し、前記ガス導入部材に接続され、所定の分流割合で前記処理ガスを供給する複数の分岐流路と、前記処理ガスによる処理特性を調整するための付加ガスを前記ガス導入部材に向けて供給する付加ガス供給部と、前記付加ガス供給部からの付加ガスを流し、前記ガス導入部材に接続された付加ガス供給流路とを具備し、前記複数のガス導入部は、前記被処理基板の配置領域にガスを供給する複数の内側ガス導入部と、前記被処理基板の外縁よりも外側の領域にガスを導入する外側ガス導入部を有し、前記複数の分岐流路は前記複数の内側ガス導入部に接続され、前記付加ガス供給流路は前記外側ガス導入部に接続されており、前記外側ガス導入部に前記付加ガスと前記処理ガスを選択的に供給可能な切換機構を有することを特徴とするガス供給装置を提供する。
上記第1の観点において、前記ガス導入部材は、複数のガス導入部が同心状に設けられ、最外側が前記外側ガス導入部であり、その内側に複数の内側ガス導入部が配置されている構成とすることができる。また、前記ガス導入部材は、内部にガス拡散空間を有し、下壁に複数のガス吐出孔を有するシャワーヘッドを構成し、前記ガス拡散空間が前記複数のガス導入部に対応して複数のガス拡散室に区画されている構成とすることができる。そして、前記ガス導入部材の前記複数のガス導入部は、前記内側ガス導入部として、前記被処理基板の中心領域に前記処理ガスを導入する第1ガス導入部と、前記被処理基板の周辺領域に前記処理ガスを導入する第2ガス導入部とを有し、前記第2ガス導入部の外側に前記外側ガス導入部として第3ガス導入部を有し、前記複数の分岐流路は、第1分岐流路と第2分岐流路とを有し、これらはそれぞれ前記第1ガス導入部および前記第2ガス導入部に接続されている構成とすることができる。
た、前記切換機構は、前記付加ガス供給流路と前記処理ガス供給流路またはいずれかの前記分岐流路とを繋ぐバイパス配管と、前記付加ガス供給流路側および前記バイパス配管側の接続を選択的に切り換えるバイパスバルブとを有する構成とすることができる。
本発明の第2の観点では、被処理基板が配置された処理室内にガスを供給するガス供給装置であって、被処理基板を処理する処理ガスを前記処理室に向けて供給する処理ガス供給部と、前記処理室に処理ガスを導入する処理ガス導入部と、前記処理ガス供給部からの処理ガスを前記処理ガス導入部へ供給する処理ガス供給路と、前記処理ガスによる処理特性を調整するための付加ガスを前記処理室に向けて供給する付加ガス供給部と、前記処理室に付加ガスを導入する付加ガス導入部と、前記付加ガス供給部からの付加ガスを前記付加ガス導入部へ供給する付加ガス供給路と、前記付加ガスを処理容器内に導入しない場合に、前記付加ガス導入部へ処理ガスが流れるように切り換える切換機構とを具備し、前記処理ガス供給路は、前記処理ガス導入部から延びる主流路と、主流路から分岐した複数の分岐流路とを有し、前記処理ガス導入部は前記分岐流路に対応して複数設けられ、前記処理室内において、その中に配置された被処理基板に対向して設けられ、前記処理室内にガスを導入するガス導入部材をさらに具備し、前記ガス導入部材は、前記複数の処理ガス導入部および前記付加ガス導入部を有しており、前記ガス導入部材は、内部にガス拡散空間を有し、下壁に複数のガス吐出孔を有するシャワーヘッドを構成し、前記ガス拡散空間が前記複数の処理ガス導入部および前記付加ガス導入部に対応して複数のガス拡散室に区画され、前記ガス導入部材の前記複数の処理ガス導入部は、前記被処理基板の中心領域に前記処理ガスを導入する第1ガス導入部と、前記被処理基板の周辺領域に前記処理ガスを導入する第2ガス導入部とを有し、前記付加ガス導入部は前記第2ガス導入部の外側に設けられ、前記被処理基板の外縁よりも外側の領域に付加ガスを供給することを特徴とするガス供給装置を提供する。
上記第2の観点において、前記切換機構は、前記付加ガス供給流路と前記処理ガス供給流路とを繋ぐバイパス配管と、前記付加ガス供給流路側および前記バイパス配管側の接続を選択的に切り換えるバイパスバルブとを有する構成とすることができる。
上記第1、第2の観点において、前記付加ガスは、前記処理ガスとは異なるガスとすることができる。また、前記処理ガスは複数のガスを含み、前記付加ガスは、これら複数のガスと異なるガスとすることができるし、また、前記処理ガスを構成するガスの一部とすることもできる。
本発明の第3の観点では、その中に被処理基板が配置される処理室と、前記処理室内にガスを供給するガス供給装置とを具備し、前記ガス供給装置から被処理基板を処理するための処理ガスを前記処理室内に供給して被処理基板に所定の処理を施す基板処理装置であって、前記ガス供給装置は、前記処理室内において、その中に配置された被処理基板に対向して設けられ、前記処理室内にガスを導入する複数のガス導入部を有するガス導入部材と、被処理基板を処理する処理ガスを前記ガス導入部材に向けて供給する処理ガス供給部と、前記処理ガス供給部からの処理ガスを流す処理ガス供給流路と、前記処理ガス供給流路から分岐し、前記ガス導入部材の前記複数のガス導入部に接続され、所定の分流割合で前記処理ガスを供給する複数の分岐流路と、前記処理ガスによる処理特性を調整するための付加ガスを前記ガス導入部材に向けて供給する付加ガス供給部と、前記付加ガス供給部からの付加ガスを流し、前記ガス導入部材に接続された付加ガス供給流路とを備え、前記ガス導入部材は、前記処理室に配置された被処理基板の外縁よりも外側の領域にガスを導入する外側ガス導入部を有し、前記付加ガス供給流路は、前記外側ガス導入部に接続されており、前記外側ガス導入部に前記付加ガスと前記処理ガスを選択的に供給可能な切換機構を有することを特徴とする基板処理装置を提供する。
本発明の第4の観点では、その中に被処理基板が配置される処理室と、前記処理室内にガスを供給するガス供給装置とを具備し、前記ガス供給装置から被処理基板を処理するための処理ガスを前記処理室内に供給して被処理基板に所定の処理を施す基板処理装置であって、前記ガス供給装置は、被処理基板を処理する処理ガスを前記処理室に向けて供給する処理ガス供給部と、前記処理室に処理ガスを導入する処理ガス導入部と、前記処理ガス供給部からの処理ガスを前記処理ガス導入部へ供給する処理ガス供給路と、前記処理ガスによる処理特性を調整するための付加ガスを前記処理室に向けて供給する付加ガス供給部と、前記処理室に付加ガスを導入する付加ガス導入部と、前記付加ガス供給部からの付加ガスを前記付加ガス導入部へ供給する付加ガス供給路と、前記付加ガスを処理容器内に導入しない場合に、前記付加ガス導入部へ処理ガスが流れるように切り換える切換機構とを備え、前記処理ガス供給路は、前記処理ガス導入部から延びる主流路と、主流路から分岐した複数の分岐流路とを有し、前記処理ガス導入部は前記分岐流路に対応して複数設けられ、前記処理室内において、その中に配置された被処理基板に対向して設けられ、前記処理室内にガスを導入するガス導入部材をさらに具備し、前記ガス導入部材は、前記複数の処理ガス導入部および前記付加ガス導入部を有しており、前記ガス導入部材は、内部にガス拡散空間を有し、下壁に複数のガス吐出孔を有するシャワーヘッドを構成し、前記ガス拡散空間が前記複数の処理ガス導入部および前記付加ガス導入部に対応して複数のガス拡散室に区画され、前記ガス導入部材の前記複数の処理ガス導入部は、前記被処理基板の中心領域に前記処理ガスを導入する第1ガス導入部と、前記被処理基板の周辺領域に前記処理ガスを導入する第2ガス導入部とを有し、前記付加ガス導入部は前記第2ガス導入部の外側に設けられ、前記被処理基板の外縁よりも外側の領域に付加ガスを供給することを特徴とする基板処理装置を提供する。
上記第3、第4の観点において、前記基板処理装置は、前記処理ガスと前記付加ガスのプラズマを生成するプラズマ生成機構をさらに具備し、生成したプラズマにより被処理基板を処理するものとすることができる。
本発明の第5の観点では、被処理基板が配置された処理容器内に処理ガスを導入して被処理基板に所定の処理を施す基板処理方法であって、前記被処理基板の配置領域に所定の分流割合で処理ガスを複数部位から供給し、処理ガスによる処理特性を調整するための付加ガスを前記複数部位よりも外側の外側部位から前記被処理基板の外縁よりも外側の領域に供給して、被処理基板に被処理基板の所定の膜をプラズマエッチングするプラズマエッチング処理を施し、前記付加ガスは、前記処理室内の被処理基板の周縁部分において反応生成物が低下する場合に、反応生成物を生成する成分を含むガスであることを特徴とする基板処理方法を提供する。
本発明の第6の観点では、被処理基板が配置された処理容器内に処理ガスを導入して被処理基板に所定の処理を施す基板処理方法であって、前記被処理基板の配置領域に所定の分流割合で処理ガスを複数部位から供給し、処理ガスによる処理特性を調整するための付加ガスを前記複数部位よりも外側の外側部位から前記被処理基板の外縁よりも外側の領域に供給して、被処理基板に被処理基板の所定の膜をプラズマエッチングするプラズマエッチング処理を施し、記付加ガスは、前記処理室内の被処理基板の周縁部分において被エッチング膜の膜中成分が低下する場合に、膜中成分を生成するガスであることを特徴とする基板処理方法を提供する。
上記第5および第6の観点において、前記複数部位は同心状に設けられ、前記外側部位は前記複数部位の外側に同心状に設けられている構成をとることができる。この場合に、前記複数部位は、前記被処理基板の中心領域に対応する第1の部位と、前記被処理基板の周辺領域に対応する第2の部位とを有するものとすることができる。
本発明によれば、処理室内に設けたガス導入部材を、複数の内側ガス導入部と、外側ガス導入部とを有する構成とし、複数の内側ガス導入部から被処理基板の配置領域に分流量を調整して処理ガスを供給し、外側ガス導入部から被処理基板の外縁よりも外側の領域に処理ガスによる処理特性を調整するための付加ガスを流すようにしたので、被処理基板の最外周部分における処理特性を有効に補正して最適化することができ、処理特性をより均一にすることができる。すなわち、被処理基板の周縁領域に付加ガスを供給しても、被処理基板の最外周部分の処理特性を補正することが困難であるが、付加ガスを被処理基板の外縁から外側の部分に供給すれば、付加ガスが被処理基板の最外周部分に有効に作用してその部分の処理特性を補正することができる。
また、処理室に、処理ガスを導入する処理ガス導入部と、付加ガスを導入する付加ガス導入部を設け、被処理基板に処理ガスおよび付加ガスを供給可能な構成において、付加ガスを処理容器内に導入しない場合に、付加ガス導入部へ処理ガスが流れるように切り換える切換機構を設けたので、付加ガスを導入しない場合に付加ガス導入部から処理ガスを流すことができ、処理中に付加ガス導入部のガス吐出孔に何も通流しないことを阻止することができ、付加ガス導入部のガス吐出孔にデポが生じたり、処理がプラズマ処理である場合に、ガス吐出孔で異常放電が生じたりする問題を防止することができる。
以下、添付図面を参照して、本発明の実施形態について説明する。図1は、本発明の一実施形態に係るガス供給装置が適用された基板処理装置としてのプラズマエッチング装置を示す概略断面図であり、図2は、図1の装置におけるシャワーヘッドの底面図である。
このプラズマエッチング装置は、容量結合型平行平板プラズマエッチング装置として構成されており、気密に構成され、略円筒状をなし、壁部が例えば表面が酸化処理されたアルミニウム製のチャンバ1を有している。このチャンバ1は接地されている。
このチャンバ1内には、被処理基板である半導体ウエハ(以下、単にウエハと記す)Wを水平に支持するとともに下部電極として機能する支持テーブル2が設けられている。支持テーブル2は例えば表面が酸化処理されたアルミニウムで構成されており、チャンバ1の底壁から突出する支持部3上に絶縁部材4を介して支持されている。また、支持テーブル2の上方の外周には導電性材料または絶縁性材料で形成されたフォーカスリング5が設けられている。フォーカスリング5の外側にはバッフル板14が設けられている。また、支持テーブル2とチャンバ1の底壁との間には空洞部7が形成されている。
支持テーブル2の表面上にはウエハWを静電吸着するための静電チャック6が設けられている。この静電チャック6は絶縁体6bの間に電極6aが介在されて構成されており、電極6aには直流電源13が接続されている。そして電極6aに電源13から電圧が印加されることにより、例えばクーロン力によって半導体ウエハWが吸着される。
支持テーブル2内には冷媒流路8aが設けられ、この冷媒流路8aには冷媒配管8bが接続されており、冷媒制御装置8により、適宜の冷媒がこの冷媒配管8bを介して冷媒流路8aに供給され、循環されるようになっている。これにより、支持テーブル2が適宜の温度に制御可能となっている。また、静電チャック6の表面とウエハWの裏面との間に熱伝達用の伝熱ガス、例えばHeガスを供給するための伝熱ガス配管9aが設けられ、伝熱ガス供給装置9からこの伝熱ガス配管9aを介してウエハW裏面に伝熱ガスが供給されるようになっている。これにより、チャンバ1内が排気されて真空に保持されていても、冷媒流路8aに循環される冷媒の冷熱をウエハWに効率良く伝達させることができ、ウエハWの温度制御性を高めることができる。
支持テーブル2のほぼ中央には、高周波電力を供給するための給電線12が接続されており、この給電線12には整合器11および高周波電源10が接続されている。高周波電源10からは所定の周波数、例えば10MHz以上の高周波電力が支持テーブル2に供給されるようになっている。一方、下部電極として機能する支持テーブル2に対向してその上方には後述するシャワーヘッド16が互いに平行に設けられており、このシャワーヘッド16はチャンバを介して接地されている。したがって、シャワーヘッド16は上部電極として機能して、支持テーブル2とともに一対の平行平板電極を構成している。
上記シャワーヘッド16は、チャンバ1の天壁部分に嵌め込まれている。このシャワーヘッド16は、チャンバ1内のウエハ中心領域(センター領域)にガスを導入する第1ガス導入部51と、チャンバ1内のウエハ周辺領域(エッジ領域)にガスを導入する第2ガス導入部52と、第2ガス導入部51よりも外側領域にガスを導入する第3ガス導入部53とを有しており、これらが同心状に配置されている。
シャワーヘッド16は、シャワーヘッド本体16aと、その下面に交換可能に設けられた電極板18とを有している。シャワーヘッド本体16aの底壁および電極板18を貫通するように多数のガス吐出孔17が設けられており、シャワーヘッド本体16aの内部にはガス拡散空間40が設けられている。このガス拡散空間40は、例えばOリングからなる第1環状隔壁部材42および第2環状隔壁部材43により中心側の第1ガス拡散室40aとその外側の第2ガス拡散室40bとさらにその外側(最外周)の第3ガス拡散室40cに区画されており、これら第1ガス拡散室40a、第2ガス拡散室40b、第3ガス拡散室40cから上記多数のガス吐出孔17が下方に延びている。そして、第1ガス拡散室40aとその下面に設けられている多数のガス吐出孔17とで第1ガス導入部51が構成され、第2ガス拡散室40bとその下面に設けられている多数のガス吐出孔17とで第2ガス導入部52が構成され、第3ガス拡散室40cとその下面に設けられている多数のガス吐出孔17とで第3ガス導入部53が構成される。
第1および第2ガス拡散室40a,40bにはエッチングのための処理ガスが供給されウエハWに向けてエッチングガスを吐出可能となっている。第3ガス拡散室40cには付加ガスが供給される。第3ガス拡散室40cに対応するガス吐出孔17は、サセプタ16に載置されているウエハWの外縁よりも外側の領域に対応し、ウエハWの外縁よりも外側の領域に付加ガスを吐出可能となっている。
第1ガス拡散室40aおよび第2ガス拡散室40bには、共通の処理ガス供給部66から処理ガスが所望の流量比で供給されるようになっている。すなわち、処理ガス供給部66からのガス供給管64が途中で2つの分岐管64a,64bに分岐し、シャワーヘッド本体16aに形成されたガス導入口62a,62bに接続され、ガス導入口62a,62bからの処理ガスがガス導入室40a、40bに至る。分岐管64a,64bの分流量は、これらの途中に設けられた分流量調整機構71により調整される。また、第3ガス拡散室40cには、付加ガス供給部75から、処理ガスによるエッチング特性を調整するための付加ガスが供給されるようになっている。付加ガスは、エッチングの際に例えばエッチング処理を均一にするために所定の作用を及ぼすものである。付加ガス供給部75からのガス供給管76は、シャワーヘッド本体16aに形成されたガス導入口62cに接続され、付加ガスはガス導入口62cを介して第3ガス拡散室40cに至る。そして、シャワーヘッド16、処理ガス供給部66、付加ガス供給部75、分流量調整機構71および配管系によりガス供給装置60が構成されている。
図3はガス供給装置の構成を示す模式図である。処理ガス供給部66は、処理ガスの数に応じた複数、例えば3つの処理ガス供給源67a,67b,67cを有しており、これらから、それぞれガス配管68a,68b,68cが延びており、これらガス配管68a,68b,68cには、流量制御器としてのマスフローコントローラ(MFC)69および開閉バルブ70が設けられていて、複数種の処理ガスを各々所望の流量で供給可能となっている。これらガス配管68a,68b,68cは上記ガス供給配管64に合流しており、ガス供給配管64では複数のガスが混合した混合ガスが通流される。なお、処理ガス供給源は、処理ガスの数に応じて設けられるものであり、2個以下または4個以上でもよいことは言うまでもない。
分流量調整機構71は、分岐管64a,64bにそれぞれ設けられた流量制御弁71a,71bおよび圧力センサ72a,72bを有している。処理ガス供給部66から第1ガス拡散室40aおよび第2ガス拡散室40bまでの流路のコンダクタンスは等しいので、流量制御弁71a,71bにより第1ガス拡散室40aと第2ガス拡散室40bに供給する処理ガスの流量比を任意に調整することができる。実際の流量調整は、分岐管64a,64bに設けられた圧力センサ72a,72bの検出値に基づいて流量制御弁71a,71bの開度を調整することにより行われる。
付加ガス供給部75は、ガス供給配管76に接続された付加ガス供給源77と、ガス供給管76に設けられた流量制御器としてのマスフローコントローラ(MFC)78および開閉バルブ79を有している。
このように、第1ガス拡散室40aと第2ガス拡散室40bとに導入する処理ガスの流量比を調整することで、中心側の第1ガス導入部51からチャンバ1内に導入される処理ガスの流量Fと周辺側の第2ガス導入部52からチャンバ1内に導入されるガスの流量Fとの比率(F/F)を任意に調整することができ、これによりラジカル分布制御(ラジカルディストリビューションコントロール;RDC)を行うことができる。また、これら処理ガスとは独立して所定の付加ガスを処理ガスに対して所定の割合で第3ガス導入部53の第3ガス拡散室40cからチャンバ1内のウエハWの外縁よりも外側部分に導入することができる。
付加ガスを供給するガス供給配管76と分岐管64bとの間は、バイパス配管80で接続されており、ガス供給配管76とバイパス配管80の合流点には切換バルブ81が設けられている。切換バルブ81は例えば三方弁からなり、付加ガスを供給する場合にはバイパス配管80との接続を遮断して付加ガスが第3ガス拡散室40cに供給されるようにし、付加ガスを供給しない場合には付加ガス供給部75側との接続を遮断してバイパス配管80を介して処理ガスが第3ガス拡散室40cに供給されるようにする。すなわち、処理中に付加ガスを必要としない場合に、第3ガス拡散室40cに対応するガス吐出孔17に何も流れないことを防止することが可能となっている。
チャンバ1の底壁には、排気管19接続されており、この排気管19には真空ポンプ等を含む排気装置20が接続されている。そして排気装置20の真空ポンプを作動させることによりチャンバ1内を所定の真空度まで減圧することができるようになっている。一方、チャンバ1の側壁上側には、ウエハWの搬入出口23を開閉するゲートバルブ24が設けられている。
一方、チャンバ1の搬入出口23の上下にチャンバ1を周回するように、同心状に、2つのリング磁石21a,21bが配置されており、支持テーブル2とシャワーヘッド16との間の処理空間の周囲に磁界を形成するようになっている。このリング磁石21a,21bは、図示しない回転機構により回転可能に設けられている。
プラズマエッチング装置の各構成部、例えば、処理ガス供給部66、分流量調整機構71、付加ガス供給部75、高周波電源10、整合器11、直流電源13、冷媒制御装置8、伝熱ガス供給装置9、排気装置20等は、マイクロプロセッサ(コンピュータ)からなる制御部(プロセスコントローラ)90に接続されて制御される構成となっている。また、制御部90には、オペレータがプラズマエッチング装置を管理するためにコマンドの入力操作等を行うキーボードや、プラズマ処理装置の稼働状況を可視化して表示するディスプレイ等からなるユーザーインターフェース91が接続されている。
さらに、制御部90には、プラズマエッチング装置で実行される各種処理を制御部90の制御にて実現するための制御プログラムや、処理条件に応じてプラズマエッチング装置の各構成部に処理を実行させるためのプログラムすなわちレシピが格納された記憶部92が接続されている。レシピは記憶部92中の記憶媒体に記憶されている。記憶媒体はハードディスクのような固定的なものであってもよいし、CDROM、DVD等の可搬性のものであってもよい。また、他の装置から、例えば専用回線を介してレシピを適宜伝送させるようにしてもよい。そして、必要に応じて、ユーザーインターフェース91からの指示等にて任意のレシピを記憶部92から呼び出して制御部90に実行させることで、制御部90の制御下で、プラズマエッチング装置での所望の処理が行われる。
次に、以上のように構成されたプラズマエッチング装置の処理動作について説明する。この際の処理動作は上述した制御部90により制御される。
まず、ゲートバルブ24を開にして搬送アームにてウエハWをチャンバ1内に搬入し、支持テーブル2上に載置した後、搬送アームを退避させてゲートバルブ24を閉にし、排気装置20の真空ポンプにより排気管19を介してチャンバ1内を所定の真空度にする。
そして、処理ガス供給部66からエッチングのための処理ガスを所定の流量および比率で第1ガス拡散室40aおよび第2ガス拡散室40bへ供給し、第1ガス導入部51と第2ガス導入部52の処理ガス比率を調整する。また、第3ガス導入部53の第3ガス拡散室40cには所定の付加ガスを供給する。そして、これらのガスをガス吐出孔17を介してチャンバ1内へ供給しつつ、排気装置20の真空ポンプによりチャンバ1内を排気し、その中の圧力を例えば1〜150Paの範囲内の設定値とする。
ここで、処理ガスとしては、従来用いられている種々のものを採用することができ、例えばCガスのようなフロロカーボンガス(C)に代表されるハロゲン元素を含有するガスを好適に用いることができる。さらに、ハロゲン元素を含有するガスとともに、またはハロゲン元素を含有するガスに代えてArガスやOガス等の他のガスを用いることもできる。
付加ガスは、ウエハのエッチング特性に特異な部分が存在するような場合に、その部分の環境を調整してエッチングの面内均一性を高めるためのガスである。例えば、ウエハの周縁部で副生成物が少ないためにエッチング形状が細る場合があるが、その場合には副生成物を生成するガスを付加ガスとして供給することが有効である。また、例えば、ウエハの周縁部で膜の構成成分に対応するガスが少ないためにエッチング形状が細る場合があるが、その場合にはその膜の構成成分に対応するガスを付加ガスとして供給することが有効である。
なお、付加ガスとしては、処理ガスの一部を用いることもできるし、処理ガスとは全く異なるガスを用いることもできる。
このようにチャンバ1内に処理ガスおよび付加ガスを導入した状態で、高周波電源10から支持テーブル2に、周波数が10MHz以上、例えば13.56MHzの高周波電力を供給する。このとき、直流電源13から静電チャック6の電極6aに所定の電圧が印加され、ウエハWは例えばクーロン力により吸着される。
このようにして下部電極である支持テーブル2に高周波電力が印加されることにより、上部電極であるシャワーヘッド16と下部電極である支持テーブル2との間の処理空間には高周波電界が形成され、これにより処理空間に供給された処理ガスがプラズマ化されて、そのプラズマ中のラジカルやイオンによってウエハWの被エッチング膜がエッチングされる。
この場合に、上記特許文献1〜3にあるように、処理ガスの流量をウエハWの中心領域と周辺領域とで調整するラジカル分布制御(RDC)と、付加ガスをウエハWの周辺領域に流すことにより、処理によってはエッチング特性を均一にすることができる。
しかしながら、ハードマスク層として用いられるSiN膜や、低誘電率(Low−k)層間絶縁膜のような有機系膜のエッチングにおいては、ウエハWの最外周部分において、エッチング特性、特にCD(クリティカルデメンジョン)が急激に変化する。具体的にはウエハWの最外周部分において、レジストの細りが生じる傾向にある。この場合には、単に上記特許文献1〜3のようなハード構成を用いてラジカル分布制御および付加ガスの供給を行っても、必ずしもエッチング特性の均一化を達成することができない。
そこで、本実施形態では、第1ガス導入部51および第2ガス導入部52の2系統で比率調整して流すようにしたことに加え、第3ガス導入部53において、付加ガスをウエハW外縁よりも外側に対応する位置に導入するようにした。
これにより、ウエハWの最外周部分におけるエッチング特性を有効に補正して最適化することができ、エッチング特性をより均一にすることができる。すなわち、ウエハWのエッジ領域に付加ガスを供給しても、ウエハWの最外周部分のエッチング特性を補正することは困難であるが、付加ガスをウエハWの外縁から外側の部分に供給することにより、付加ガスがウエハWの最外周部分に有効に作用してその部分のエッチング特性を補正することができる。
また、付加ガスは、ウエハWのミドル領域およびセンター領域にも拡散し、これらの領域の環境にも変化をもたらすが、第1ガス導入部51および第2ガス導入部52の間で処理ガスの比率を調整することによりその影響をキャンセルすることができる。
このようなエッチング特性の補正を図4を参照して具体的に示すと、例えば、SiN膜をエッチングの際に、処理ガスとしてCHガス、CFガス、Arガス、Oガスを用い、付加ガスを用いない場合には、(a)に示すようにエッチングCDがミドル領域を過ぎたあたりから大きく低下する傾向がある。また、上記処理ガスとともに付加ガスとしてCHガスをウエハのエッジ領域に供給した場合には、(b)に示すようにCD分布は改善されるもののウエハWの最外周部分にCDが低下する部分が生じ、この部分はラジカル密度空間分布制御を行っても改善されない。これに対して、本実施形態のように、ウエハWの外縁よりも外側部分に付加ガスとしてCHガスを供給した場合には、(c)に示すようにウエハWの最外周部分のCD補正効果を最適化することができる。(c)におけるウエハの中心領域のCD低下は、第1ガス導入部51および第2ガス導入部52の間で処理ガスの比率を調整してラジカル密度空間分布制御を行うことにより(d)のように平坦化することができ、CDの均一性を極めて高くすることができる。なお、図4は概略の傾向を模式的に示したものである。
また、プロセスによっては付加ガスを用いる必要のない場合もあるが、その場合に、単に付加ガスの供給を停止したのでは、第3ガス拡散室40cに対応するガス吐出孔17に何も流れない状態となり、この状態でチャンバ1内にプラズマを生成すると、プラズマが第3ガス拡散室40cに対応するガス吐出孔17にプラズマが入り込み、異常放電が生じたり、デポが生じたりする。
そこで、本実施形態では、付加ガスを供給するガス供給配管76と分岐管64bとの間をバイパス配管80で接続し、切換バルブ81により第3ガス拡散室40cに付加ガスと処理ガスとを選択的に供給することができるようにし、付加ガスを流す必要のない場合に、切換バルブ81をバイパス配管80側にして第3ガス拡散室40cに処理ガスを流すことにより、このような異常放電やデポの問題を解消することができる。
次に、本発明を想到するに至った実験結果について詳細に説明する。
ここでは、Si基板上にSiN膜を200nm、その上にポリビニルアルコール系の樹脂膜(OPL)を287nm、さらにその上に反射防止膜(Si−ARC)を80nm形成し、さらにその上にフォトレジスト膜(PR)を形成した後、フォトレジスト膜をフォトリソグラフィー工程によりCD(ライン幅)80nmにパターン化した300mmウエハを用い、シャワーヘッド16の最外側のガス吐出孔がウエハ配置領域内(中心から140mm)である以外は図1と同様の装置を用いて、SiN膜までエッチングした。このとき、処理ガスとしてCHFガス、CFガス、Arガス、およびOガスを用い、これらの流量をCHF/CF/Ar/O=30/90/600/15mL/min(sccm)とし、処理ガスの比率をセンター:エッジ=45:55とし、チャンバ内圧力:16.6Pa(125mTorr)、高周波パワー:600Wの条件でエッチングを行った。なお、その結果、図5の走査型電子顕微鏡(SEM)写真で示すように、パターンが密(Dense)の部分および疎(Isolation)の部分のいずれも、中心領域に比べて周辺領域のライン部分が細くなることが確認された。なお、図中の数字は、左側がトップのCD値(nm)を示し、右側がボトムのCD値(nm)を示す。
次に、同様の装置を用い、処理ガスとしてCHガス、CFガス、Arガス、およびOガスを用い、これらの流量をCH/CF/Ar/O=20/80/150/21mL/min(sccm)とし、ガス比率をセンター:エッジ=45:55とし、チャンバ内圧力:18.6Pa(140mTorr)、高周波パワー:700Wの条件でCD(ライン幅)80nmでSiN膜をエッチングした。この場合のDense部およびIsolation部のウエハ径方向のCDシフト量について図6の(a)、(b)に示す。これら図からもウエハのエッジ部分においてCDが低下することがわかる。
このようなウエハのエッジ部分のCD低下に相関のある指標について調査した結果、図7に示すように、反応生成物(副生成物)であるCN系物質の濃度と相関があり、ウエハのエッジ部分において反応生成物の濃度が低下することが判明した。なお、図7はウエハの0.5mm上の位置についてシミュレーションを行ったデータである。図7の結果から、ウエハエッジ部分におけるCDの低下は、ウエハエッジ部分において反応生成物(CN系物質)の濃度が低下していることに起因するものと推測される。
そこで、上記実験で用いたシャワーヘッド16の最外側のガス吐出孔がウエハ配置領域内(中心から140mm)である装置を用い、付加ガスとして反応生成物であるCN系物質に代わるガスであるCHガスを用いて、処理ガスを供給すると同時にこのCHガスを上記最外側のガス吐出孔(図1の装置における第2ガス拡散室に相当)からウエハのエッジ部分に吐出した。この場合のDense部およびIsolation部のウエハ径方向のCDシフト量について図8の(a)、(b)に示す。これら図から、ウエハのエッジ部分のCDの低下が緩和し、付加ガスによりCDの均一性が改善しているものの、ウエハの最外周部におけるCDの低下が解消されていないことがわかる。
これに対して、本発明に従って付加ガスであるCHガスをウエハの外縁よりも外側部分(中心から156mm)に供給するようにした結果、ウエハの最外周部におけるCDの低下を補正することができた。図9は直接CDシフト量を示したものではないが、CDシフト量と強い相関のあるSiN膜のレジスト膜に対する選択比シフト量を示すものであり、(a)が付加ガスであるCHガスをウエハのエッジ部に供給した場合、(b)はウエハの外縁よりも外側部分に供給した場合を示す。これらの図に示すように、(a)では、ウエハ最外周部で選択比が低下しているのに対し、(b)ではウエハ最外周部で選択比が上昇して最大値を示している。そして、このような状態からは、RDC制御により容易にエッチング特性の均一化を図ることができる。
以上は、雰囲気中の反応生成物(副生成物)の濃度がエッジ部分のエッチング特性に影響を与えていた場合であるが、膜の成分そのものの濃度がエッジ部分のエッチング特性に影響を与えることもある。例えば、アモルファスカーボン(a−C)や有機膜のエッチングにおいては、膜の成分であるCの濃度がウエハのエッジ部分の雰囲気中で低くなる結果、ウエハのエッジ部分でCDが低下する傾向にある。この場合には、付加ガスとして膜成分であるCを増加させるガス、例えばCOガスを用いることができ、このような付加ガスによりCD等のエッチング特性を均一にすることができる。
次に、本発明を用いて実際にエッチング特性を均一化した実例について説明する。
図10に示すような、Si基板101上にハードマスクとしてSiN膜102を形成し、その上に反射防止膜(BARC)103を形成し、その上にフォトレジスト膜104を形成した後、フォトレジスト膜(PR)104をフォトリソグラフィー工程によりパターン化したウエハサンプルを作成し、図1の装置によりBARC103およびSiN膜102にエッチング処理を施した。
共通条件として、ウエハ温度を60℃、センター領域とエッジ領域との処理ガスの流量比をセンター:エッジ=45:55とし、BARC103のエッチングの際には、処理ガスとしてCFガス、Arガス、Oガスを、それぞれ120mL/min(sccm)、420mL/min(sccm)、15mL/minの流量で供給し、チャンバ内圧力を13.3Pa(100mTorr)、高周波パワーを800Wに設定してプラズマエッチングを行い、SiN膜102のエッチングの際には、処理ガスとしてCHガス、CFガス、Arガス、Oガスを、それぞれ20mL/min(sccm)、80mL/min(sccm)、150mL/min、20mL/minの流量で供給し、さらに第3ガス拡散領域40cを介してウエハ外縁よりも外側部分に付加ガスとしてCN系反応生成物を形成するCHガスを2mL/min(sccm)の流量で供給し、チャンバ内圧力を18.6Pa(140mTorr)、高周波パワーを700Wに設定してプラズマエッチングを行った。なお、BARCのエッチングの際には、第3ガス領域40cから処理ガスを供給した。
その結果、SiN膜102のエッチングの際には、付加ガスとしてのCHガスの作用により、ウエハの最外周部分のCDが補正されて均一性の高いエッチングを実現することができた。また、BARC103のエッチングの際には、付加ガスを供給しなかったが、付加ガス供給用の第3ガス拡散領域40cから処理ガスを吐出したので、異常放電やデポは生じなかった。
次に、図11に示すような、Si基板201上にハードマスクとしてSiN膜202を形成し、その上にアモルファスカーボン(a−C)膜203を形成し、その上にSiO膜204を形成し、さらにその上にフォトレジスト膜(PR)205を形成した後、フォトレジスト膜205をフォトリソグラフィー工程によりパターン化したウエハサンプルを作成し、図1の装置によりSiO膜204およびa−C膜203にエッチング処理を施した。
共通条件として、ウエハ温度を20℃、センター領域とエッジ領域との処理ガスの流量比をセンター:エッジ=50:50とし、SiO膜204のエッチングの際には、処理ガスとしてCFガスを150mL/min(sccm)の流量で供給し、チャンバ内圧力を10.6Pa(80mTorr)、高周波パワーを400Wに設定してプラズマエッチングを行い、a−C膜203のエッチングの際には、処理ガスとしてOガス、Arガスを、それぞれ180mL/min(sccm)、300mL/min(sccm)の流量で供給し、さらに第3ガス拡散領域40cを介してウエハ外縁よりも外側部分に付加ガスとして雰囲気中の膜成分を増加させるガスであるCOガスを200mL/min(sccm)の流量で供給し、チャンバ内圧力を4.0Pa(30mTorr)、高周波パワーを500Wに設定してプラズマエッチングを行った。なお、SiO膜204のエッチングの際には、第3ガス領域40cから処理ガスを供給した。
その結果、a−C膜203のエッチングの際には、付加ガスとしてのCOガスの作用により、ウエハの最外周部分のCDが補正されて均一性の高いエッチングを実現することができた。また、SiO膜204のエッチングの際には、付加ガスを供給しなかったが、付加ガス供給用の第3ガス拡散領域40cから処理ガスを吐出したので、異常放電やデポは生じなかった。
次に、図12に示すような、Si基板301上にハードマスクとしてSiN膜302を形成し、その上にポリビニルアルコール系の樹脂膜(OPL)303を形成し、その上に反射防止膜(Si−ARC)304を形成し、さらにその上にフォトレジスト膜305を形成した後、フォトレジスト膜305をフォトリソグラフィー工程によりパターン化したウエハサンプルを作成し、図1の装置によりSi−ARC304およびOPL303にエッチング処理を施した。
共通条件として、ウエハ温度を20℃、センター領域とエッジ領域との処理ガスの流量比をセンター:エッジ=50:50とし、Si−ARC304のエッチングの際には、処理ガスとしてCFガスを150mL/min(sccm)の流量で供給し、チャンバ内圧力を10.6Pa(80mTorr)、高周波パワーを400Wに設定してプラズマエッチングを行い、樹脂膜303のエッチングの際には、処理ガスとしてNガス、Oガス、Hガスを、それぞれ200mL/min(sccm)、18mL/min(sccm)、100mL/min(sccm)の流量で供給し、さらに第3ガス拡散領域40cを介してウエハ外縁よりも外側部分に付加ガスとして雰囲気中の膜成分を増加させるガスであるCOガスを40mL/min(sccm)の流量で供給し、チャンバ内圧力を2.3Pa(17mTorr)、高周波パワーを300Wに設定してプラズマエッチングを行った。なお、Si−ARC304のエッチングの際には、第3ガス領域40cから処理ガスを供給した。
その結果、OPL303のエッチングの際には、付加ガスとしてのCOガスの作用により、ウエハの最外周部分のCDが補正されて均一性の高いエッチングを実現することができた。また、Si−ARC304のエッチングの際には、付加ガスを供給しなかったが、付加ガス供給用の第3ガス拡散領域40cから処理ガスを吐出したので、異常放電やデポは生じなかった。
なお、本発明は上記実施形態に限定されることなく種々変形可能である。例えば、上記実施形態では、ウエハの中心領域に対応する第1ガス導入部51とウエハの周辺領域に対応する第2ガス導入部52に処理ガスを分流させたが、3つ以上の領域に分流させてもよい。また、必ずしもガス導入部が同心状に形成されている必要はない。また、上記実施形態では処理ガスおよび付加ガスをシャワーヘッドから導入したが、必ずしもシャワーヘッドでなくてもよく、例えば、単に配管からこれらガスを導入するようにしてもよい。
また、上記実施形態ではプラズマエッチング処理について示したが、これに限るものではなくプラズマCVD処理等の他のプラズマ処理でもよく、また、熱CVD等の非プラズマ処理であってもよい。
さらに、上記実施形態では、被処理基板として半導体ウエハを用いた例を示したが、これに限るものではなく、FPD(フラットパネルディスプレイ)用基板等、他の基板であってもよい。
本発明の一実施形態に係るガス供給装置が適用された基板処理装置としてのプラズマエッチング装置を示す概略断面図。 図1のプラズマエッチング装置におけるシャワーヘッドの底面図。 図1のプラズマエッチング装置に搭載されたガス供給装置の構成を示す模式図。 図1の装置を用いてエッチング特性の補正を行った例を模式的に示す図。 図1の装置を用いてSiN膜を付加ガスを用いずにエッチングした場合の中心領域と周辺領域のエッチングパターンの状態を示す走査型電子顕微鏡写真。 図1の装置を用いてSiN膜を付加ガスを用いずにエッチングした場合のDense部およびIsolation部のウエハ径方向のCDシフト量を示す図。 図1の装置を用いてSiN膜を付加ガスを用いずにエッチングした場合の反応生成物であるCN系物質の濃度分布のシミュレーション結果を示す図。 図1の装置を用いてSiN膜を付加ガスとしてCHガスを用いてエッチングした場合のDense部およびIsolation部のウエハ径方向のCDシフト量を示す図。 図1の装置を用いてSiN膜をエッチングする際に、付加ガスであるCHをウエハのエッジ部に供給した場合とウエハの外縁よりも外側部分に供給した場合とで、ウエハ径方向の選択比シフト量を示す図。 本発明により実際にエッチング特性を均一化した際に用いたサンプル構造例を示す図。 本発明により実際にエッチング特性を均一化した際に用いたサンプル構造例を示す図。 本発明により実際にエッチング特性を均一化した際に用いたサンプル構造例を示す図。
符号の説明
1;チャンバ
2;支持テーブル(電極)
10;高周波電源
16;シャワーヘッド
16a;シャワーヘッド本体
17;ガス吐出孔
20;排気装置
40;ガス拡散空間
40a;第1ガス拡散空間
40b;第2ガス拡散空間
40c;第3ガス拡散空間
51;第1ガス導入部
52;第2ガス導入部
53;第3ガス導入部
60;ガス供給装置
64;ガス供給管
64a,64b;分流管
66;処理ガス供給部
67a,67b,67c;処理ガス供給源
71;分流量調整機構
75;付加ガス供給部
76;ガス供給配管
77;付加ガス供給源
80;バイパス配管
81;切換バルブ
W;半導体ウエハ(被処理基板)

Claims (16)

  1. 被処理基板が配置された処理室内にガスを供給するガス供給装置であって、
    前記処理室内において、その中に配置された被処理基板に対向して設けられ、前記処理室内にガスを導入する複数のガス導入部を有するガス導入部材と、
    被処理基板を処理する処理ガスを前記ガス導入部材に向けて供給する処理ガス供給部と、
    前記処理ガス供給部からの処理ガスを流す処理ガス供給流路と、
    前記処理ガス供給流路から分岐し、前記ガス導入部材に接続され、所定の分流割合で前記処理ガスを供給する複数の分岐流路と、
    前記処理ガスによる処理特性を調整するための付加ガスを前記ガス導入部材に向けて供給する付加ガス供給部と、
    前記付加ガス供給部からの付加ガスを流し、前記ガス導入部材に接続された付加ガス供給流路とを具備し、
    前記複数のガス導入部は、前記被処理基板の配置領域にガスを供給する複数の内側ガス導入部と、前記被処理基板の外縁よりも外側の領域にガスを導入する外側ガス導入部を有し、前記複数の分岐流路は前記複数の内側ガス導入部に接続され、前記付加ガス供給流路は前記外側ガス導入部に接続されており、
    前記外側ガス導入部に前記付加ガスと前記処理ガスを選択的に供給可能な切換機構を有することを特徴とするガス供給装置。
  2. 前記ガス導入部材は、複数のガス導入部が同心状に設けられ、最外側が前記外側ガス導入部であり、その内側に複数の内側ガス導入部が配置されていることを特徴とする請求項1に記載のガス供給装置。
  3. 前記ガス導入部材は、内部にガス拡散空間を有し、下壁に複数のガス吐出孔を有するシャワーヘッドを構成し、前記ガス拡散空間が前記複数のガス導入部に対応して複数のガス拡散室に区画されていることを特徴とする請求項1または請求項2に記載のガス供給装置。
  4. 前記ガス導入部材の前記複数のガス導入部は、前記内側ガス導入部として、前記被処理基板の中心領域に前記処理ガスを導入する第1ガス導入部と、前記被処理基板の周辺領域に前記処理ガスを導入する第2ガス導入部とを有し、前記第2ガス導入部の外側に前記外側ガス導入部として第3ガス導入部を有し、
    前記複数の分岐流路は、第1分岐流路と第2分岐流路とを有し、これらはそれぞれ前記第1ガス導入部および前記第2ガス導入部に接続されていることを特徴とする請求項1から請求項3のいずれか1項に記載のガス供給装置。
  5. 前記切換機構は、前記付加ガス供給流路と前記処理ガス供給流路またはいずれかの前記分岐流路とを繋ぐバイパス配管と、前記付加ガス供給流路側および前記バイパス配管側の接続を選択的に切り換えるバイパスバルブとを有することを特徴とする請求項1から請求項4のいずれか1項に記載のガス供給装置。
  6. 被処理基板が配置された処理室内にガスを供給するガス供給装置であって、
    被処理基板を処理する処理ガスを前記処理室に向けて供給する処理ガス供給部と、
    前記処理室に処理ガスを導入する処理ガス導入部と、
    前記処理ガス供給部からの処理ガスを前記処理ガス導入部へ供給する処理ガス供給路と、
    前記処理ガスによる処理特性を調整するための付加ガスを前記処理室に向けて供給する付加ガス供給部と、
    前記処理室に付加ガスを導入する付加ガス導入部と、
    前記付加ガス供給部からの付加ガスを前記付加ガス導入部へ供給する付加ガス供給路と、
    前記付加ガスを処理容器内に導入しない場合に、前記付加ガス導入部へ処理ガスが流れるように切り換える切換機構と
    を具備し、
    前記処理ガス供給路は、前記処理ガス導入部から延びる主流路と、主流路から分岐した複数の分岐流路とを有し、前記処理ガス導入部は前記分岐流路に対応して複数設けられ、
    前記処理室内において、その中に配置された被処理基板に対向して設けられ、前記処理室内にガスを導入するガス導入部材をさらに具備し、前記ガス導入部材は、前記複数の処理ガス導入部および前記付加ガス導入部を有しており、
    前記ガス導入部材は、内部にガス拡散空間を有し、下壁に複数のガス吐出孔を有するシャワーヘッドを構成し、前記ガス拡散空間が前記複数の処理ガス導入部および前記付加ガス導入部に対応して複数のガス拡散室に区画され、
    前記ガス導入部材の前記複数の処理ガス導入部は、前記被処理基板の中心領域に前記処理ガスを導入する第1ガス導入部と、前記被処理基板の周辺領域に前記処理ガスを導入する第2ガス導入部とを有し、前記付加ガス導入部は前記第2ガス導入部の外側に設けられ、前記被処理基板の外縁よりも外側の領域に付加ガスを供給することを特徴とするガス供給装置。
  7. 前記切換機構は、前記付加ガス供給流路と前記処理ガス供給流路とを繋ぐバイパス配管と、前記付加ガス供給流路側および前記バイパス配管側の接続を選択的に切り換えるバイパスバルブとを有することを特徴とする請求項に記載のガス供給装置。
  8. 前記付加ガスは、前記処理ガスとは異なるガスであることを特徴とする請求項1から請求項のいずれか1項に記載のガス供給装置。
  9. 前記処理ガスは複数のガスを含み、前記付加ガスは、これら複数のガスと異なるガス、または前記処理ガスを構成するガスの一部であることを特徴とする請求項1から請求項のいずれか1項に記載のガス供給装置。
  10. その中に被処理基板が配置される処理室と、
    前記処理室内にガスを供給するガス供給装置と
    を具備し、
    前記ガス供給装置から被処理基板を処理するための処理ガスを前記処理室内に供給して被処理基板に所定の処理を施す基板処理装置であって、
    前記ガス供給装置は、
    前記処理室内において、その中に配置された被処理基板に対向して設けられ、前記処理室内にガスを導入する複数のガス導入部を有するガス導入部材と、
    被処理基板を処理する処理ガスを前記ガス導入部材に向けて供給する処理ガス供給部と、
    前記処理ガス供給部からの処理ガスを流す処理ガス供給流路と、
    前記処理ガス供給流路から分岐し、前記ガス導入部材の前記複数のガス導入部に接続され、所定の分流割合で前記処理ガスを供給する複数の分岐流路と、
    前記処理ガスによる処理特性を調整するための付加ガスを前記ガス導入部材に向けて供給する付加ガス供給部と、
    前記付加ガス供給部からの付加ガスを流し、前記ガス導入部材に接続された付加ガス供給流路とを備え、
    前記ガス導入部材は、前記処理室に配置された被処理基板の外縁よりも外側の領域にガスを導入する外側ガス導入部を有し、前記付加ガス供給流路は、前記外側ガス導入部に接続されており、
    前記外側ガス導入部に前記付加ガスと前記処理ガスを選択的に供給可能な切換機構を有することを特徴とする基板処理装置。
  11. その中に被処理基板が配置される処理室と、
    前記処理室内にガスを供給するガス供給装置と
    を具備し、
    前記ガス供給装置から被処理基板を処理するための処理ガスを前記処理室内に供給して被処理基板に所定の処理を施す基板処理装置であって、
    前記ガス供給装置は、
    被処理基板を処理する処理ガスを前記処理室に向けて供給する処理ガス供給部と、
    前記処理室に処理ガスを導入する処理ガス導入部と、
    前記処理ガス供給部からの処理ガスを前記処理ガス導入部へ供給する処理ガス供給路と、
    前記処理ガスによる処理特性を調整するための付加ガスを前記処理室に向けて供給する付加ガス供給部と、
    前記処理室に付加ガスを導入する付加ガス導入部と、
    前記付加ガス供給部からの付加ガスを前記付加ガス導入部へ供給する付加ガス供給路と、
    前記付加ガスを処理容器内に導入しない場合に、前記付加ガス導入部へ処理ガスが流れるように切り換える切換機構と
    を備え、
    前記処理ガス供給路は、前記処理ガス導入部から延びる主流路と、主流路から分岐した複数の分岐流路とを有し、前記処理ガス導入部は前記分岐流路に対応して複数設けられ、
    前記処理室内において、その中に配置された被処理基板に対向して設けられ、前記処理室内にガスを導入するガス導入部材をさらに具備し、前記ガス導入部材は、前記複数の処理ガス導入部および前記付加ガス導入部を有しており、
    前記ガス導入部材は、内部にガス拡散空間を有し、下壁に複数のガス吐出孔を有するシャワーヘッドを構成し、前記ガス拡散空間が前記複数の処理ガス導入部および前記付加ガス導入部に対応して複数のガス拡散室に区画され、
    前記ガス導入部材の前記複数の処理ガス導入部は、前記被処理基板の中心領域に前記処理ガスを導入する第1ガス導入部と、前記被処理基板の周辺領域に前記処理ガスを導入する第2ガス導入部とを有し、前記付加ガス導入部は前記第2ガス導入部の外側に設けられ、前記被処理基板の外縁よりも外側の領域に付加ガスを供給することを特徴とする基板処理装置。
  12. 前記処理ガスと前記付加ガスのプラズマを生成するプラズマ生成機構をさらに具備し、生成したプラズマにより被処理基板を処理することを特徴とする請求項10または請求項11に記載の基板処理装置。
  13. 被処理基板が配置された処理容器内に処理ガスを導入して被処理基板に所定の処理を施す基板処理方法であって、
    前記被処理基板の配置領域に所定の分流割合で処理ガスを複数部位から供給し、
    処理ガスによる処理特性を調整するための付加ガスを前記複数部位よりも外側の外側部位から前記被処理基板の外縁よりも外側の領域に供給して、被処理基板に被処理基板の所定の膜をプラズマエッチングするプラズマエッチング処理を施し、
    前記付加ガスは、前記処理室内の被処理基板の周縁部分において反応生成物が低下する場合に、反応生成物を生成する成分を含むガスであることを特徴とする基板処理方法。
  14. 被処理基板が配置された処理容器内に処理ガスを導入して被処理基板に所定の処理を施す基板処理方法であって、
    前記被処理基板の配置領域に所定の分流割合で処理ガスを複数部位から供給し、
    処理ガスによる処理特性を調整するための付加ガスを前記複数部位よりも外側の外側部位から前記被処理基板の外縁よりも外側の領域に供給して、被処理基板に被処理基板の所定の膜をプラズマエッチングするプラズマエッチング処理を施し、
    前記付加ガスは、前記処理室内の被処理基板の周縁部分において被エッチング膜の膜中成分が低下する場合に、膜中成分を生成するガスであることを特徴とする基板処理方法。
  15. 前記複数部位は同心状に設けられ、前記外側部位は前記複数部位の外側に同心状に設けられていることを特徴とする請求項13または請求項14に記載の基板処理方法。
  16. 前記複数部位は、前記被処理基板の中心領域に対応する第1の部位と、前記被処理基板の周辺領域に対応する第2の部位とを有することを特徴とする請求項15に記載の基板処理方法。
JP2007286411A 2007-11-02 2007-11-02 ガス供給装置、基板処理装置および基板処理方法 Active JP5192214B2 (ja)

Priority Applications (8)

Application Number Priority Date Filing Date Title
JP2007286411A JP5192214B2 (ja) 2007-11-02 2007-11-02 ガス供給装置、基板処理装置および基板処理方法
KR1020080106003A KR101061630B1 (ko) 2007-11-02 2008-10-28 가스 공급 장치, 기판 처리 장치 및 기판 처리 방법
CN2008101730557A CN101425450B (zh) 2007-11-02 2008-10-29 气体供给装置、基板处理装置和基板处理方法
CN201310152919.8A CN103325652B (zh) 2007-11-02 2008-10-29 基板处理装置
TW97142043A TWI447806B (zh) 2007-11-02 2008-10-31 A gas supply device, a substrate processing device, and a substrate processing method
US12/262,698 US8430962B2 (en) 2007-11-02 2008-10-31 Gas supply device, substrate processing apparatus and substrate processing method
KR1020100114004A KR101162884B1 (ko) 2007-11-02 2010-11-16 가스 공급 장치, 기판 처리 장치 및 기판 처리 방법
US13/871,470 US8679255B2 (en) 2007-11-02 2013-04-26 Gas supply device, substrate processing apparatus and substrate processing method

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2007286411A JP5192214B2 (ja) 2007-11-02 2007-11-02 ガス供給装置、基板処理装置および基板処理方法

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2013016806A Division JP5580908B2 (ja) 2013-01-31 2013-01-31 ガス供給装置、基板処理装置および基板処理方法

Publications (2)

Publication Number Publication Date
JP2009117477A JP2009117477A (ja) 2009-05-28
JP5192214B2 true JP5192214B2 (ja) 2013-05-08

Family

ID=40588522

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2007286411A Active JP5192214B2 (ja) 2007-11-02 2007-11-02 ガス供給装置、基板処理装置および基板処理方法

Country Status (5)

Country Link
US (2) US8430962B2 (ja)
JP (1) JP5192214B2 (ja)
KR (2) KR101061630B1 (ja)
CN (2) CN101425450B (ja)
TW (1) TWI447806B (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101772723B1 (ko) 2010-06-28 2017-08-29 도쿄엘렉트론가부시키가이샤 플라즈마 처리 방법
KR20180110594A (ko) 2017-03-29 2018-10-10 도쿄엘렉트론가부시키가이샤 기판 처리 장치 및 기판 처리 방법
KR20200035925A (ko) 2017-03-29 2020-04-06 도쿄엘렉트론가부시키가이샤 기판 처리 장치 및 가스 도입판

Families Citing this family (341)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4550507B2 (ja) * 2004-07-26 2010-09-22 株式会社日立ハイテクノロジーズ プラズマ処理装置
US8193096B2 (en) 2004-12-13 2012-06-05 Novellus Systems, Inc. High dose implantation strip (HDIS) in H2 base chemistry
JP5034594B2 (ja) * 2007-03-27 2012-09-26 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
US8440259B2 (en) * 2007-09-05 2013-05-14 Intermolecular, Inc. Vapor based combinatorial processing
JP5192214B2 (ja) * 2007-11-02 2013-05-08 東京エレクトロン株式会社 ガス供給装置、基板処理装置および基板処理方法
EP2309023A1 (en) * 2008-07-30 2011-04-13 Kyocera Corporation Deposition film forming apparatus and deposition film forming method
US8931431B2 (en) * 2009-03-25 2015-01-13 The Regents Of The University Of Michigan Nozzle geometry for organic vapor jet printing
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
KR101065747B1 (ko) * 2009-06-22 2011-09-19 주식회사 티지솔라 균일한 가스 공급수단을 구비하는 플라즈마 장치
KR101110080B1 (ko) * 2009-07-08 2012-03-13 주식회사 유진테크 확산판을 선택적으로 삽입설치하는 기판처리방법
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5410882B2 (ja) * 2009-08-20 2014-02-05 東京エレクトロン株式会社 プラズマエッチング処理装置とプラズマエッチング処理方法
JP5410881B2 (ja) * 2009-08-20 2014-02-05 東京エレクトロン株式会社 プラズマ処理装置とプラズマ処理方法
WO2011021539A1 (ja) 2009-08-20 2011-02-24 東京エレクトロン株式会社 プラズマ処理装置とプラズマ処理方法
US8328980B2 (en) * 2009-09-04 2012-12-11 Lam Research Corporation Apparatus and methods for enhanced fluid delivery on bevel etch applications
US20110143548A1 (en) 2009-12-11 2011-06-16 David Cheung Ultra low silicon loss high dose implant strip
US9441295B2 (en) * 2010-05-14 2016-09-13 Solarcity Corporation Multi-channel gas-delivery system
US9240513B2 (en) 2010-05-14 2016-01-19 Solarcity Corporation Dynamic support system for quartz process chamber
US8869742B2 (en) * 2010-08-04 2014-10-28 Lam Research Corporation Plasma processing chamber with dual axial gas injection and exhaust
CN102206813A (zh) * 2010-08-20 2011-10-05 浙江正泰太阳能科技有限公司 Pecvd***中的气体混合装置、方法和***
JP5689294B2 (ja) 2010-11-25 2015-03-25 東京エレクトロン株式会社 処理装置
JP5709505B2 (ja) * 2010-12-15 2015-04-30 東京エレクトロン株式会社 プラズマ処理装置、プラズマ処理方法、および記憶媒体
JP5902896B2 (ja) * 2011-07-08 2016-04-13 東京エレクトロン株式会社 基板処理装置
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9613825B2 (en) 2011-08-26 2017-04-04 Novellus Systems, Inc. Photoresist strip processes for improved device integrity
JP5860668B2 (ja) * 2011-10-28 2016-02-16 東京エレクトロン株式会社 半導体装置の製造方法
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
KR101356664B1 (ko) * 2012-02-03 2014-02-05 주식회사 유진테크 측방배기 방식 기판처리장치
US20130295283A1 (en) * 2012-05-07 2013-11-07 Pinecone Material Inc. Chemical vapor deposition apparatus with multiple inlets for controlling film thickness and uniformity
JP6157061B2 (ja) 2012-05-11 2017-07-05 東京エレクトロン株式会社 ガス供給装置及び基板処理装置
JP2014003234A (ja) * 2012-06-20 2014-01-09 Tokyo Electron Ltd プラズマ処理装置、及びプラズマ処理方法
US9631273B2 (en) * 2012-07-25 2017-04-25 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus for dielectric deposition process
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP6034655B2 (ja) * 2012-10-25 2016-11-30 東京エレクトロン株式会社 プラズマ処理装置
JP6096470B2 (ja) * 2012-10-29 2017-03-15 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US8944003B2 (en) * 2012-11-16 2015-02-03 Taiwan Semiconductor Manufacturing Company, Ltd. Remote plasma system and method
CN103928284B (zh) * 2013-01-15 2016-04-06 中微半导体设备(上海)有限公司 气体传输装置及其气体分流装置的测试方法
JP6078354B2 (ja) 2013-01-24 2017-02-08 東京エレクトロン株式会社 プラズマ処理装置
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
CN104798446B (zh) * 2013-03-12 2017-09-08 应用材料公司 具有方位角与径向分布控制的多区域气体注入组件
JP6007143B2 (ja) 2013-03-26 2016-10-12 東京エレクトロン株式会社 シャワーヘッド、プラズマ処理装置、及びプラズマ処理方法
JP6107327B2 (ja) * 2013-03-29 2017-04-05 東京エレクトロン株式会社 成膜装置及びガス供給装置並びに成膜方法
JP6027490B2 (ja) * 2013-05-13 2016-11-16 東京エレクトロン株式会社 ガスを供給する方法、及びプラズマ処理装置
JP6030994B2 (ja) * 2013-05-15 2016-11-24 東京エレクトロン株式会社 プラズマエッチング装置及びプラズマエッチング方法
CN104167345B (zh) * 2013-05-17 2016-08-24 中微半导体设备(上海)有限公司 等离子处理装置及其气体输送装置、气体切换方法
US9275869B2 (en) * 2013-08-02 2016-03-01 Lam Research Corporation Fast-gas switching for etching
KR102127715B1 (ko) * 2013-08-09 2020-06-29 에스케이실트론 주식회사 에피텍셜 반응기
JP6158025B2 (ja) * 2013-10-02 2017-07-05 株式会社ニューフレアテクノロジー 成膜装置及び成膜方法
JP5917477B2 (ja) * 2013-11-29 2016-05-18 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及びプログラム
KR101560623B1 (ko) * 2014-01-03 2015-10-15 주식회사 유진테크 기판처리장치 및 기판처리방법
US10100408B2 (en) * 2014-03-03 2018-10-16 Applied Materials, Inc. Edge hump reduction faceplate by plasma modulation
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
JP6289996B2 (ja) * 2014-05-14 2018-03-07 東京エレクトロン株式会社 被エッチング層をエッチングする方法
KR102386812B1 (ko) 2014-05-16 2022-04-15 어플라이드 머티어리얼스, 인코포레이티드 샤워헤드 설계
US9514954B2 (en) 2014-06-10 2016-12-06 Lam Research Corporation Peroxide-vapor treatment for enhancing photoresist-strip performance and modifying organic films
US9840777B2 (en) * 2014-06-27 2017-12-12 Applied Materials, Inc. Apparatus for radical-based deposition of dielectric films
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
JP2016036018A (ja) * 2014-07-31 2016-03-17 東京エレクトロン株式会社 プラズマ処理装置及びガス供給部材
JP6359913B2 (ja) * 2014-08-12 2018-07-18 東京エレクトロン株式会社 処理装置
JP6346849B2 (ja) * 2014-08-20 2018-06-20 東京エレクトロン株式会社 ガス供給系、プラズマ処理装置、及びプラズマ処理装置の運用方法
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
JP6305314B2 (ja) * 2014-10-29 2018-04-04 東京エレクトロン株式会社 成膜装置およびシャワーヘッド
WO2016131190A1 (en) 2015-02-17 2016-08-25 Solarcity Corporation Method and system for improving solar cell manufacturing yield
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10755902B2 (en) * 2015-05-27 2020-08-25 Tokyo Electron Limited Plasma processing apparatus and focus ring
US20160359080A1 (en) 2015-06-07 2016-12-08 Solarcity Corporation System, method and apparatus for chemical vapor deposition
TWI723024B (zh) 2015-06-26 2021-04-01 美商應用材料股份有限公司 用於改良的氣體分配的遞迴注入設備
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
CN105132889B (zh) * 2015-09-14 2017-11-21 沈阳拓荆科技有限公司 应用于薄膜沉积装置喷淋头中的双气路中心进气结构
TWI548773B (zh) * 2015-10-14 2016-09-11 財團法人工業技術研究院 氣體分佈裝置
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
JP6573559B2 (ja) * 2016-03-03 2019-09-11 東京エレクトロン株式会社 気化原料供給装置及びこれを用いた基板処理装置
JP6590735B2 (ja) 2016-03-04 2019-10-16 東京エレクトロン株式会社 混合ガス複数系統供給システム及びこれを用いた基板処理装置
JP6242933B2 (ja) * 2016-03-31 2017-12-06 株式会社日立国際電気 基板処理装置、半導体装置の製造方法およびプログラム
TWI677593B (zh) * 2016-04-01 2019-11-21 美商應用材料股份有限公司 用於提供均勻流動的氣體的設備及方法
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10504754B2 (en) * 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9748434B1 (en) 2016-05-24 2017-08-29 Tesla, Inc. Systems, method and apparatus for curing conductive paste
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
CN105834171B (zh) * 2016-05-27 2019-01-22 山东华光光电子股份有限公司 一种利用mocvd设备对石墨托盘进行腐蚀清洗的方法
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9954136B2 (en) 2016-08-03 2018-04-24 Tesla, Inc. Cassette optimized for an inline annealing system
CN107919298B (zh) * 2016-10-08 2021-01-29 北京北方华创微电子装备有限公司 气相刻蚀装置及设备
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10115856B2 (en) 2016-10-31 2018-10-30 Tesla, Inc. System and method for curing conductive paste using induction heating
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11694911B2 (en) * 2016-12-20 2023-07-04 Lam Research Corporation Systems and methods for metastable activated radical selective strip and etch using dual plenum showerhead
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
JP6836959B2 (ja) * 2017-05-16 2021-03-03 東京エレクトロン株式会社 プラズマ処理装置、処理システム、及び、多孔質膜をエッチングする方法
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
KR102493945B1 (ko) * 2017-06-06 2023-01-30 어플라이드 머티어리얼스, 인코포레이티드 Teos 유동의 독립적 제어를 통한 증착 반경방향 및 에지 프로파일 튜닝가능성
WO2019000164A1 (zh) * 2017-06-26 2019-01-03 深圳市柔宇科技有限公司 等离子体设备和等离子体处理方法
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
CN110085510B (zh) * 2018-01-26 2021-06-04 沈阳硅基科技有限公司 一种多层单晶硅薄膜的制备方法
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) * 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
JP7101024B2 (ja) * 2018-04-03 2022-07-14 東京エレクトロン株式会社 温調システム
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
TW202020218A (zh) * 2018-09-14 2020-06-01 美商應用材料股份有限公司 用於多流前驅物配分劑量的裝置
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
KR102641752B1 (ko) * 2018-11-21 2024-03-04 삼성전자주식회사 가스 주입 모듈, 기판 처리 장치, 및 그를 이용한 반도체 소자의 제조방법
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20210127768A (ko) * 2019-03-11 2021-10-22 어플라이드 머티어리얼스, 인코포레이티드 기판 프로세싱 챔버들을 위한 덮개 조립체 장치 및 방법들
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11367594B2 (en) * 2019-11-27 2022-06-21 Applied Materials, Inc. Multizone flow gasbox for processing chamber
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
CN113013011B (zh) * 2019-12-20 2022-11-29 中微半导体设备(上海)股份有限公司 气体分配装置及等离子体处理装置
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
GB202001781D0 (en) * 2020-02-10 2020-03-25 Spts Technologies Ltd Pe-Cvd apparatus and method
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20220164035A (ko) * 2020-05-08 2022-12-12 가부시키가이샤 뉴플레어 테크놀로지 성막 장치 및 플레이트
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
JP2022040737A (ja) * 2020-08-31 2022-03-11 株式会社Screenホールディングス 基板処理装置
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
KR20220077875A (ko) * 2020-12-02 2022-06-09 에이에스엠 아이피 홀딩 비.브이. 샤워헤드 어셈블리용 세정 고정구
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
KR102581895B1 (ko) * 2020-12-29 2023-09-22 세메스 주식회사 챔버 내 압력을 제어하기 위한 압력 조절 장치 및 이를 포함하는 기판 처리 장치
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
JP2023094256A (ja) 2021-12-23 2023-07-05 東京エレクトロン株式会社 プラズマ処理装置及びガス供給方法
CN114774887A (zh) * 2022-06-22 2022-07-22 拓荆科技(北京)有限公司 气体传输装置、方法和半导体沉积设备
US11940819B1 (en) * 2023-01-20 2024-03-26 Applied Materials, Inc. Mass flow controller based fast gas exchange

Family Cites Families (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07142449A (ja) 1993-11-22 1995-06-02 Kawasaki Steel Corp プラズマエッチング装置
US5589002A (en) 1994-03-24 1996-12-31 Applied Materials, Inc. Gas distribution plate for semiconductor wafer processing apparatus with means for inhibiting arcing
JP3468859B2 (ja) 1994-08-16 2003-11-17 富士通株式会社 気相処理装置及び気相処理方法
JPH0896987A (ja) * 1994-09-21 1996-04-12 Mitsubishi Electric Corp プラズマ処理装置
JPH0945624A (ja) * 1995-07-27 1997-02-14 Tokyo Electron Ltd 枚葉式の熱処理装置
EP0854210B1 (en) * 1996-12-19 2002-03-27 Toshiba Ceramics Co., Ltd. Vapor deposition apparatus for forming thin film
US5877090A (en) 1997-06-03 1999-03-02 Applied Materials, Inc. Selective plasma etching of silicon nitride in presence of silicon or silicon oxides using mixture of NH3 or SF6 and HBR and N2
US6009830A (en) 1997-11-21 2000-01-04 Applied Materials Inc. Independent gas feeds in a plasma reactor
JP2000028996A (ja) 1998-07-09 2000-01-28 Denso Corp マトリクス型液晶表示装置
US6277235B1 (en) 1998-08-11 2001-08-21 Novellus Systems, Inc. In situ plasma clean gas injection
JP4487338B2 (ja) 1999-08-31 2010-06-23 東京エレクトロン株式会社 成膜処理装置及び成膜処理方法
US6444039B1 (en) 2000-03-07 2002-09-03 Simplus Systems Corporation Three-dimensional showerhead apparatus
US6537419B1 (en) 2000-04-26 2003-03-25 David W. Kinnard Gas distribution plate assembly for providing laminar gas flow across the surface of a substrate
US6632322B1 (en) 2000-06-30 2003-10-14 Lam Research Corporation Switched uniformity control
JP2002155366A (ja) 2000-11-15 2002-05-31 Tokyo Electron Ltd 枚葉式熱処理方法および枚葉式熱処理装置
JP2002261036A (ja) 2001-02-28 2002-09-13 Dainippon Screen Mfg Co Ltd 熱処理装置
JP2002280357A (ja) 2001-03-21 2002-09-27 Sony Corp プラズマエッチング装置およびエッチング方法
JP4106948B2 (ja) 2002-03-29 2008-06-25 東京エレクトロン株式会社 被処理体の跳上り検出装置、被処理体の跳上り検出方法、プラズマ処理装置及びプラズマ処理方法
JP3856730B2 (ja) * 2002-06-03 2006-12-13 東京エレクトロン株式会社 流量制御装置を備えたガス供給設備からのチャンバーへのガス分流供給方法。
US20040050325A1 (en) * 2002-09-12 2004-03-18 Samoilov Arkadii V. Apparatus and method for delivering process gas to a substrate processing system
JP4454964B2 (ja) 2003-06-09 2010-04-21 東京エレクトロン株式会社 分圧制御システム及び流量制御システム
JP4550507B2 (ja) 2004-07-26 2010-09-22 株式会社日立ハイテクノロジーズ プラズマ処理装置
US20060124169A1 (en) 2004-12-09 2006-06-15 Tokyo Electron Limited Gas supply unit, substrate processing apparatus, and supply gas setting method
JP4358727B2 (ja) 2004-12-09 2009-11-04 東京エレクトロン株式会社 ガス供給装置,基板処理装置及び供給ガス設定方法
JP2007088411A (ja) 2005-06-28 2007-04-05 Hitachi High-Technologies Corp 静電吸着装置およびウエハ処理装置ならびにプラズマ処理方法
US8088248B2 (en) * 2006-01-11 2012-01-03 Lam Research Corporation Gas switching section including valves having different flow coefficients for gas distribution system
JP4895167B2 (ja) 2006-01-31 2012-03-14 東京エレクトロン株式会社 ガス供給装置,基板処理装置,ガス供給方法
JP4911982B2 (ja) 2006-02-06 2012-04-04 東京エレクトロン株式会社 ガス供給装置,基板処理装置,ガス供給方法及びガス供給制御方法
JP4911984B2 (ja) 2006-02-08 2012-04-04 東京エレクトロン株式会社 ガス供給装置,基板処理装置,ガス供給方法及びシャワーヘッド
US8231799B2 (en) * 2006-04-28 2012-07-31 Applied Materials, Inc. Plasma reactor apparatus with multiple gas injection zones having time-changing separate configurable gas compositions for each zone
US20080078746A1 (en) * 2006-08-15 2008-04-03 Noriiki Masuda Substrate processing system, gas supply unit, method of substrate processing, computer program, and storage medium
US8235001B2 (en) * 2007-04-02 2012-08-07 Hitachi Kokusai Electric Inc. Substrate processing apparatus and method for manufacturing semiconductor device
JP5192214B2 (ja) * 2007-11-02 2013-05-08 東京エレクトロン株式会社 ガス供給装置、基板処理装置および基板処理方法
US8236133B2 (en) * 2008-05-05 2012-08-07 Applied Materials, Inc. Plasma reactor with center-fed multiple zone gas distribution for improved uniformity of critical dimension bias
US8551248B2 (en) * 2010-04-19 2013-10-08 Texas Instruments Incorporated Showerhead for CVD depositions

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101772723B1 (ko) 2010-06-28 2017-08-29 도쿄엘렉트론가부시키가이샤 플라즈마 처리 방법
KR20180110594A (ko) 2017-03-29 2018-10-10 도쿄엘렉트론가부시키가이샤 기판 처리 장치 및 기판 처리 방법
US10541145B2 (en) 2017-03-29 2020-01-21 Tokyo Electron Limited Substrate processing apparatus and substrate processing method
KR20200035925A (ko) 2017-03-29 2020-04-06 도쿄엘렉트론가부시키가이샤 기판 처리 장치 및 가스 도입판
US10985029B2 (en) 2017-03-29 2021-04-20 Tokyo Electron Limited Substrate processing apparatus and substrate processing method

Also Published As

Publication number Publication date
TW200931517A (en) 2009-07-16
US20130237058A1 (en) 2013-09-12
TWI447806B (zh) 2014-08-01
JP2009117477A (ja) 2009-05-28
US8679255B2 (en) 2014-03-25
KR101162884B1 (ko) 2012-07-05
KR20100136951A (ko) 2010-12-29
US20090117746A1 (en) 2009-05-07
CN101425450B (zh) 2013-06-05
CN103325652B (zh) 2016-05-25
KR101061630B1 (ko) 2011-09-01
US8430962B2 (en) 2013-04-30
CN103325652A (zh) 2013-09-25
CN101425450A (zh) 2009-05-06
KR20090045856A (ko) 2009-05-08

Similar Documents

Publication Publication Date Title
JP5192214B2 (ja) ガス供給装置、基板処理装置および基板処理方法
US7815740B2 (en) Substrate mounting table, substrate processing apparatus and substrate processing method
JP5709505B2 (ja) プラズマ処理装置、プラズマ処理方法、および記憶媒体
TWI686863B (zh) 蝕刻有機膜之方法
JP2012015451A (ja) 基板処理装置及び基板処理方法
TW201438062A (zh) 使用雙射頻偏壓頻率施加方式的非晶碳沉積方法
JP2009239012A (ja) プラズマ処理装置及びプラズマエッチング方法
US20150228457A1 (en) Gas supply method and plasma processing apparatus
US11462412B2 (en) Etching method
JP7174634B2 (ja) 膜をエッチングする方法
US10553409B2 (en) Method of cleaning plasma processing apparatus
US9818582B2 (en) Plasma processing method
KR102661835B1 (ko) 플라즈마 에칭 방법 및 플라즈마 에칭 장치
JP5580908B2 (ja) ガス供給装置、基板処理装置および基板処理方法
US20190198336A1 (en) Etching method
JP2023053351A (ja) プラズマ処理装置
JP7308110B2 (ja) シリコン酸化膜をエッチングする方法及びプラズマ処理装置
US20230390811A1 (en) Throttle valve and foreline cleaning using a microwave source
JP5047644B2 (ja) プラズマエッチング方法、プラズマエッチング装置、制御プログラム及びコンピュータ記憶媒体
JP2020126899A (ja) 基板処理方法及び基板処理装置
JP2007242753A (ja) プラズマエッチング方法、プラズマエッチング装置、制御プログラム及びコンピュータ記憶媒体

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20101004

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20110801

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20121002

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20121127

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20130108

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20130131

R150 Certificate of patent or registration of utility model

Ref document number: 5192214

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20160208

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250