JP5179200B2 - 高性能cmos技術のための低コストの歪みsoi基板 - Google Patents

高性能cmos技術のための低コストの歪みsoi基板 Download PDF

Info

Publication number
JP5179200B2
JP5179200B2 JP2008000979A JP2008000979A JP5179200B2 JP 5179200 B2 JP5179200 B2 JP 5179200B2 JP 2008000979 A JP2008000979 A JP 2008000979A JP 2008000979 A JP2008000979 A JP 2008000979A JP 5179200 B2 JP5179200 B2 JP 5179200B2
Authority
JP
Japan
Prior art keywords
layer
forming
stress film
strain
semiconductor layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2008000979A
Other languages
English (en)
Other versions
JP2008172234A (ja
Inventor
メイケイ・イーオン
アルバート・エム・ヤング
リーゼン・シャイ
アンナ・ダブリュー・トポル
ダグラス・シー・ラテュリペ・ジュニア
ジェームス・ヴィシコンティ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JP2008172234A publication Critical patent/JP2008172234A/ja
Application granted granted Critical
Publication of JP5179200B2 publication Critical patent/JP5179200B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02658Pretreatments
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02664Aftertreatments
    • H01L21/02667Crystallisation or recrystallisation of non-monocrystalline semiconductor materials, e.g. regrowth
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7843Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being an applied insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823878Complementary field-effect transistors, e.g. CMOS isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Ceramic Engineering (AREA)
  • Thin Film Transistor (AREA)
  • Recrystallisation Techniques (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Description

本発明は、半導体製造に関し、より特定的には、歪み半導体オン・インシュレータ(strainedsemiconductor-on-insulator、SSOI)基板を製造する方法に関する。本発明はまた、SSOI基板の上にデバイスを製造する方法も提供する。
半導体産業においては、金属酸化膜半導体電界効果トランジスタ(MOSFET)の半導体チャネルに歪みを導入することによって、デバイス性能を高めることができることがよく知られている。歪み半導体チャネルは、例えば、緩和されたSiGe材料上にSiをエピタキシャルに堆積させることによって生成することができる。歪みは、SiとSiGeとの間の格子面間隔の違いから生じる。
従来の歪みSiは、典型的には、比較的厚い(約500nm又はそれより大きいオーダーの)SiGe層を用いて、比較的薄い(約20nm又はそれより少ないオーダーの)Siの上部層上に歪みを与える。より大きいGe原子が、Siの上部格子を引き伸ばすか又は歪ませ、結果としてトランジスタが顕著に改善される。しかしながら、SiGe層の存在により、材料とプロセスの統合問題がもたらされる。高性能の相補型金属酸化膜半導体(CMOS)技術のために活性Si及びSiGe層を薄層化する必要性が、SiGe層の上にトランジスタを構築するアプローチを非常に困難にしている。
歪み半導体ダイレクトリ・オン・インシュレータ(strained semiconductor directly-on-insulator、SSDOI、又は単にSSOI)構造はSiGe層を迂回し、これにより、より高いデバイス性能が提供されると同時に、材料とプロセスの統合問題が排除される。
従来技術において、SSOIは、典型的には、層転写プロセスを用いて製造される。このようなプロセスにおいては、最初に、極薄のSi層(約30nm又はそれより少ないオーダーの)が、緩和されたSiGe層上にエピタキシャルに形成される(すなわち、層ごとの成長)。次に、酸化物層が、極薄の歪みSi層の上に形成される。水素がSiGe層にイオン注入された後、ウェハが反転され、ハンドル基板に接合される。高温(800℃又はそれより高いオーダーの)プロセスが、元のウェハの大部分を剥離により除去し、酸化物層の上に歪みSi及びSiGe層を残す。代替的に、化学的表面活性化方法を用いて、より低温(約200℃から約400℃までのオーダーの)でウェハを剥離することもできる。次いで、SiGe層が完全に除去され、トランジスタが、残りの極薄の歪みSi上に製造される。
SSOI基板を製造する上述の従来技術の方法は、エピタキシャル成長及びその後のウェハ接合プロセスを必要とするため、複雑で費用がかかる。したがって、エピタキシャル成長及びウェハ接合を用いる必要性を排除する、費用対効果が大きく、かつ、新しく改善されたSSOI(又は、SSDOI)を製造する方法が必要とされる。
本発明は、エピタキシャル成長及びその後のウェハ接合処理ステップを回避するSSOI構造を製造する、費用対効果が大きく簡単な方法を提供する。本発明によると、SOI基板上に歪み半導体領域を生成するために、歪み記憶技術が用いられる。半導体領域が歪んでいるので、歪み半導体領域上に形成されたトランジスタは、より高いキャリア移動度を有する。
本発明の方法は、(i)薄いアモルファス化層を生成するための、SOI基板の上部半導体層へのイオン注入と、(ii)アモルファス化層上への高応力膜の堆積と、(iii)アモルファス化層を再結晶させるための熱アニールと、(iV)高応力膜の除去とを含む。再結晶プロセスの間、SOI基板は応力を受けたので、最終的な半導体デバイス(すなわち、SOI)層も、応力を受ける。応力の量及び応力の極性(引張又は圧縮)は、高応力膜のタイプ及び厚さによって制御することができる。本発明において用いられる高応力膜は、窒化物、酸化物、又は他の高応力誘電体材料から構成することができる。
大まかに言うと、本発明の方法は、
半導体オン・インシュレータの上部半導体層の上面上にアモルファス化層を形成するステップと、
アモルファス化層上に高応力膜を形成するステップと、
再結晶化アニールを行うステップであって、上部半導体層及びアモルファス化アニールは、高応力膜のものと同じ歪み極性を有する歪み半導体層に再結晶化される、ステップと、
高応力膜を除去するステップと
を含む。
一連の繰り返される堆積及びパターン形成プロセスを用いて、局所化された応力領域を形成し、選択された領域に引張歪み半導体領域及び圧縮歪み半導体領域を生成することが可能である。最適化されたデバイス性能のために、引張歪み半導体領域の上にnFETを構築することができ、圧縮歪み半導体領域の上にpFETを構築することができる。
ここで、歪み半導体オン・インシュレータ(SSOI)基板を製造する方法、及びSSOI基板の上にデバイスを製造する方法を提供する本発明が、本出願に添付する以下の説明及び図面を参照することによって、より詳細に説明される。本出願の図面は、例証目的のための提供されるものであり、よって、縮尺通りに描かれていないことが留意される。
以下の説明においては、本発明の完全な理解を提供するために、特定の構造体、構成要素、材料、寸法、処理ステップ及び技術などの多数の特定の詳細が示される。しかしながら、これらの特定の詳細なしで、実行可能な代替的なプロセスの選択肢を用いて本発明を実施できることが、当業者には理解されるであろう。他の例においては、本発明を不明瞭にするのを避けるために、周知の構造体又は処理ステップは詳細に説明されていない。
層、領域、又は基板のような要素が、別の要素「上に(on)」又は別の要素「の上に(over)」あるものとして言及されるとき、該要素が他の要素の真上にあってもよく、介在する要素が存在してもよいことが理解されるであろう。対照的に、要素が別の要素の「真上に(directlyon)」又は別の要素の「すぐ上に(directly over)」あるものとして言及されるとき、介在する要素は存在しない。要素が、別の要素の「下に(beneath)」又は「下方に(under)」あるものとして言及されるとき、該要素が他の要素の真下又は下方にあってもよく、或いは介在する要素が存在してもよいことも理解されるであろう。対照的に、要素が別の要素の「真下に(directlybeneath)」又は「すぐ下方に(directly under)」あるものとして言及されるとき、介在する要素は存在しない。
ここで、本出願において用いられる初めの半導体オン・インシュレータ(SOI)基板10を示す図1を参照する。SOI基板10は、下部半導体層12と、埋込み絶縁層14と、上部半導体層16とを含む。結晶若しくは非結晶酸化物、或いは、結晶若しくは非結晶窒化物を含む埋込み絶縁層14は、上部半導体層16を下部半導体層12から分離する。埋込み絶縁層14は、酸化物であることが好ましい。埋込み絶縁層14は、(示されるように)連続的なものであっても、又は非連続的なものであってもよい。非連続的な埋込み絶縁層があるとき、埋込み絶縁層は、半導体材料で囲まれた別個のアイランドとして存在する。
上部半導体層16及び下部半導体層12は、同じ又は異なる半導体材料、好ましくは同じ半導体材料を含むことができる。SOI基板10の上部及び下部半導体層として用い得る適切な半導体材料は、これらに限られるものではないが、Si、Ge、SiGe、SiC、SiGeC、Ga、GaAs、InAs、InP及び他の全てのIII/V族化合物半導体を含む。典型的には、SOI基板10の上部及び下部半導体層は、Si含有半導体材料であり、Siが最も好ましい。
SOI基板10の半導体層は、単結晶配向を有してもよく、又は、異なる結晶配向から構成されてもよい。本発明のさらに別の実施形態においては、上部半導体層16は、異なる結晶配向の領域を有し、このことは、FETの性能を高める特定の結晶方位の上にFETを製造することを可能にする。例えば、(110)結晶方位の領域上にpFETを形成することができ、(100)結晶方位の領域上にnFETを形成することができる構造体を提供することを可能にする「ハイブリッド」SOI基板を形成することができる。
SOI基板は、例えば、酸素イオン注入による分離(separation by ion implantation of oxygen、SIMOX)プロセスを含む標準的なプロセスを用いて、又は層転写プロセスによって形成することができる。ハイブリッドSOI基板は、同じく当業者には周知の技術を用いて形成することができる。
SOI基板10の上部半導体層16の厚さは、約10nmから約150nmまでであり、約50nmから約100nmまでの厚さが、さらにより典型的である。処理後、SOI基板10の上部半導体層16が、上記の範囲内にない場合には、エッチングのような薄層化ステップを用いて、上述の厚さを有する上部半導体層16を提供することができる。SOI基板10の埋込み絶縁層14は、約25nmから約300nmまでの厚さを有し、約50nmから約100nmまでの厚さが、さらにより典型的である。SOI基板10の下部半導体層12の厚さは、本出願には重要でない。
本発明のこの時点で、当業者には周知の従来の技術を用いて、トレンチ分離領域(図1には示されていない)をSOI基板10内に形成することができる。例えば、リソグラフィ、エッチング、及び酸化物のようなトレンチ充填物でのトレンチ充填によって、トレンチ分離領域を形成することができる。化学機械研磨のような平坦化プロセスが、トレンチ充填ステップの後に続くことも可能である。図6は、トレンチ分離領域20が存在する実施形態を示す。
次に、SOI基板の表面に、すなわち上部半導体層16の表面に、アモルファス化層22が形成され、例えば、図2に示される構造体を提供する。アモルファス化層22は、SOI基板10内に、元素周期表のIV族からの少なくとも1つのイオンのイオン注入によって形成される。アモルファス化層22を形成する際に用い得るIV族イオンの例は、例えば、Si、Ge、C、又はこれらのいずれかの組み合わせを含む。アモルファス化層22は、SOI基板10の上部半導体層16の上面から、上面の下方に約5nmから50nmの深さに至るまで延びる。
上述のように、アモルファス化層22は、SOI基板10の上部半導体層16内に、元素周期表のIV族からの少なくとも1つのイオンのイオン注入によって形成される。アモルファス化層22を形成する際に用いられるイオン注入のための典型的な条件は、約5×1014原子/cmから約5×1015原子/cmまでのイオン・ドーズ量と、約5KeVから約50KeVまでの加速エネルギーとを含む。他の条件が上述のようなアモルファス化層22を形成する場合には、他のイオン注入条件を用いることもできる。
本出願の幾つかの実施形態(図示せず)においては、イオン注入を行う前に、上部半導体層16の上に酸化物を形成することができる。酸化物は、熱酸化プロセス、或いは、例えば、化学気相堆積(CVD)、プラズマ支援化学気相堆積(PECVD)、原子層堆積(ALD)のような従来の堆積プロセスによって形成することができ、酸化物を形成する際に、化学溶液堆積を用いることができる。SOI基板10の上部半導体層16の上に酸化物が存在するとき、酸化物は、典型的に、約2nmから約100nmまでの厚さを有し、約5nmから約20nmまでの厚さが、さらにより典型的である。
形成される酸化物層は、アモルファス化層22を形成した後、構造体内に残してもよく、或いは、アモルファス化層22を形成した後、構造体から完全に又は部分的に除去することができる。従来の剥離プロセスを用いて、酸化物を構造体から完全に除去することができる。酸化物が部分的に除去される場合、構造体内に残すために、酸化物の上にブロックマスクが形成され、その後、従来の剥離プロセスを用いて、ブロックマスクによって保護されていない酸化物を除去する。次に、当業者には周知の従来の手段を用いて、構造体からブロックマスクを除去する。
SOI基板10の上面にアモルファス化層22を少なくとも形成した後、アモルファス化層22の露出された上面に、少なくとも1つの高応力膜24が形成される。高応力膜24を含むこうした構造体が、例えば、図3に示される。「高応力膜」とは、約500MPa又はそれより大きい応力値を有する膜を意味する。少なくとも1つの高応力膜24は、例えば、窒化物、高密度酸化物、又はこれらのいずれかの組み合わせのような、いずれかの応力誘起材料を含むことができる。典型的には、少なくとも1つの高応力膜24は、窒化物である。
高応力膜24は、例えば、低圧CVD(LPCVD)、プラズマ支援CVD(PECVD)、急速加熱CVD(RTCVD)、又は、BTBASベースの(アンモニアと反応したC22Si)CVDなどの種々の化学気相堆積(CVD)プロセスによって形成することができ、ここで、BTBASは、CBDの適用のための新しい有機金属前駆体である。BTBASベースのCVDは、高応力を有する低温窒化膜を提供する。高応力膜24は、引張応力(典型的には、後にnFETが形成されるとき)、又は圧縮応力(典型的には、後にpFETが形成されるとき)がかかった状態にすることができる。
応力膜24の厚さは、堆積される応力膜のタイプ、及び、これを形成する際に用いられる堆積プロセスによって変わり得る。典型的には、応力膜24は、約10nmから約500nmまでの厚さを有し、約20nmから約200nmまでの厚さが、さらにより典型的である
本発明の幾つかの実施形態においては、アモルファス化層22の他の領域に圧縮応力膜を形成しながら、アモルファス化層22のある領域上に引張応力膜を形成することができる。このような構造体は、ブロックマスク及び種々の応力膜の上述の堆積を用いて形成される。
ここで、高温アニール及び応力膜24の除去を行った後に形成される構造体を示す図4を参照する。図4において、参照番号26が、埋込み絶縁層14の上に形成された歪み半導体層を示す。本発明によると、歪み半導体層26は、応力膜24のものと同じ応力値及び極性を有する。
本発明において用いられる高温アニールは、アモルファス化層22を再結晶化することができるアニール・ステップである。高温(又は再結晶化)アニールは、典型的には、約600℃から約1000℃までの温度で行われ、約650℃から約700℃までの温度が、さらにより典型的である。典型的には、アニールは、例えば、He、Ar、Ne、又はこれらの混合物のような不活性雰囲気中で行われる。再結晶化アニールの時間は、アモルファス化層22の厚さ、及びアニール自体の温度によって変わり得る。典型的には、再結晶化アニールの時間は、約1分から約60分までであり、約30分間が、さらにより典型的である。
再結晶化プロセスは、上にある高応力膜24から高応力を受けるので、アモルファス化層22を含む上部半導体層16が、高度に歪んだ半導体層26に再結晶化される。
次に、高応力膜24が、エッチング及び/又は化学機械研磨を含む従来の剥離プロセスを用いて除去され、図4に示される構造体を提供する。
図5は、アモルファス化アニール及び「デュアル」応力層の除去後に、アモルファス化層22のある領域上に引張応力膜が形成され、アモルファス化層22の他の領域上に圧縮応力膜が形成された実施形態を示す。図5において、参照番号26cは、圧縮応力を受けている半導体材料を示し、参照番号26tは、引張応力を受けている半導体材料を示す。
次に、例えば、電界効果トランジスタ(FET)のような少なくとも1つの相補的金属酸化膜半導体(CMOS)デバイスが、歪み半導体層26の活性領域上に形成される。形成されるFETの極性は、高度に歪んだ半導体層26が圧縮歪みを受けているか、又は引張歪みを受けているかによって決まる。歪み半導体層26が圧縮歪みを受けているとき、pFETが上に形成される。歪み半導体層26が引張歪みを受けているとき、nFETが形成される。図6は、引張歪み領域上にnFET28を形成し、圧縮歪み領域上にpFET30を形成した後の図5の構造体を示す。
存在する各々のFETは、従来のCMOSプロセスを用いて形成される。1つの方法は、歪み半導体層26上にゲート誘電体及びゲート導体を含む層状スタックを形成するステップを含む。ゲート誘電体は、酸化のような熱プロセスによって、又は化学気相堆積(CVD)、プラズマ支援CVD、蒸着、原子層堆積及び他の同様な堆積プロセスのような従来の堆積プロセスによって形成することができる。ゲート導体は、CVD、PECVD、スパッタリング、めっき、蒸着、原子層堆積等のような堆積プロセスによって形成される。ポリSi又はSiGeゲートが用いられるとき、導電性材料は、その場(in-situ)ドープすることができ、又はイオン注入による堆積後に生じてもよい。注入マスク及びイオン注入は、異なる導電率のFETを形成するために用いられる。層状スタックの形成に続いて、リソグラフィ及びエッチングによって、少なくともゲート導体(及び随意的にゲート誘電体)がパターン形成される。次に、熱プロセスを用いて、不動態化層を形成することができる。その後、イオン注入及びアニールによって、S/D拡張部が形成される。次に、側壁スペーサが、堆積及びエッチングによって形成され、その後、S/D領域が、イオン注入及びアニールによって形成される。S/D拡張部を活性化させるために用いられるアニール・ステップを省略し、S/D領域の活性化の際に活性化が行われてもよい。
FETを形成する別のプロセスは、置換ゲート・プロセスを含む。置換ゲート・プロセスは、非常に短いチャネル長(約0.5ミクロン又はそれより小さいオーダーの)を有するFETを提供するための手段を提供する。置換ゲート・プロセスは、歪み半導体層上にダミー・ゲート領域を形成することと、ダミー・ゲート領域を含む歪み半導体層上に平坦化誘電体を形成することと、構造体を平坦化して、ダミー・ゲート領域の上部を露出させることと、ダミー・ゲート領域を除去することと、平坦化誘電体の露出された側壁上にスペーサを形成することと、その後にゲート誘電体及びゲート導体を形成することとを含む。
ゲート誘電体、ゲート導体及びスペーサの材料は、従来のものであり、当業者には周知である。例えば、ゲート誘電体は、酸化物、窒化物、酸窒化物、又はそれらの組み合わせ及び多層構造とすることができる。ゲート導体は、ドープされたポリSi、ドープされたSiGe、元素状金属、少なくとも1つの元素状金属を含む合金、金属シリサイド、金属窒化物、又はそれらの多層構造を含むことができる。拡散障壁が、随意的に存在し、多層の導電性スタックを分離してもよい。スペーサは、絶縁酸化物、窒化物、又は酸窒化物からなる。
上記の実施形態は、応力膜24がSOI基板の上に形成される場合を示すが、本発明は、応力膜がSOI基板の下に形成される場合、又は応力膜がSOI基板の上下に形成される場合も考える。歪みシリコン層の位置は、イオン注入ステップ中及びアモルファス化層形成ステップ中に、注入エネルギー及びドーズ量を調整することよって変更することができる。
本発明は、特にその好ましい実施形態に関して示され、説明されたが、当業者であれば、本発明の精神及び範囲から逸脱することなく、形態及び細部における上記の及び他の変更をなし得ることを理解するであろう。したがって、本発明は、本明細書に説明され、示された正確な形態及び細部に限定されるものではなく、添付の特許請求の範囲に含まれることが意図される。
本発明において用い得る初めのSOI基板を示す(断面図による)図形表示である。 SOI基板の上面にアモルファス化層を形成した後の図1の構造体を示す(断面図による)図形表示である。 高応力膜を堆積した後の図2の構造体を示す(断面図による)図形表示である。 再結晶アニール及び高応力膜の除去を行った後の図3の構造体を示す(断面図による)図形表示である。 本発明の方法を用いて形成することができる歪みSOI基板を示す(断面図による)図形表示であり、示される実施形態において、歪みSOI基板は、分離領域によって分離された圧縮歪み領域及び引張歪み領域を含む。 圧縮歪み領域上にpFETを形成し、引張歪み領域上にnFETを形成した後の図5の構造体を示す(断面図による)図形表示である。
符号の説明
10:半導体オン・インシュレータ(SOI)基板
12:下部半導体層
14:埋込み絶縁層
16:上部半導体層
20:トレンチ分離領域
22:アモルファス化層
24:高応力膜
26:歪み半導体層
26c:圧縮応力を受けている半導体材料
26t:引張応力を受けている半導体材料
28:nFET
30:pFET

Claims (12)

  1. 歪み半導体オン・インシュレータを製造する方法であって、
    半導体オン・インシュレータの上部半導体層の上面にアモルファス化層を形成するステップと、
    前記アモルファス化層上に高応力膜を形成するステップと、
    アニールによって、前記上部半導体層及び前記アモルファス化層を、前記高応力膜のものと同じ歪み極性を有する歪み半導体層に再結晶化する、ステップと、
    前記高応力膜を除去するステップと、
    を含む方法。
  2. 前記アモルファス化層を形成する前記ステップは、元素周期表のIV族から選択される少なくとも1つのイオンのイオン注入を含む、請求項1に記載の方法。
  3. 前記IV族のイオンは、Si、Ge、C、又はそれらのいずれかの組み合わせを含む、請求項2に記載の方法。
  4. 前記イオン注入は、5×1014原子/cmから5×1015原子/cmまでのイオン・ドーズ量及び5KeVから50KeVまでの加速エネルギーを用いて行われる、請求項2に記載の方法。
  5. 前記アモルファス化層を形成する前に、前記上部半導体層上に酸化物層を形成するステップをさらに含む、請求項1に記載の方法。
  6. 前記高応力膜を形成する前記ステップは、低圧CVD(LPCVD)、プラズマ支援CVD(PECVD)、急速加熱CVD(RTCVD)、又はBTBAS(アンモニアと反応したC22Si)ベースのCVDのいずれか一つを含む、請求項1に記載の方法。
  7. 前記高応力膜は、圧縮歪み又は引張歪みを有する、請求項1に記載の方法。
  8. 前記高応力膜を形成する前記ステップは、前記アモルファス化層の選択された領域の上に第1の歪み極性材料を堆積させることと、前記アモルファス化層の他の領域の上に第2の歪み極性材料を堆積させることとを含み、前記第1の歪み極性は前記第2の歪み極性とは異なる、請求項1に記載の方法。
  9. 前記アニールは、600℃から1000℃までの温度で行われる、請求項1に記載の方法。
  10. 歪み半導体オン・インシュレータ上にCMOSデバイスを製造する方法であって、
    半導体オン・インシュレータの上部半導体層の上面にアモルファス化層を形成するステップと、
    前記アモルファス化層上に高応力膜を形成するステップと、
    前記上部半導体層及び前記アモルファス化層を、前記高応力膜のものと同じ歪み極性を有する歪み半導体層に再結晶化する、ステップと、
    前記高応力膜を除去するステップと、
    前記歪み半導体層上に少なくとも1つのCMOSデバイスを形成するステップと
    を含む方法。
  11. 前記第1の歪み極性が圧縮であり、前記第2の歪み極性が引張である、請求項10に記載の方法。
  12. nFETは引張応力領域上に形成され、pFETは圧縮応力領域内に形成される、請求項11に記載の方法。
JP2008000979A 2007-01-12 2008-01-08 高性能cmos技術のための低コストの歪みsoi基板 Expired - Fee Related JP5179200B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/622,543 US7528056B2 (en) 2007-01-12 2007-01-12 Low-cost strained SOI substrate for high-performance CMOS technology
US11/622543 2007-01-12

Publications (2)

Publication Number Publication Date
JP2008172234A JP2008172234A (ja) 2008-07-24
JP5179200B2 true JP5179200B2 (ja) 2013-04-10

Family

ID=39618105

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2008000979A Expired - Fee Related JP5179200B2 (ja) 2007-01-12 2008-01-08 高性能cmos技術のための低コストの歪みsoi基板

Country Status (4)

Country Link
US (1) US7528056B2 (ja)
JP (1) JP5179200B2 (ja)
CN (1) CN101256949B (ja)
TW (1) TW200839934A (ja)

Families Citing this family (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
NZ541666A (en) * 2005-08-05 2008-09-26 Elizabeth Cramer Methods of modulating apoptosis and platelet production using an isolated oligonucleotide, its compliment, a vector with the expression sequence or an isolated polypeptide all relating to cytochrome C
US9386327B2 (en) 2006-05-24 2016-07-05 Time Warner Cable Enterprises Llc Secondary content insertion apparatus and methods
US8280982B2 (en) 2006-05-24 2012-10-02 Time Warner Cable Inc. Personal content server apparatus and methods
US8024762B2 (en) 2006-06-13 2011-09-20 Time Warner Cable Inc. Methods and apparatus for providing virtual content over a network
US8181206B2 (en) 2007-02-28 2012-05-15 Time Warner Cable Inc. Personal content server apparatus and methods
WO2016176248A1 (en) * 2015-04-29 2016-11-03 Zeno Semiconductor, Inc. A mosfet and memory cell having improved drain current through back bias application
US8969969B2 (en) 2009-03-20 2015-03-03 International Business Machines Corporation High threshold voltage NMOS transistors for low power IC technology
US8202767B2 (en) 2010-05-28 2012-06-19 International Business Machines Corporation Device and method of reducing junction leakage
US8383474B2 (en) 2010-05-28 2013-02-26 International Business Machines Corporation Thin channel device and fabrication method with a reverse embedded stressor
US9461169B2 (en) 2010-05-28 2016-10-04 Globalfoundries Inc. Device and method for fabricating thin semiconductor channel and buried strain memorization layer
JP5073014B2 (ja) 2010-06-11 2012-11-14 株式会社東芝 半導体装置およびその製造方法
CN102420138A (zh) * 2010-09-25 2012-04-18 中芯国际集成电路制造(上海)有限公司 晶体管的制作方法
EP2743965B1 (en) * 2012-12-13 2015-07-08 Imec Method for manufacturing semiconductor devices
US20140282786A1 (en) 2013-03-12 2014-09-18 Time Warner Cable Enterprises Llc Methods and apparatus for providing and uploading content to personalized network storage
FR3003686B1 (fr) * 2013-03-20 2016-11-04 St Microelectronics Crolles 2 Sas Procede de formation d'une couche de silicium contraint
US9219150B1 (en) 2014-09-18 2015-12-22 Soitec Method for fabricating semiconductor structures including fin structures with different strain states, and related semiconductor structures
US9209301B1 (en) * 2014-09-18 2015-12-08 Soitec Method for fabricating semiconductor layers including transistor channels having different strain states, and related semiconductor layers
KR20160034492A (ko) * 2014-09-19 2016-03-30 삼성전자주식회사 반도체 소자의 패턴 형성 방법 및 이를 이용하여 형성된 반도체 소자
CN104713931B (zh) * 2015-03-27 2017-09-01 中国科学院上海微***与信息技术研究所 基于sSOI MOSFET的生物传感器及其制备方法
CN106098609B (zh) * 2016-06-20 2019-03-26 西安电子科技大学 基于非晶化与尺度效应的AlN埋绝缘层上晶圆级单轴应变Si的制作方法
CN106098613B (zh) * 2016-06-20 2019-03-26 西安电子科技大学 基于非晶化与尺度效应的AlN埋绝缘层上晶圆级单轴应变SiGe的制作方法
US11444153B2 (en) * 2019-08-16 2022-09-13 Applied Materials, Inc. Method of forming stress memorization layer on backside of semiconductor substrate and semiconductor device thereof
FR3108787B1 (fr) * 2020-03-31 2022-04-01 Commissariat Energie Atomique Procédé basse température de transfert et de guérison d’une couche semi-conductrice

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05190449A (ja) * 1992-01-09 1993-07-30 Nippondenso Co Ltd 半導体薄膜の製造方法
JP3157985B2 (ja) * 1993-06-10 2001-04-23 三菱電機株式会社 薄膜トランジスタおよびその製造方法
KR20010013993A (ko) * 1997-06-19 2001-02-26 야마모토 카즈모토 Soi 기판과 그 제조 방법, 및 반도체 디바이스와 그제조 방법
JPH1140786A (ja) * 1997-07-18 1999-02-12 Denso Corp 半導体基板及びその製造方法
US6534380B1 (en) * 1997-07-18 2003-03-18 Denso Corporation Semiconductor substrate and method of manufacturing the same
US6703265B2 (en) * 2000-08-02 2004-03-09 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method of manufacturing the same
US6855436B2 (en) * 2003-05-30 2005-02-15 International Business Machines Corporation Formation of silicon-germanium-on-insulator (SGOI) by an integral high temperature SIMOX-Ge interdiffusion anneal
JP3925905B2 (ja) * 2001-12-27 2007-06-06 株式会社トクヤマ 基板の製造方法
JP2004134773A (ja) * 2002-09-18 2004-04-30 Sanyo Electric Co Ltd 半導体装置の製造方法
US6707106B1 (en) * 2002-10-18 2004-03-16 Advanced Micro Devices, Inc. Semiconductor device with tensile strain silicon introduced by compressive material in a buried oxide layer
US6774015B1 (en) * 2002-12-19 2004-08-10 International Business Machines Corporation Strained silicon-on-insulator (SSOI) and method to form the same
US7183611B2 (en) * 2003-06-03 2007-02-27 Micron Technology, Inc. SRAM constructions, and electronic systems comprising SRAM constructions
CN1265433C (zh) * 2003-07-29 2006-07-19 上海新傲科技有限公司 一种厚膜图形化绝缘体上的硅材料的制备方法
US7892905B2 (en) * 2005-08-02 2011-02-22 Globalfoundries Singapore Pte. Ltd. Formation of strained Si channel and Si1-xGex source/drain structures using laser annealing
US7265004B2 (en) * 2005-11-14 2007-09-04 Freescale Semiconductor, Inc. Electronic devices including a semiconductor layer and a process for forming the same
DE102005057074B4 (de) * 2005-11-30 2009-07-23 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Reduzieren von Kristalldefekten in verformten Transistoren durch eine geneigte Voramorphisierung

Also Published As

Publication number Publication date
TW200839934A (en) 2008-10-01
CN101256949B (zh) 2010-09-29
JP2008172234A (ja) 2008-07-24
US20080171423A1 (en) 2008-07-17
US7528056B2 (en) 2009-05-05
CN101256949A (zh) 2008-09-03

Similar Documents

Publication Publication Date Title
JP5179200B2 (ja) 高性能cmos技術のための低コストの歪みsoi基板
US7585704B2 (en) Method of producing highly strained PECVD silicon nitride thin films at low temperature
US8466473B2 (en) Structure and method for Vt tuning and short channel control with high k/metal gate MOSFETs
US7173312B2 (en) Structure and method to generate local mechanical gate stress for MOSFET channel mobility modification
US7763510B1 (en) Method for PFET enhancement
US9076867B2 (en) Semiconductor device structures including strained transistor channels
US20090072312A1 (en) Metal High-K (MHK) Dual Gate Stress Engineering Using Hybrid Orientation (HOT) CMOS
US9373548B2 (en) CMOS circuit having a tensile stress layer overlying an NMOS transistor and overlapping a portion of compressive stress layer
US20080128765A1 (en) MOSFET Device With Localized Stressor
JP2007533119A (ja) Si:C−OIおよびSGOI上のシリコン・デバイスならびに製造方法
US8124486B2 (en) Method to enhance channel stress in CMOS processes
JP2013545289A (ja) SiGeチャネルを有するpFET接合プロフィールのための方法および構造体
US20100078687A1 (en) Method for Transistor Fabrication with Optimized Performance
US9054188B2 (en) Curved wafer processing on method and apparatus
JPWO2008117464A1 (ja) 半導体装置およびその製造方法
US8450171B2 (en) Strained semiconductor device and method of making same
US20090315115A1 (en) Implantation for shallow trench isolation (STI) formation and for stress for transistor performance enhancement
JP4558841B2 (ja) 簡単化されたデュアル応力ライナ構成を用いる向上した性能をもつ半導体構造体
US8361889B2 (en) Strained semiconductor-on-insulator by addition and removal of atoms in a semiconductor-on-insulator
JP2006229197A (ja) ガラス上の歪シリコン上のcmosデバイスの製造方法
JP2008147445A (ja) 半導体装置及びその製造方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20100824

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20121218

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20130109

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees