JP4949628B2 - Cmosプロセス中に歪み半導基板層を保護する方法 - Google Patents

Cmosプロセス中に歪み半導基板層を保護する方法 Download PDF

Info

Publication number
JP4949628B2
JP4949628B2 JP2004571905A JP2004571905A JP4949628B2 JP 4949628 B2 JP4949628 B2 JP 4949628B2 JP 2004571905 A JP2004571905 A JP 2004571905A JP 2004571905 A JP2004571905 A JP 2004571905A JP 4949628 B2 JP4949628 B2 JP 4949628B2
Authority
JP
Japan
Prior art keywords
layer
silicon
strained
oxide
thickness
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP2004571905A
Other languages
English (en)
Other versions
JP2006511096A (ja
JP2006511096A5 (ja
Inventor
キュリー,マシュー,ティー
ロックトフェルド,アンソニー,ジェイ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of JP2006511096A publication Critical patent/JP2006511096A/ja
Publication of JP2006511096A5 publication Critical patent/JP2006511096A5/ja
Application granted granted Critical
Publication of JP4949628B2 publication Critical patent/JP4949628B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02373Group 14 semiconducting materials
    • H01L21/02381Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02441Group 14 semiconducting materials
    • H01L21/0245Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02494Structure
    • H01L21/02496Layer structure
    • H01L21/0251Graded layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02664Aftertreatments
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • H01L21/26513Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors of electrically active species
    • H01L21/2652Through-implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/1054Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure with a variation of the composition, e.g. channel with strained layer for increasing the mobility
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02538Group 13/15 materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Ceramic Engineering (AREA)
  • Recrystallisation Techniques (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Formation Of Insulating Films (AREA)

Description

関連出願の相互参照
本出願は、2002年10月30日に出願された米国仮出願第60/422468号明細書の利益および優先権を主張し、その開示内容は、参照により本発明に組み込まれている。
技術分野
本発明は、一般には、半導体基板に関し、具体的には、半導体基板上での誘電体層の形成に関する。
近年、マイクロエレクトロニクスデバイスの動作速度が増加しかつ計算機能力が向上していることによって、このようなマイクロエレクトロニクスデバイスにおけるスタート基板として使用される半導体構造の複雑さおよび機能性を増大させる必要が生じている。
シリコンおよびゲルマニウムをベースとしたこのような「バーチャル基板」によって、バルクSi基板上に製造されるデバイスに比してより良好な性能を示す、新世代の超大規模集積回路(「VLSI」)デバイスのためのプラットフォームが得られる。特に、新しい技術的進歩によって、シリコン-ゲルマニウム合金(以下、「SiGe」または「Si1−xGe」)を使用したヘテロ構造の形成が可能となり、さらに、Siの原子構造を変化させて電子および正孔の移動度を増加させることによって、半導体デバイスの性能は向上する。
SiGeバーチャル基板の重要な要素は、その平衡格子定数(つまり、Siの格子定数よりも大きな格子定数)にまで緩和されたSiGeヘテロ構造の層である。このSiGe層は、Si基板に(例えばウェハボンディングまたは直接エピタキシ法によって)直接的に適用するか、または層の厚み方向にSiGe材料の格子定数が次第に増加する緩和傾斜SiGeバッファ層上に適用することができる。SiGeバーチャル基板は、シリコン-オン-インシュレータ(SOI)ウェハの形態で、埋込絶縁層を組み込んでいてもよい。高性能デバイスをこれらのプラットフォーム上に製造するためには、Si、GeまたはSiGeのような半導体の薄い歪み層を緩和SiGeバーチャル基板上に成長させる。これにより得られる2軸の引張り歪みまたは圧縮歪みによって、層内でのキャリアの移動度は変化し、高速のかつ/または電力消費量の小さいデバイスの製造が可能となる。SiGe中のGeの割合および堆積の方法は、歪みSi層の性質に大きく影響しうる。参照により本発明に組み込まれている米国特許第5442205号明細書「Semiconductor Heterostructure Devices with Strained Semiconductor Layers」は、歪みSiデバイス構造を製造するこのような方法の1つを記載している。
バルクSi上に緩和SiGe層をエピタキシャル成長させる方法は、参照により本願に組み込まれている国際公開第01/22482号パンフレット「Method of Producing Relaxed Silicon Germanium Layers」で議論されている。この方法は、単結晶Si基板を設けること、続いてGe組成物に対してGeClのソースガスを使用して、Si基板上で1123K(850℃)を超える温度で、1マイクロメートルあたりのGeの濃度傾斜が25%より小さくかつ最終のGe組成が0.1<x<1の範囲にあるような増加するGe濃度を有する傾斜Si1−xGe層をエピタキシャル成長させること、さらにその傾斜層上に半導体材料をエピタキシャル成長させることを含む。
バルクSi上に緩和SiGe層をエピタキシャル成長させる別の方法は、参照により本願に組み込まれているM. Kummerらによる論文「Low Energy plasma enhanced chemical vapor deposition」(Mat. Sci. and Eng. B89、2002、288〜95頁)で議論されていて、低エネルギープラズマエンハンスド化学蒸着(LEPECVD)が開示されている。この方法によって、バルクSi上にSiGe層を高い成長率(1分間に0.6μm)および低い温度(773〜1023K(500〜750℃))で形成させることが可能となる。
傾斜SiGe層上に高品質の薄いエピタキシャル歪みSi層を成長させるには、好ましくは、SiGe層を平坦化するもしくはスムージングして、最終の歪みSi基板の表面粗さを低下させる。典型的には、一般的な化学機械研磨(「CMP」)による方法が、半導体製造プロセスにおいて粗さを減少させかつ表面の平坦性を向上させるために用いられる。参照により本発明に組み込まれている米国特許第6107653号明細書「Controlling Threading Dislocations in Ge on Si Using Graded GeSi Layers and Planarization」には、SiGe傾斜層の品質を向上させるために使用できる平坦化の工程について記載されている。
歪みSiウェハを製造するのに適した1つの方法は、以下のステップを含む。
1.エッジ研磨されたシリコン基板を提供するステップ、
2.シリコン基板上に緩和傾斜SiGeバッファ層を最終Ge組成までエピタキシャル堆積させるステップ、
3.傾斜SiGeバッファ層上に、一定組成を有する緩和Si1−xGeキャップ層をエピタキシャル堆積させるステップ、
4.例えばCMPによってSi1−xGeキャップ層および/または緩和傾斜SiGeバッファ層を平坦化するもしくはスムージングするステップ、
5.平坦化されたSi1−xGeキャップ層の表面上に一定組成を有する緩和Si1−xGe再成長層をエピタキシャル堆積させるステップ、および
6.Si1−xGe再成長層上に歪みシリコン層をエピタキシャル堆積させるステップ。
上記ステップ2に記載のように、格子不整合の小さな境界面を連続させて漸次的に歪みを導入することによって、組成が傾斜している傾斜層によって大きな格子不整合を有する単結晶半導体層を一般的な基板上に組み込むための実施可能な手段を提供し、モノリシックな組み込みによって機能性を増大させる手段を提供する。歪みおよびバンドギャップ技術の両方を利用して、変調ドープFET(MODFET)および金属-酸化物-半導体FET(MOSFET)を、高性能のアナログまたはデジタル式の応用のために調整することができる。しかし、これらのデバイスは、相補型MOS(CMOS)技術で通常利用されるSi基板上ではなくSi/SiGeバーチャル基板上に製造するので、プロセス上での新たな課題が生じる。
例えば、表面付近の薄い歪みヘテロエピタキシャル層が緩和SiGeバーチャル基板上に形成されたデバイスの臨界部分を構成していることによって、このような構造のためのプロセスウィンドウは制限される。特に、プロセス中に表面付近の歪み層の消費を回避することが望まれる。従来のCMOSプロセスでは、典型的には表面基板材料の大部分が消費されてしまうので、従来のシリコンをベースとしたCMOSプロセスフローは上記の層に対して不適となりうる。このような消費は、熱酸化ステップによって起こる。イオン注入ステップ中、例えば熱成長させた薄い酸化物をスクリーン層(「保護層」とも呼ばれる)として使用する。この保護層は、後続の熱アニール中にも、ドーパントの外への拡散の防止を助成する。また、シャロートレンチアイソレーション(STI)形成のための窒化シリコントレンチマスク層の下の応力緩和下層として、熱成長させたパッド酸化物を使用する。しかし、この熱酸化ステップは、典型的には、数十ナノメートル(数百オングストローム)となる表面Si材料全体を除去しうる。したがって、デバイスチャンネルに利用できるように薄い歪み層(例えば開始厚みが5〜20nm(50〜200Å))の最終の最小厚み5nm(50Å)を必要とするような、SiGeバーチャル基板上に形成された薄い表面層を組み込んでいるウェハを処理する場合には、熱酸化は望ましくない。
したがって、この分野では、基板の最上面に近接する材料の消費を最小限にする半導体構造の形成方法が必要である。
発明の概要
したがって、本発明の課題は、公知の方法の限界を克服した歪み半導体層を有する半導体構造を形成する方法を提供することである。特に、本発明の様々な態様では、例えば酸化物層のような誘電体層を提供する方法であって、Si/SiGeヘテロ構造をベースとしたウェハ材料中において表面材料が許容されない程度にまで多量に消費されることを回避する方法を、当業者により公知の様々な中間CMOS熱酸化ステップの代わりにまたはその補助として提案する。まず、化学蒸着(CVD)のような酸化物堆積方法を使用することによって、任意の厚さの誘電体層を、表面シリコンの消費がほとんどまたは全くないように形成することができる。これらの層、 例えばスクリーン酸化物層およびパッド酸化物層のような酸化物層は、表面層と反応させかつこれを消費することによってではなく、表面層上に堆積させることによって形成する。別の態様では、酸化物の堆積は、短時間の熱酸化ステップ、例えば急速熱酸化によって行う。この場合、短時間の熱酸化では、表面Siがほとんど消費されず、Si/酸化物境界面は高品質となる。酸化物は、堆積によって所望の最終厚みにまで厚みを増すことができる。さらに、薄い熱酸化物はバリヤ層として働き、これにより、後続の酸化物堆積に関連した汚染が防止される。
一態様では、一般に、半導体構造を形成する方法は、基板上に歪み半導体層を形成すること、および歪み半導体層の最上面の少なくとも一部分上にスクリーン層を堆積させることを含む。様々な態様では、スクリーン層の堆積後、歪み半導体の厚みは実質的に変化していない。一態様では、歪み半導体層には、引張り歪みを有していて、この歪み半導体層は、例えば、引張り歪みシリコンまたは引張り歪みシリコン-ゲルマニウム合金からなっている。別の態様では、歪み半導体層には圧縮歪みを有していて、この半導体層は、例えば、圧縮歪みゲルマニウムまたは圧縮歪みシリコン-ゲルマニウム合金からなっている。歪み層は、約5〜約100nm(約50〜約1000Å)の範囲の厚み、例えば約30nm(約300Å)を超えない厚みを有している。特別な態様では、歪み層の厚みは約20nm(約200Å)を超えない。
基板は、シリコンおよびゲルマニウムのうちの少なくとも1つからなっている。一態様では、基板は、歪み半導体層の下に設けられている絶縁層を含む。別の態様では、基板は、歪み半導体層の下に設けられている緩和半導体層を含む。様々な態様で、基板は、緩和半導体層の下に設けられた、組成が傾斜している傾斜層をさらに含むことができる。この傾斜層は、II族、III族、IV族、V族およびVI族の元素のうち少なくとも1つ、例えばシリコンおよびゲルマニウムのうち少なくとも1つからなる。傾斜層の組成は、約10%より高いゲルマニウム濃度となるまで傾斜しており、約0.5〜約10.0μmの範囲の厚みを有している。
スクリーン層を堆積させるステップは、化学蒸着を含む。一態様では、スクリーン層は、例えば酸化シリコン、酸窒化シリコン、酸化シリコンゲルマニウムまたは酸化ゲルマニウムからなる群から選択される酸化物層からなっている。スクリーン層は、約2nm〜約30nm(約20〜約300Å)の範囲の厚みを有している。
様々な態様で、この方法は、半導体構造にドーパントを導入することをさらに含み、この場合、スクリーン層は、ドーパントを散乱させることおよびドーパントのエネルギーを低減させることのうち少なくとも1つによって、構造の少なくとも一部分へのドーパントの導入に影響を与える。この方法は、構造に熱アニールを施すことも含んでおり、この場合、スクリーン層は、ドーパントが基板の少なくとも一部分から外へ拡散することを防止する。
一態様では、スクリーン層を堆積する前に、例えば急速熱酸化によって、酸化物層を歪み半導体層の最上面の一部分上に成長させる。酸化物層の厚みは約0.5nm〜約3nm(約5〜約30Å)の範囲にある。
別の態様では、一般に、構造を形成する方法は、基板上に歪み半導体層を形成すること、この歪み半導体層の最上面の少なくとも一部分上にパッド酸化物層を堆積させること、およびパッド酸化物層上にマスク層を形成することを含む。パッド酸化物層は、歪み半導体層中の応力によって導入された欠陥の形成を実質的に防ぐ。マスク層は、窒化シリコンからなっている。
一態様では、パッド酸化物層を堆積する前に、酸化物層を、例えば急速熱酸化によって、歪み半導体層の最上面の一部分上に成長させる。厚み酸化物層の厚みは、約0.5〜約3nm(約5〜約30Å)の範囲にある。
本発明の上記態様の様々な例では、基板は、シリコンおよびゲルマニウムの少なくとも1つからなっている。一態様では、基板は、歪み半導体層の下に設けられている絶縁層を含む。別の態様では、基板は、歪み半導体層の下に設けられている緩和半導体層を含む。この態様の様々な例では、基板は、緩和半導体層の下に設けられた、組成が傾斜している傾斜層をさらに含む。傾斜層は、II族、III族、IV族、V族およびVI族の元素のうち少なくとも1つ、例えばシリコンおよびゲルマニウムのうちの少なくとも1つからなっている。
傾斜層は、約10%より高いゲルマニウム濃度まで組成傾斜しており、約0.5〜約10.0μmの範囲の厚みを有している。
歪み半導体層には引張り歪みを有していて、この歪み半導体層は、例えば、引張り歪みシリコンまたは引張り歪みシリコン-ゲルマニウム合金からなっている。別の態様では、歪み半導体層には圧縮歪みを有していて、この歪み半導体層は、例えば、圧縮歪みゲルマニウムまたは圧縮歪みシリコン-ゲルマニウム合金からなっている。このような歪み層は、約5〜100nm(約50〜約1000Å)の範囲の、例えば約30nm(約300Å)を超えない厚みを有している。特別な態様では、歪み層の厚みは、約20nm(約200Å)を超えない。
様々な態様では、パッド酸化物層の堆積後、歪み半導体の厚みは実質的に変化していない。パッド酸化物層は、例えば化学蒸着によって堆積させることができる。パッド酸化物層は、酸化シリコン、酸窒化シリコン、酸化シリコンゲルマニウムまたは酸化ゲルマニウムからなり、約5〜約50nm(約50〜約500Å)の範囲の厚みを有している。
図面においては基本的に、各図面を通じて同じ参照符号が同じ部分を示す。また、図面の縮尺を評価する必要はなく、その代わりに本発明の原理を例示することを重視している。以下において、本発明の様々な態様を図面に基づいて説明する。
本発明の様々な態様で、半導体基板上に堆積させた層は、従来の方法で成長させる層に代えて用いることができ、これにより基板表面材料の消費が減少する。本発明が有する様々な特徴は、例えば基板内および/または基板上のSi、Si1−xGeおよび/またはGe層を含むMOSデバイスを利用する応用に極めてよく適するものである。「MOS」という用語は、ここでは、少なくとも絶縁層によって半導体チャンネル層から隔離されている導電体ゲートを備えている半導体デバイスを一般的に指す。「SiGe」、「Si1−xGe」および「Si1−yGe」は、シリコン-ゲルマニウム合金を指す。
図1Aに、本発明の使用に適したエピタキシャルウェハ100を示す。この図を参照すると、符号101で全体を示す複数の層が、基板106上に設けられていて、かつ歪み層102および緩和層104を含む。基板106は、半導体、例えばシリコン、例えばSiOのような絶縁体上に堆積させたシリコンまたはシリコン-ゲルマニウム 合金からなっている。一実施態様では、層101は、基板106上でエピタキシャル成長している。この態様では、層101および基板106を、合わせて「バーチャル基板」と呼ぶことができる。
以下、引張り歪みを有している歪み層102について議論するが、歪み層102は、引張り歪みを有していても圧縮歪みを有していてもよい。歪み層102は、それを形成する材料の平衡格子定数とは異なる格子定数を有しており、引張り歪みを有していても圧縮歪みを有していてもよい。また、緩和層104は、それを形成する材料の平衡格子定数と等しい格子定数を有している。引張り歪み層102は、緩和層104と境界面108を共有している。
基板106および緩和層104は、II族、III族、IV族、V族およびVI族の元素の様々な組合せを含む様々な材料系から形成することができる。例えば、基板106および緩和層104のそれぞれは、III−V化合物からなっている。基板106は、ガリウムヒ素(GaAs)からなっており、緩和層104は、インジウムガリウムヒ素(InGaAs)またはアルミニウムガリウムヒ素(AlGaAs)からなっている。これらの例は、単に例示的なものであって、別の多くの材料系が適している。
様々な態様で、緩和層104は、例えば、0.1<x<0.9の範囲のGeを含有していてかつ例えば0.2〜2μmの厚みTを有する均一な組成のSi1−xGeからなっている。一態様では、Tは約1.5μmである。
歪み層102は、半導体、例えばII属、III族、IV族、V族およびVI族の元素の少なくとも1つからなっている。歪み半導体層102は、例えばSi、Ge、SiGe、GaAs、インジウムリン(InP)および/または亜鉛セレン(ZnSe)からなっている。いくつかの態様では、歪み半導体層102は、Ge約100%からなっており、圧縮歪みを有している。Ge100% からなっている歪み半導体層102は、例えば緩和層104上に形成されており、この緩和層104は、例えば50〜90%(つまりx=0.5〜0.9)、好ましくは70%(つまりx=0.7)のGe含有量を有する均一なSi1−xGeを含有している。
様々な態様で、引張り歪み層102はシリコンから形成されている。引張り歪み層102は、例えば5〜100nm(50〜1000Å)の厚みTを有している。特別な態様では、厚みTは、約30nm(約300Å)より小さく、好ましくは20nm(200Å)より小さい。歪み層102がシリコン以外の材料からなっている態様では、薄いシリコンキャップ層を、歪み層102上に堆積させる。このシリコンキャップ層は、例えば約0.5〜約5nm(約5〜約50Å)の範囲の厚みを有している。
緩和層104および歪み層102からなっているエピタキシャル成長層101は、あらゆる適切なエピタキシャル堆積システムを用いて成長させることができ、そのシステムには、常圧CVD(APCVD)、低圧(もしくは減圧)CVD(LPCVD)、超高真空CVD(UHVCVD)分子線ビームエピタキシ(MBE)または原子層堆積(ALD)が含まれるが、システムはこれらに限定されることはない。エピタキシャル成長システムには、シングルウェハバッチ反応装置またはマルチウェハバッチ反応装置を用いることができる。成長システムは、層成長速度を増大させるために、低エネルギープラズマを利用することもできる。
製造上の利用において体積エピタキシ法に対して使用される適切なCVDシステムは、通常、例えばApplied Materials(Santa Clara、CA)のEPI CENTURAシングルウェハマルチチャンバシステムまたはASM International(Bilthoven、オランダ)のEPSILONシングルウェハエピタキシャル反応装置を含む。
CVDプロセスにおいて、エピタキシャル成長を得る場合には、ソースガスをチャンバに導入する。このソースガスは、少なくとも1種の前駆体ガスおよび例えば水素のようなキャリアガスを含む。本発明において層がSiから形成されている態様では、例えばシラン、ジシラン、トリシランまたはジクロロシラン(DCS)、トリクロロシラン(TCS)または四塩化シリコンのようなシリコン前駆体ガスを使用することができる。これに対して、本発明において層がGeから形成されている態様では、例えばゲルマン(GeH)、ジゲルマン、四塩化ゲルマニウムまたはジクロロゲルマンのようなゲルマニウム前駆体ガス、もしくは別のGe含有前駆体を使用することができる。最後に、層がSiGe合金から形成されている態様では、シリコンおよびゲルマニウム前駆体ガスの様々な割合での組合せが使用される。
歪み層102が、実質的にSi100%からなる態様では、歪み層102は、Geソースガスに晒されない堆積装置の専用チャンバ内で形成することができ、これにより、交差汚染が回避され、歪み層102と緩和層104との間の境界面108の品質が向上する。さらに、歪み層102を、同位体に関して純粋な1種または複数のシリコン前駆体から形成することができる。同位体に関して純粋なSiは、従来のSiよりも良好な熱伝導率を有している。高い熱伝導率は、歪み層102上に続いて形成されるデバイスからの熱の発散を助成し、これにより歪み層102によって高められたキャリアの移動度が維持される。
様々な態様では、緩和層104および/または歪み層102を、後続のウェハボンディングの品質を向上させるために平坦化するもしくはスムージングすることができる。平坦化もしくはスムージングは、CMPによってまたは例えばエピタキシ法をベースとした方法によってその場で行うことができるが、別の方法も可能である。平坦化の後、緩和層104は、1nm二乗平均平方根(RMS)より小さな表面粗さを、歪み層102は、例えば0.5ナノメートル(nm)二乗平均平方根(RMS)より小さな表面粗さを有している。
図1Bを参照すると、別の態様で、本発明に適用可能なエピタキシャルウェハ200は、図1Aで示した層に加えて設けられた層も含む。この態様では、まとめて符号202で示した複数の層が、例えばシリコンから形成されている半導体基板204上に設けられている。複数の層202は、例えばAPCVD、LPCVDまたはUHVCVDによってエピタキシャル成長させている。複数の層202および基板204を、共に合わせて「バーチャル基板」と呼ぶことができる。
複数の層202は、基板204上に設けられており、約0.1μm〜約10μmの範囲の厚みTを有する傾斜層206を含む。前述の緩和層104は、傾斜層206上に設けられている。
一態様では、傾斜層206は、SiおよびGeからなっており、例えば厚み1μmあたり10%のGe傾斜率を有していてかつ約2μm〜約9μmの範囲の厚みを有している。別の態様では、傾斜層206は、SiおよびGeからなっており、例えば厚み1μmあたり約5%より大きな、通常5%Ge/μm〜100%Ge/μmより大きな範囲、 好ましくは5%Ge/μm〜50%Ge/μmの範囲のGe傾斜率を有しており、最終Ge含有量は約10%〜約100%となっている。傾斜層の全体の傾斜率は、通常、層全体の厚みに対するGeの全変化率として規定されるが、傾斜層の一部内での「局所傾斜率」は、この全体の傾斜率とは異なる。例えば、0%Ge〜10%Geの傾斜を有する1μmの領域(10%Ge/μmの局所傾斜率)と、10%Ge〜30%Geの傾斜を有する1μmの領域(20%Ge/μmの局所傾斜率)とを含む傾斜層は、15%Ge/μmの全体の傾斜率を有することになる。よって、緩和傾斜層は、必ずしも線形の傾斜率を有していなくともよく、異なる局所傾斜層を有するより小さな領域を有していることができる。様々な態様で、傾斜層206は、例えば873〜1473K(600〜1200℃)で成長させる。例えば1173K(900℃)を超えるような高い成長温度は、成長速度を速める一方で貫通転位の核生成を最小化するので好ましい。参照によりその全体が本発明に組み込まれている米国特許第5221413号明細書の概略を参照。
なお図1Bを参照すると、いくつかの態様では、半導体材料を含む圧縮歪み層208が、緩和層104上に設けられている。一態様では、圧縮歪み層208は、緩和(Si1−xGe)キャップ層のGe含有量(x)より高い、例えば0.25<y<1の範囲のGe含有量(y)を有するSi1−yGeのようなIV族元素からなる。圧縮歪み層208は、Geを例えば1〜100%、好ましくは40%より大きな割合で含有していることができ、約1〜約50ナノメートル(約10〜約500オングストローム(Å))、好ましくは20nm(200Å)より小さな厚みTを有している。いくつかの態様では、圧縮歪み層208は、少なくとも1種のIII族および1種のV族元素、例えばインジウムガリウムヒ素、インジウムガリウムリンまたはガリウムヒ素からなっている。別の態様では、圧縮歪み層160は、少なくとも1種のII族および1種のVI族元素、例えば亜鉛セレン、硫化亜鉛、テルル化カドミウムまたはテルル化水銀からなっている。
なおも図1Bを参照すると、一態様では、引張り歪み層102が、圧縮歪み層208上に設けられており、この圧縮歪み層208との間の境界面210を共有している。別の態様では、圧縮歪み層208は、引張り歪み層102の下ではなく上に設けることができる。また別の態様では、圧縮歪み層208はなく、その代わりに引張り歪み層102が緩和層104上に設けられており、これらの層が境界面を共有している。さらに別の態様では、緩和された一定組成の再成長層(図示せず)が、緩和層104上に設けられていて、緩和層104と境界面を共有しており、さらに引張り歪み層が、その一定組成の再成長層上に設けられていて、この層と境界を共有している。再成長層は、例えば均一組成を有するSi1−xGeからなっており、例えばGeを1〜100%含有していて、例えば0.01〜2μmの厚みを有している。
様々な態様では、上に複数の層202が設けられている基板206は、10〜10cm−2の貫通転位密度を有している。
図1Cを参照すると、別の態様で、本発明に適用可能なエピタキシャルウェハ300は、ストレインドセミコンダクタ-オン-セミコンダクタ、つまりSSOS基板302であり、結晶半導体支持ウェハと接触して設けられている歪み層102を有している。支持ウェハは、シリコンのようなバルク半導体材料からなっている。歪み層102の歪みは、下に設けられている支持ウェハ310によって導入されているのではなく、歪み層102と支持ウェハ310との間のどのような格子不整合からも独立している。特別な態様では、歪み層102および支持ウェハ310は、同じ半導体材料、例えばシリコンからなっている。支持ウェハ310は、歪みがない状態での歪み層102の格子定数に等しい格子定数を有している。歪み層102は、約10−3より大きな歪みを有している。歪み層102は、エピタキシ法によって形成されていて、約2〜約100nm(約20〜約1000Å)の範囲の厚みTを有しており、約10%より良好な厚み均一性を有している。様々な態様では、歪み層102は、約5%より良好な厚み均一性を有している。歪み層102は、2nm(20Å)よりも小さい表面粗さを有している。
SSOS基板302は、米国特許出願第10/456708号明細書、第10/456103号明細書、第10/264、935号明細書および第10/629、498号明細書に記載されているように形成することができ、これら4つの出願のそれぞれの開示内容全体は、参照により本発明に組み込まれている。SSOS基板形成プロセスは、図1Aを参照して前述したように、基板106上に歪み層102を形成することを含む。劈開面を、例えば緩和層104で規定する。歪み層102は、支持ウェハ310に接合することができ、劈開は、この劈開面で導入される。歪み層102上に残る緩和層104の一部を、例えば酸化および/または湿式エッチングによって除去する。
本発明に適したエピタキシャルウェハの別の態様は、ストレインドセミコンダクタ-オン-インシュレータ(SSOI)ウェハ400である。図1Dを参照すると、SSOIウェハ400は、絶縁体、例えば半導体基板402上に形成された誘電体層410の上に設けられた歪み層102を有している。SSOIウェハ400は、SSOSウェハ300の形成に関して前述した方法と同じ方法で形成することができる。誘電体層410は、例えばSiOからなっている。一態様では、誘電体層410は、純粋なSiOの融点Tより高い融点(T)、つまり1973K(1700℃)より高い融点を有している材料からなっている。このような材料の例は、窒化ケイ素(Si)、酸化アルミニウムおよび酸化マグネシウムを含む。別の態様では、誘電体層410は、SiOの誘電定数よりも高い誘電定数を有する高誘電率材料、例えば酸化アルミニウム(A1)、酸化ハフニウム(HfO)またはハフニウムシリケート(HfSiONまたはHfSiO)からなっている。半導体基板402は、例えばSi、Ge、またはSiGeのような半導体材料からなっている。歪み層102は、例えば約5〜約100nm(約50〜約1000Å)の範囲の厚みTを有しており、約5%より良好な厚み均一性と、約2nm(約20Å)より小さい表面粗さとを有している。誘電体層410は、例えば50〜300nm(500〜3000Å)の範囲から選択される厚みTを有している。一態様では、歪み層102は、以下の1つ以上の材料特性を有するSiを約100%またはGeを約100%含む。その特性とは、例えば0〜10cm−1のミスフィット転位密度、約10〜10転位/cmの貫通転位密度、約0.01〜1nmRMSの表面粗さ、所望の厚みの平均の約±10%より良好なSOI基板400に沿った厚み均一性、および約20nm(約200Å)より小さい厚みTである。一態様では、SSOI基板400は、所望の厚みの平均の約±5%より良好な厚み均一性を有している。
一態様では、誘電体層410は、SiOの融点よりも高い融点Tを有している。後続のプロセス、例えばMOSFET形成中、SSOI基板400は、高温、つまり1373K(1100℃)までの温度に晒される。温度を高くすると、歪み層102と誘電体層410との間の境界面430での、歪み層102の緩和が生じる。しかし、1973K(1700℃)より高いTを有する誘電体層を使用することで、SSOI基板が高温に晒される場合に、歪み層102と誘電体層410との間の境界面430での歪み層102の緩和の回避が助成される。
別の態様では、歪み層102のミスフィット転位密度は、その初期のミスフィット転位密度よりも低い。この初期の転位密度は、例えば歪み層102の最上面440にエッチングを施すことによって低められている。このエッチングは、湿式エッチング、例えば、RCAのSC1、つまり過酸化水素、水酸化アンモニウムおよび水(H+NHOH+HO)を用いて行う標準的なマイクロエレクトロニクスのクリーニングステップであってよく、これにより、353K(80℃)でシリコンが除去される。
図2Aを参照すると、本発明の一態様では、スクリーン層500が、半導体ウェハ550の歪み層102上に形成されている。ウェハ550は、前述のウェハ100、200、300、400のうちのいずれであってもよい。スクリーン層500は、酸素を含有している誘電体層、例えば酸化物層からなっており、約2〜約30nm(約20〜約300Å)の範囲の厚みTを有している。その酸化物には、酸化シリコン(SiO)、酸窒化シリコン(窒化SiO)、シリコンゲルマニウム(SiGeO)、酸化アルミニウム(Al)または酸化ゲルマニウム(GeO)が含まれるが、これらに限定されることはない。一態様では、スクリーン層500は、窒化シリコンまたは高誘電率誘電体材料のような別の誘電体材料である。別の態様では、スクリーン層500は、例えばAPCVD、LPCVDまたはPECVDのようなCVDを含む堆積によって、もしくはスパッタリングのような物理的堆積法によって形成される。別の態様では、スクリーン層500は、原子層堆積(ALD)によって形成される。従来の成長プロセスではなく、堆積によってスクリーン層500を形成することによって、堆積中にスクリーン層によって歪み層102の材料が不都合に消費されることが実質的に回避される。
スクリーン層500の形成後、ドーパント560を、ウェハ550の成分層570に導入し、例えば図1Aに示す歪み層102および緩和層104にnウェルまたはpウェルのような、CMOSデバイスのための造作を形成する。ドーパント560は、n型またはp型である。例えば、歪み層102がSiのようなIV族の材料からなる態様では、例えば、ヒ素(As)、リン(P)またはアンチモン(Sb)のようなn型ドーパントを使用することができる。別の態様では、p型ドーパントは、ホウ素(B)またはインジウム(In)からなる。ドーパント560は、イオン注入によって導入することができる。イオン注入中、スクリーン層500が、金属粒子を含む粒子による汚染に対してより有効な保護を提供する。さらに、スクリーン層500は、注入中にドーパント560を散乱させることによって、 このドーパント560の導入に対して影響を与え、イオンチャネリングが生じる可能性を減少させる。ドーパント560の導入に続いて、ウェハ550にアニールを施す。アニールステップ中、スクリーン層500は、ドーパント560が複数の層570から外へ拡散するのを防ぐ。
図2Bを参照すると、さらに別の態様では、スクリーン層500の形成前に、酸化物層580を、例えば急速熱酸化によって歪み層102上に成長させることができる。酸化物層580は、例えばSiO、窒化SiO、SiGeOまたはGeOからなっており、約0.5〜約3nm(約5〜約30Å)の範囲の比較的小さな厚みTを有している。酸化物層580が比較的薄いので、その成長によって、過剰な量の歪み層102が消費されることはない。シリコン上に成長させた酸化物層は、典型的には、成長させた酸化物の厚みの約半分に等しいシリコン厚みを消費する。例えば、歪み層102が主としてSiである場合には、2nm(20Å)の厚みTを有する酸化物層580の成長によって、歪み層102の1nm(10Å)が消費される。スクリーン層500の形成前に酸化物層580を成長させることは、いくつかの態様で望ましい。例えば、酸化物層580は歪み層102に、CVD、つまり従来の熱成長プロセスほどはクリーンでないプロセスに先立ち、クリーンな保護性のコーティングを提供する。
いくつかの態様では、スクリーン層500を、デバイスプロセス中の別の時点で形成することができる。例えば、スクリーン層500を、ソースおよびドレインの注入またはゲート誘電体形成前のスレッショールド注入に先立ち形成することができる。
図3Aを参照すると、さらに別の態様では、パッド酸化物層600を、STIプロセスの一部として、半導体ウェハ650の歪み層102上に形成することができ、この場合、パッド酸化物層600は、STI形成のための窒化シリコントレンチマスク層の下の、応力緩和下層として使用する。ウェハ650は、図1A〜1Dで参照して前述したウェハ100、200、300または400のいずれであってもよい。パッド酸化物層600は、例えば、APCVD、PECVD、LPCVDまたは高密度プラズマ(HDP)堆積のようなCVDによって形成することができる。パッド酸化物層600は、SiO、窒化SiO、SiGeOまたはGeOのような酸化物からなっており、例えば約5〜約50nm(約50〜約500Å)の範囲の厚みTを有している。パッド酸化物層600を従来の熱成長によって形成した場合、約2.5〜25nm(約25〜250Å)の下層の歪み層102を消費する。これに対し、パッド酸化物層600を堆積させた場合には、下層の歪み層102は実質的に消費されない。
様々な態様で、パッド酸化物層600の形成後、マスク層660がその上に形成される。マスク層660は、窒化シリコンのような窒化物層からなっていて、LPCVD、PECVD、APCVDまたはHDPCVDのようなCVDによって形成することができる。マスク層600は、約50〜約200nm(約500〜約2000Å)の範囲の厚みTを有している。マスク層660の形成前にパッド酸化物層600を形成することによってマスク層660と歪み層102との間の応力によって生じる歪み層102内の欠陥の生成を防ぐことができる。
次に、デバイスを隔離するために後続のステップを行う。マスク層660およびパッド酸化物層600は、フォトリソグラフィおよびエッチングによってパターン形成することができる。マスク層660およびパッド酸化物層600にパターン形成した後、基板650の露出した部分およびその成分層670の下層部分をエッチングして、トレンチを画定する(図示せず)。線状に広がる酸化物は、酸化または堆積によって形成することができ、トレンチは堆積された誘電体で充填されSTI形成が完了する。
図3Bを参照すると、さらに別の実施態様では、酸化物層700を、パッド酸化物層600の形成前に、例えば急速熱酸化によって歪み層102上に成長させるとができる。 酸化物層700は、例えばSiO、SiGeOまたはGeOからなっており、例えば約0.5〜約3nm(約5〜約30Å)の範囲の比較的小さな厚みTを有している。酸化物層700が比較的薄いので、その成長によって過剰量の歪み層102が消費されることはない。パッド酸化物層600の堆積前に、酸化物層700を成長させることによって、歪み層102は、場合によってはクリーンでない堆積プロセスから保護される。
上述の図に示す構造は、n型金属-酸化物-半導体電界効果トランジスタ(nMOSFET)、p型MOSFET(pMOSFET)およびCMOSデバイスのようなデバイスを形成するために、さらに処理される。
本発明は、本発明を本質的に特徴付ける精神から逸脱することがなければ、さらに別の特定な形態で実施することができる。したがって、上で説明した実施態様は、あらゆる点で例示的であり、本明細書で説明した本発明を制限するものではない。
本発明の実施態様による半導体構造の製造に適した基板の概略的な横断面図である。 本発明の実施態様による半導体構造の製造に適した別の基板の概略的な横断面図である。 本発明の実施態様による半導体構造の製造に適したさらに別の基板の概略的な横断面図である。 本発明の実施態様による半導体構造の製造に適したさらに別の基板の概略的な横断面図である。 本発明の実施態様による半導体基板の概略的な横断面図であって、この半導体基板上にスクリーン層が形成されている。 本発明の実施態様による別の半導体基板の概略的な横断面図であって、この半導体基板上にスクリーン層が形成されている。 本発明の実施態様による、半導体基板の概略的な横断面図であって、この半導体基板の上にパッド酸化物層が形成されている。 本発明の実施態様による、別の半導体基板の概略的な横断面図であって、この半導体基板の上にパッド酸化物層が形成されている。

Claims (80)

  1. 半導体構造を形成する方法であって、
    組成が傾斜している傾斜層を含む基板上に歪み半導体層を形成し、該歪み半導体層が、層された引張り歪みシリコン層および圧縮歪みシリコン-ゲルマニウム合金層を含み、
    該歪み半導体層の最上面の少なくとも一部分上にスクリーン層を堆積し、
    酸化物層からなるスクリーン層を介して半導体構造内にドーパントを導入し、
    前記半導体構造を処理して、その上に少なくとも部分的にCMOSデバイスを形成し、前記CMOSデバイスが、前記歪み半導体層を通るチャネルを有している、方法。
  2. 前記基板が、シリコンおよびゲルマニウムのうち少なくとも1つからなっている、請求項1に記載の方法。
  3. 前記引張り歪みシリコン層が、5nmから100nm(50Åから1000Å)の範囲の厚みを有している、請求項1に記載の方法。
  4. 前記引張り歪みシリコン層の厚みが30nm(300Å)を超えない、請求項に記載の方法。
  5. 前記引張り歪みシリコン層の厚みが20nm(200Å)を超えない、請求項に記載の方法。
  6. 前記スクリーン層の堆積により、前記歪み半導体の厚みが化しない、請求項に記載の方法。
  7. 前記基板が、前記歪み半導体層の下に設けられている緩和半導体層を含む、請求項1に記載の方法。
  8. 前記組成が傾斜している傾斜層が、前記緩和半導体層の下に設けられている、請求項に記載の方法。
  9. 前記傾斜層が、II族、III族、IV族、V族およびVI族の元素のうち少なくとも1つからなる、請求項に記載の方法。
  10. 前記傾斜層が、シリコンおよびゲルマニウムのうち少なくとも1つからなる、請求項に記載の方法。
  11. 前記傾斜層の組成が、10%より高いゲルマニウム濃度まで傾斜している、請求項10に記載の方法。
  12. 前記傾斜層の厚みが0.5μmから10.0μmの範囲にある、請求項11に記載の方法。
  13. 前記スクリーン層を堆積するステップが化学蒸着を含む、請求項1に記載の方法。
  14. 前記スクリーン層が、酸化シリコン、酸窒化シリコン、酸化シリコンゲルマニウムおよび酸化ゲルマニウムからなる群から選択される、請求項に記載の方法。
  15. 前記スクリーン層が、2nmから30nm(20Åから300Å)の範囲の厚みを有している、請求項1に記載の方法。
  16. 前記スクリーン層が、ドーパントを散乱させることおよびドーパントのエネルギーを低減させることのうち少なくとも1つによって、前記構造の少なくとも一部分へのドーパントの導入に影響を与える、請求項1に記載の方法。
  17. 前記構造に熱アニールを施すステップであって、前記スクリーン層が、前記構造の少なくとも一部からドーパントが外へ拡散することを防止するステップを含む、請求項16に記載の方法。
  18. 前記スクリーン層の堆積前に、前記歪み半導体層の最上面の一部分上に酸化物層を成長させるステップを含む、請求項1に記載の方法。
  19. 前記酸化物層を急速熱酸化によって成長させる、請求項18に記載の方法。
  20. 前記酸化物層の厚みが0.5nmから3nm(5Åから30Å)の範囲にある、請求項19に記載の方法。
  21. 半導体構造を形成する方法であって、
    基板上に、積層された引張り歪みシリコン層および圧縮歪みシリコン−ゲルマニウム合金層を含む歪み半導体層を形成し、
    前記歪み半導体層の最上面の少なくとも一部上に酸化物層を成長させ、
    前記酸化物層上にパッド酸化物層を堆積し、
    前記パッド酸化物層上にマスク層を形成することを含み、
    前記パッド酸化物層が、応力によって導入された歪み半導体層中の欠陥の生成を止する、方法。
  22. 前記マスク層が窒化シリコンからなる、請求項21に記載の方法。
  23. 前記酸化物層を急速熱酸化によって成長させる、請求項21に記載の方法。
  24. 酸化物層の厚みが0.5nmから3nm(5Åから30Å)の範囲にある、請求項21に記載の方法。
  25. 前記基板がシリコンおよびゲルマニウムのうち少なくとも1つからなっている、請求項21に記載の方法。
  26. 前記引張り歪みシリコン層が5nmから100nm(50Åから1000Å)の範囲の厚みを有している、請求項21に記載の方法。
  27. 前記引張り歪みシリコン層の厚みが30nm(300Å)を超えない、請求項26に記載の方法。
  28. 前記引張り歪みシリコン層の厚みが20nm(200Å)を超えない、請求項27に記載の方法。
  29. パッド酸化物層の堆積により、前記歪み半導体の厚みが化しない、請求項26に記載の方法。
  30. 前記基板が、前記歪み半導体層の下に設けられている絶縁層を含む、請求項21に記載の方法。
  31. 前記基板が、前記歪み半導体層の下に設けられている緩和半導体層を含む、請求項21に記載の方法。
  32. 前記基板が、前記緩和半導体層の下に設けられている組成が傾斜している傾斜層をさらに含む、請求項31に記載の方法。
  33. 前記傾斜層が、II族、III族、IV族、V族およびVI族の元素のうち少なくとも1つからなる、請求項32に記載の方法。
  34. 前記傾斜層が、シリコンおよびゲルマニウムのうち少なくとも1つからなる、請求項33に記載の方法。
  35. 前記傾斜層の組成が、10%より高いゲルマニウム濃度まで傾斜している、請求項34に記載の方法。
  36. 前記傾斜層の厚みが0.5μmから10.0μmの範囲にある、請求項32に記載の方法。
  37. 前記パッド酸化物層を堆積させるステップが、化学蒸着を含む、請求項21に記載の方法。
  38. 前記パッド酸化物層が、酸化シリコン、酸窒化シリコン、酸化シリコンゲルマニウムおよび酸化ゲルマニウムからなる群から選択される、請求項21に記載の方法。
  39. 前記パッド酸化物層が、5nmから50nm(50Åから500Å)の範囲の厚みを有している、請求項21に記載の方法。
  40. 半導体構造を形成する方法であって、
    基板上に、層された引張り歪みシリコン層および圧縮歪みシリコン−ゲルマニウム合金層を含む歪み半導体層を形成し、
    前記歪み半導体層上に、酸化物を含むスクリーン層を堆積し、
    前記スクリーン層を通して、前記半導体構造中にn型またはp型ドーパントを導入し、該スクリーン層が、ドーパントを散乱させることおよびドーパントのエネルギーを低減させることのうち少なくとも1つによって、前記構造の少なくとも一部内へのドーパントの導入に作用する、方法であって、
    前記基板が、歪み半導体層の下に設けられた緩和半導体層、および該緩和半導体層の下に設けられた組成傾斜層を含む、方法。
  41. 前記基板が、シリコンおよびゲルマニウムの少なくとも1つを含む、請求項40に記載の方法。
  42. 前記引張り歪みシリコン層が、5〜100nm(50〜1000Å)の範囲の厚みを有する、請求項40に記載の方法。
  43. 前記引張り歪みシリコン層の厚みが30nm(300Å)を超えない、請求項42に記載の方法。
  44. 前記歪み半導体の厚みが、スクリーン層の堆積後、化していない、請求項42に記載の方法。
  45. 前記傾斜層が、シリコンおよびゲルマニウムの少なくとも1つを含む、請求項40に記載の方法。
  46. 前記傾斜層が、ゲルマニウム10%の濃度まで傾斜している、請求項45に記載の方法。
  47. 前記スクリーン層を堆積するステップが、化学蒸着を含む、請求項40に記載の方法。
  48. 前記スクリーン層が、二酸化シリコン、酸窒化シリコン、酸化シリコンゲルマニウム、酸化ゲルマニウムからなる群から選択される、請求項40に記載の方法。
  49. 前記スクリーン層が、2〜30nm(20〜300Å)の範囲の厚みを有する、請求項40に記載の方法。
  50. 前記構造に熱アニールを施すことをさらに含み、前記スクリーン層が、前記ドーパントが基板の少なくとも一部から外へ拡散することを防止する、請求項40に記載の方法。
  51. 前記スクリーン層の堆積前に、前記歪み半導体層の最上面の一部上に、酸化物層を成長させることをさらに含む、請求項40に記載の方法。
  52. 前記酸化物層を、急速熱酸化によって成長させる、請求項51に記載の方法。
  53. 前記酸化物層が、0.5〜3nm(5〜30Å)の範囲の厚みを有する、請求項52に記載の方法。
  54. 半導体構造を形成する方法であって、
    基板上に、層された引張り歪みシリコン層および圧縮歪みシリコン−ゲルマニウム合金層を含む歪み半導体層を形成し、
    前記歪み半導体層の最上面の少なくとも一部上に、酸化物を含むスクリーン層を堆積し、
    前記スクリーン層を通して、半導体構造内にn型およびp型ドーパントを導入し、該スクリーン層が、前記ドーパントを散乱させることおよびドーパントのエネルギーを低下させることのうち少なくとも1つによって、前記構造の少なくとも一部内へのドーパントの導入に作用する、方法であって、
    前記基板が、前記歪み半導体層の下に設けられている絶縁層を含み、前記歪み半導体層の厚みが100nm(1000Å)を超えない、方法。
  55. 前記基板が、シリコンおよびゲルマニウムの少なくとも1つを含む、請求項54に記載の方法。
  56. 前記引張り歪みシリコン層の厚みが、5〜100nm(50〜1000Å)の範囲から選択される、請求項54に記載の方法。
  57. 前記引張り歪みシリコン層の厚みが30nm(300Å)を超えない、請求項56に記載の方法。
  58. 前記歪み半導体の厚みが、前記スクリーン層の堆積後、化しない、請求項56に記載の方法。
  59. 前記スクリーン層を堆積するステップが、化学蒸着を含む、請求項54に記載の方法。
  60. 前記スクリーン層が、二酸化シリコン、酸窒化シリコン、酸化シリコンゲルマニウムおよび酸化ゲルマニウムからなる群から選択される、請求項54に記載の方法。
  61. 前記スクリーン層が、2〜30nm(20〜300Å)の範囲の厚みを有する、請求項54に記載の方法。
  62. 前記構造に熱アニールを施すことをさらに含み、前記スクリーン層が、前記ドーパントが前記基板の少なくとも一部から外へ拡散することを防止する、請求項54に記載の方法。
  63. 前記スクリーン層の堆積前に、前記歪み半導体層の最上面の一部上に酸化物層を成長させることをさらに含む、請求項54に記載の方法。
  64. 前記酸化物層を、急速熱酸化によって成長させる、請求項63に記載の方法。
  65. 前記酸化物層の厚みが、0.5〜3nm(5〜30Å)の範囲にある、請求項64に記載の方法。
  66. 半導体構造を形成する方法であって、
    基板上に、積層された引張り歪みシリコン層および圧縮歪みシリコン−ゲルマニウム合金層を含む歪み半導体層を形成し、
    前記歪み半導体層の最上面の少なくとも一部上に、パッド酸化物層を堆積し、
    前記パッド酸化物層上にマスク層を形成し、該パッド酸化物層が、前記歪み半導体層中の、応力によって導入された欠陥の形成を止する、方法であって、
    前記パッド酸化物層が、酸窒化シリコン、酸化シリコンゲルマニウムまたは酸化ゲルマニウムの少なくとも1つを含む、方法。
  67. 半導体構造を形成する方法であって、
    絶縁層を含む基板を設け、
    前記絶縁層上に、層された引張り歪みシリコン層および圧縮歪みシリコン−ゲルマニウム合金層を含む歪み半導体層を形成し、
    前記歪み半導体層の最上面の少なくとも一部上に、酸化物層を含むスクリーン層を堆積し、
    前記スクリーン層を通して、前記半導体構造内にドーパントを導入し、
    前記半導体構造を処理してその上に少なくとも部分的にCMOSデバイスを形成し、前記CMOSデバイスが、前記歪み半導体層を通るチャネルを有している、方法。
  68. 前記基板が、シリコンおよびゲルマニウムの少なくとも1つを含む、請求項67に記載の方法。
  69. 前記引張り歪みシリコン層が、5〜100nm(50〜1000Å)の範囲の厚みを有する、請求項67に記載の方法。
  70. 前記引張り歪みシリコン層の厚みが30nm(300Å)を超えない、請求項69に記載の方法。
  71. 前記引張り歪みシリコン層が20nm(200Å)を超えない、請求項70に記載の方法。
  72. 前記歪み半導体の厚みが、前記スクリーン層の堆積後、化しない、請求項69に記載の方法。
  73. 前記スクリーン層を堆積するステップが、化学蒸着を含む、請求項67に記載の方法。
  74. 前記スクリーン層が、酸化シリコン、酸窒化シリコン、酸化シリコンゲルマニウムおよび酸化ゲルマニウムからなる群から選択される、請求項73に記載の方法。
  75. 前記スクリーン層が、2〜30nm(20〜300Å)の範囲の厚みを有する、請求項67に記載の方法。
  76. 前記スクリーン層が、前記ドーパントを散乱させることおよび前記ドーパントのエネルギーを低下させることの少なくとも1つによって、前記構造の少なくとも一部内へのドーパントの導入に作用する、請求項67に記載の方法。
  77. 前記構造に熱アニールを施すことをさらに含み、
    前記スクリーン層が、前記基板の少なくとも一部から外側へのドーパントの拡散を防止する、請求項76に記載の方法。
  78. 前記スクリーン層の堆積後、前記歪み半導体層の最上面の一部上に酸化物層を成長させることをさらに含む、請求項67に記載の方法。
  79. 前記酸化物層を、急速熱酸化によって成長させる、請求項78に記載の方法。
  80. 前記酸化物層の厚みが、0.5〜3nm(5〜30Å)の範囲にある、請求項79に記載の方法。
JP2004571905A 2002-10-30 2003-10-30 Cmosプロセス中に歪み半導基板層を保護する方法 Expired - Lifetime JP4949628B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US42246802P 2002-10-30 2002-10-30
US60/422,468 2002-10-30
PCT/US2003/034576 WO2004102635A2 (en) 2002-10-30 2003-10-30 Methods for preserving strained semiconductor layers during oxide layer formation

Publications (3)

Publication Number Publication Date
JP2006511096A JP2006511096A (ja) 2006-03-30
JP2006511096A5 JP2006511096A5 (ja) 2006-12-28
JP4949628B2 true JP4949628B2 (ja) 2012-06-13

Family

ID=33449609

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2004571905A Expired - Lifetime JP4949628B2 (ja) 2002-10-30 2003-10-30 Cmosプロセス中に歪み半導基板層を保護する方法

Country Status (5)

Country Link
US (5) US7071014B2 (ja)
EP (1) EP1593145A2 (ja)
JP (1) JP4949628B2 (ja)
AU (1) AU2003304129A1 (ja)
WO (1) WO2004102635A2 (ja)

Families Citing this family (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6713810B1 (en) * 2003-02-10 2004-03-30 Micron Technology, Inc. Non-volatile devices, and electronic systems comprising non-volatile devices
DE10310740A1 (de) * 2003-03-10 2004-09-30 Forschungszentrum Jülich GmbH Verfahren zur Herstellung einer spannungsrelaxierten Schichtstruktur auf einem nicht gitterangepassten Substrat, sowie Verwendung eines solchen Schichtsystems in elektronischen und/oder optoelektronischen Bauelementen
KR100605504B1 (ko) * 2003-07-30 2006-07-28 삼성전자주식회사 저전위밀도를 갖는 에피텍셜층을 포함하는 반도체 소자 및 상기 반도체 소자의 제조방법
EP1542275A1 (en) * 2003-12-10 2005-06-15 S.O.I.TEC. Silicon on Insulator Technologies S.A. A method for improving the quality of a heterostructure
US7172949B2 (en) * 2004-08-09 2007-02-06 Micron Technology, Inc. Epitaxial semiconductor layer and method
US20060151787A1 (en) * 2005-01-12 2006-07-13 International Business Machines Corporation LOW CONCENTRATION SiGe BUFFER DURING STRAINED Si GROWTH OF SSGOI MATERIAL FOR DOPANT DIFFUSION CONTROL AND DEFECT REDUCTION
WO2006090201A2 (en) * 2005-02-24 2006-08-31 S.O.I.Tec Silicon On Insulator Technologies Thermal oxidation of a sige layer and applications thereof
JP4654710B2 (ja) * 2005-02-24 2011-03-23 信越半導体株式会社 半導体ウェーハの製造方法
JP4975974B2 (ja) * 2005-03-18 2012-07-11 ラピスセミコンダクタ株式会社 Sosウェハおよびその製造方法
TWI259534B (en) * 2005-05-20 2006-08-01 Ind Tech Res Inst Method for fabricating semiconductor device
FR2887367B1 (fr) * 2005-06-15 2008-06-27 Soitec Silicon On Insulator Procede de maintien de la contrainte dans un ilot grave dans une couche mince contrainte et structure obtenue par la mise en oeuvre du procede
FR2891281B1 (fr) * 2005-09-28 2007-12-28 Commissariat Energie Atomique Procede de fabrication d'un element en couches minces.
US7648853B2 (en) * 2006-07-11 2010-01-19 Asm America, Inc. Dual channel heterostructure
JP5018066B2 (ja) * 2006-12-19 2012-09-05 信越半導体株式会社 歪Si基板の製造方法
DE102007004862B4 (de) * 2007-01-31 2014-01-30 Globalfoundries Inc. Verfahren zur Herstellung von Si-Ge enthaltenden Drain/Source-Gebieten in Transistoren mit geringerem Si/Ge-Verlust
JP2008198656A (ja) * 2007-02-08 2008-08-28 Shin Etsu Chem Co Ltd 半導体基板の製造方法
US7910468B1 (en) * 2007-06-04 2011-03-22 Arizona Board of Regents, A Body of the State of Arizona Acting for and on Behalf of Arizona State University Methods and compositions for preparing Ge/Si semiconductor substrates
US7955936B2 (en) * 2008-07-14 2011-06-07 Chartered Semiconductor Manufacturing Ltd. Semiconductor fabrication process including an SiGe rework method
US8981427B2 (en) 2008-07-15 2015-03-17 Taiwan Semiconductor Manufacturing Company, Ltd. Polishing of small composite semiconductor materials
KR101666403B1 (ko) * 2010-06-09 2016-10-17 삼성전자 주식회사 반도체 소자의 제조 방법
DE102010046215B4 (de) 2010-09-21 2019-01-03 Infineon Technologies Austria Ag Halbleiterkörper mit verspanntem Bereich, Elektronisches Bauelement und ein Verfahren zum Erzeugen des Halbleiterkörpers.
DE102010064280B4 (de) * 2010-12-28 2012-08-30 GLOBALFOUNDRIES Dresden Module One Ltd. Liability Company & Co. KG Verfahren zur Verringerung der Defektraten in PFET-Transistoren, die ein Si/GE Halbleitermaterial aufweisen, durch Vorsehen einer graduellen Ge-Konzentration, und entsprechende PFET-Transistoren
CN102136428B (zh) * 2011-01-25 2012-07-25 北京大学 一种锗基肖特基n型场效应晶体管的制备方法
US9362123B2 (en) * 2012-12-21 2016-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for integrated devices on different substartes with interfacial engineering
KR102069275B1 (ko) 2013-06-07 2020-01-22 삼성전자주식회사 변형된 채널층을 갖는 반도체 소자 및 그 제조 방법
KR102104062B1 (ko) 2013-10-31 2020-04-23 삼성전자 주식회사 기판 구조체, 이를 포함한 cmos 소자 및 cmos 소자 제조 방법
US20150340228A1 (en) * 2014-05-14 2015-11-26 Tokyo Electron Limited Germanium-containing semiconductor device and method of forming
US20160225641A1 (en) * 2015-01-29 2016-08-04 International Business Machines Corporation Defect reduction in iii-v semiconductor epitaxy through capped high temperature annealing
CN110459479B (zh) * 2018-05-07 2021-07-13 北京北方华创微电子装备有限公司 阻挡层沉积方法、金凸块的底层金属薄膜及其制备方法

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0143656B1 (en) * 1983-11-29 1989-02-22 Fujitsu Limited Compound semiconductor device and method of producing it
US4764248A (en) * 1987-04-13 1988-08-16 Cypress Semiconductor Corporation Rapid thermal nitridized oxide locos process
US4906585A (en) * 1987-08-04 1990-03-06 Siemens Aktiengesellschaft Method for manufacturing wells for CMOS transistor circuits separated by insulating trenches
JPH03259524A (ja) * 1989-12-29 1991-11-19 Oki Electric Ind Co Ltd 絶縁膜形成方法
US5221413A (en) * 1991-04-24 1993-06-22 At&T Bell Laboratories Method for making low defect density semiconductor heterostructure and devices made thereby
US5442205A (en) 1991-04-24 1995-08-15 At&T Corp. Semiconductor heterostructure devices with strained semiconductor layers
US5726087A (en) 1992-04-30 1998-03-10 Motorola, Inc. Method of formation of semiconductor gate dielectric
JP3234084B2 (ja) * 1993-03-03 2001-12-04 株式会社東芝 微細パターン形成方法
US5565690A (en) 1995-02-02 1996-10-15 Motorola, Inc. Method for doping strained heterojunction semiconductor devices and structure
EP0838858B1 (de) * 1996-09-27 2002-05-15 Infineon Technologies AG Integrierte CMOS-Schaltungsanordnung und Verfahren zu deren Herstellung
US5780922A (en) 1996-11-27 1998-07-14 The Regents Of The University Of California Ultra-low phase noise GE MOSFETs
US6033974A (en) * 1997-05-12 2000-03-07 Silicon Genesis Corporation Method for controlled cleaving process
US6107653A (en) 1997-06-24 2000-08-22 Massachusetts Institute Of Technology Controlling threading dislocation densities in Ge on Si using graded GeSi layers and planarization
US6232138B1 (en) * 1997-12-01 2001-05-15 Massachusetts Institute Of Technology Relaxed InxGa(1-x)as buffers
TW415103B (en) * 1998-03-02 2000-12-11 Ibm Si/SiGe optoelectronic integrated circuits
IT1301729B1 (it) 1998-06-16 2000-07-07 St Microelectronics Srl Processo per il drogaggio selettivo di una fetta di materialesemiconduttore mediante impiantazione ionica.
US6511921B1 (en) * 1999-01-12 2003-01-28 Sumco Phoenix Corporation Methods for reducing the reactivity of a semiconductor substrate surface and for evaluating electrical properties of a semiconductor substrate
WO2001022482A1 (en) 1999-09-20 2001-03-29 Amberwave Systems Corporation Method of producing relaxed silicon germanium layers
US7041170B2 (en) 1999-09-20 2006-05-09 Amberwave Systems Corporation Method of producing high quality relaxed silicon germanium layers
JP4269541B2 (ja) * 2000-08-01 2009-05-27 株式会社Sumco 半導体基板と電界効果型トランジスタ並びにSiGe層の形成方法及びこれを用いた歪みSi層の形成方法と電界効果型トランジスタの製造方法
US20020104993A1 (en) * 2000-08-07 2002-08-08 Fitzgerald Eugene A. Gate technology for strained surface channel and strained buried channel MOSFET devices
US6916727B2 (en) * 2001-06-21 2005-07-12 Massachusetts Institute Of Technology Enhancement of P-type metal-oxide-semiconductor field effect transistors
WO2003015142A2 (en) * 2001-08-06 2003-02-20 Massachusetts Institute Of Technology Formation of planar strained layers
AU2002319801A1 (en) * 2001-08-09 2003-02-24 Amberwave Systems Corporation Optimized buried-channel fets based on sige heterostructures
WO2003025984A2 (en) * 2001-09-21 2003-03-27 Amberwave Systems Corporation Semiconductor structures employing strained material layers with defined impurity gradients and methods for fabricating same
US7074623B2 (en) * 2002-06-07 2006-07-11 Amberwave Systems Corporation Methods of forming strained-semiconductor-on-insulator finFET device structures
US6680496B1 (en) * 2002-07-08 2004-01-20 Amberwave Systems Corp. Back-biasing to populate strained layer quantum wells
AU2003261300A1 (en) * 2002-07-29 2004-02-16 Amberwave Systems Selective placement of dislocation arrays
US7594967B2 (en) * 2002-08-30 2009-09-29 Amberwave Systems Corporation Reduction of dislocation pile-up formation during relaxed lattice-mismatched epitaxy

Also Published As

Publication number Publication date
JP2006511096A (ja) 2006-03-30
US20080020551A1 (en) 2008-01-24
US20070042538A1 (en) 2007-02-22
WO2004102635A9 (en) 2005-02-03
US20050215069A1 (en) 2005-09-29
EP1593145A2 (en) 2005-11-09
WO2004102635A3 (en) 2005-06-02
US20040092051A1 (en) 2004-05-13
US20070161196A1 (en) 2007-07-12
US7541208B2 (en) 2009-06-02
WO2004102635A2 (en) 2004-11-25
US7416909B2 (en) 2008-08-26
US7208332B2 (en) 2007-04-24
US7071014B2 (en) 2006-07-04
AU2003304129A1 (en) 2004-12-03
US7202121B2 (en) 2007-04-10
AU2003304129A8 (en) 2004-12-03

Similar Documents

Publication Publication Date Title
JP4949628B2 (ja) Cmosプロセス中に歪み半導基板層を保護する方法
US9934964B2 (en) Semiconductor heterostructures having reduced dislocation pile-ups and related methods
JP4796771B2 (ja) 半導体デバイス
US7494881B2 (en) Methods for selective placement of dislocation arrays
JP4686480B2 (ja) 高度な緩和及び低い積層欠陥密度を有する薄いSiGeオン・インシュレータ(SGOI)ウェハを形成する方法。
TWI699832B (zh) 製造絕緣體覆矽鍺之方法
US7495266B2 (en) Strained silicon-on-silicon by wafer bonding and layer transfer

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20061030

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20061030

A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A711

Effective date: 20100406

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20101207

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110307

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110927

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20111226

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20120106

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120126

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20120221

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20120308

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150316

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Ref document number: 4949628

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term