JP4726789B2 - 製造方法 - Google Patents

製造方法 Download PDF

Info

Publication number
JP4726789B2
JP4726789B2 JP2006527498A JP2006527498A JP4726789B2 JP 4726789 B2 JP4726789 B2 JP 4726789B2 JP 2006527498 A JP2006527498 A JP 2006527498A JP 2006527498 A JP2006527498 A JP 2006527498A JP 4726789 B2 JP4726789 B2 JP 4726789B2
Authority
JP
Japan
Prior art keywords
layer
stamp
liquid
dielectric
cavity
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2006527498A
Other languages
English (en)
Other versions
JP2007507860A (ja
Inventor
ビーチュ、アレクサンダー
ミッヘル、ブルーノ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JP2007507860A publication Critical patent/JP2007507860A/ja
Application granted granted Critical
Publication of JP4726789B2 publication Critical patent/JP4726789B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76817Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics using printing or stamping techniques
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/101Forming openings in dielectrics
    • H01L2221/1015Forming openings in dielectrics for dual damascene structures
    • H01L2221/1021Pre-forming the dual damascene structure in a resist layer
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/942Masking
    • Y10S438/946Step and repeat

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Nanotechnology (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical & Material Sciences (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Mathematical Physics (AREA)
  • Theoretical Computer Science (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Diffracting Gratings Or Hologram Optical Elements (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Preparation Of Compounds By Using Micro-Organisms (AREA)
  • Drying Of Semiconductors (AREA)

Description

本発明は、一般に、多段階層構造体を形成する製造方法に関し、具体的には、基板内に導電性構造体を形成する方法に関する。
半導体製造技術においては、面密度及び製造速度を増大させ、同時に製造コストを減少させることを求める圧力がある。集積回路(IC)チップ上の半導体デバイス、特に相補型金属酸化膜半導体(CMOS)トランジスタ・デバイスのスケーリングは、マイクロメートルを下回る領域に達し、一般にムーアの法則に従っている。ICチップ内に組み込まれるデバイスの数は、何百万のオーダーであり、ますます増加している。今や、これらのデバイス間の信号の相互接続は、誘電材料によって互いから分離された多数の層の金属相互接続部を必要とする。現在のところ、8つの相互接続層が通例である。しかしながら、層の数は増大すると考えられる。ICの寸法が減少するにつれて、相互接続部内の抵抗と関連したRC時定数及び該相互接続部間の寄生容量が増大する。これは、接地面と信号ラインとの間の間隔が減少し、該信号ラインの抵抗が増大するためである。これらの影響により、IC内のスイッチング速度が制限される。
従来のICにおいて、相互接続部は、一般に、減法的反応性イオン・エッチングによって、アルミニウムから形成される。より最近のIC設計においては、相互接続部は銅から形成される。銅は、アルミニウムより低い抵抗及びさらに高い信頼性を提供する。しかしながら、銅の相互接続部は、減法的反応性イオン・エッチングによって容易に形成することができない。代わりに、銅の相互接続部は、一般に、デュアル・ダマシンと呼ばれるプロセスを介して形成される。デュアル・ダマシン法で、層間絶縁体(inter−layer dielectric,ILD)内に円筒形の孔がエッチングされ、続いてトレンチがエッチングされる。次に、孔及びトレンチが銅で充填される。次に、銅の充填物は、化学機械研磨(CMP)作業によって研磨される。このことにより、はめ込まれた横方向の銅の信号ラインから直角に延びる銅のビア接続がもたらされる。
詳細には、デュアル・ダマシンは、通常、第1の窒化シリコン層、該第1の窒化シリコン上の第1の誘電体層、該第1の誘電体層上の第2の窒化シリコン・エッチング停止層、該第2の窒化シリコン層上の第2の誘電体層、及び最終ハードマスク層を基板上に堆積させることを必要とする。次に、基板は、フォトレジストで被覆され、リソグラフィによりパターン加工される。ILDの電気特性は、2つの誘電体層及び2つの窒化シリコン層の平均となる。異方性ドライ・エッチングが、最終層及び2つの誘電体層を貫通し、第1の窒化シリコン層で停止する。次に、フォトレジストを剥離し、ILD層内にビアを残す。ハードマスク層が、フォトレジスト剥離プロセスからILDを保護する。再びフォトレジストを基板に適用し、リソグラフィによりパターン加工する。次に、トレンチ・エッチングが、エッチング停止層までILDを貫通する。次に、別のエッチングによって、第1の窒化シリコン層が開口される。フォトレジストが剥離される。孔/トレンチ構造の内側を覆うために、タンタル障壁が堆積される。この障壁は、銅がILD内に拡散するのを防止する。次に、PVDを用いて、銅シード層が堆積される。次に、電気めっきによって、バルク銅が堆積される。銅の堆積物は、CMPによってトレンチの上部まで研磨される。このプロセスを繰り返し、付加的な相互接続層を構築することができる。
デュアル・ダマシンに関連した短所は、レジストの第2の適用時に、通常は、スピンによってビア内にレジスト材料が溜まることである。このことにより、ビアがパターン加工されることになる領域上に特別厚いレジストの局所的領域が形成される。さらに、エッチングされることになる形状が相対的に深いため、効率的な光リソグラフィのために望ましい焦点深度を達成するのは困難である。経済的には、相対的に多数のステップを必要とするため、デュアル・ダマシンを実行するのに多くの費用がかかる。
低k(low−k)誘電材料から誘電体層を形成することによって、相互接続部間の寄生容量を減少させることができる。SiLK(Dow Chemical Company社の登録商標)は、こうした材料の公知の例の1つである。しかしながら、こうした低k誘電材料は、デュアル・ダマシンと両立性がない。これは、一般に、低k誘電体が、デュアル・ダマシン内でレジストを剥離する同じ化学プロセスの影響を受けやすいためである。
基板上に材料を形成するための別の従来の技術は、紫外線(UV)インプリント・リソグラフィすなわちUV成形である。図1を参照すると、UVインプリント・リソグラフィにおいて、低粘度のプレポリマーの液体レジスト層110が、基板100に適用される。ステップ2において、パターン加工された透過性スタンプ120が、レジスト110内に圧入される。レジスト110は、スタンプ120を通してUVに露光される。露光されたレジスト110は、硬化し、硬くなる。ステップ3において、スタンプ120が、パターン加工された固化したレジスト110から取り除かれる。次に、基板100が剥離され、スタンプ120のパターンに対応する厚い区域及び薄い区域を有する硬くなったレジスト110を残す。レジスト層110内のインプリントは、実質的に、スタンプ120上のパターンのレプリカである。例えば、非特許文献1を参照されたい。UVインプリント・リソグラフィは、比較的高いアスペクト比及び垂直方向の側壁を有するポリマー形状の成形を可能にする。スタンプ120と基板100との間の位置合わせが、スタンプを通して光学的に達成することができる。例えば、非特許文献2を参照されたい。UVインプリント・リソグラフィはまた、エラストマー・スタンプを用いて実行することもできる。例えば、非特許文献3、非特許文献4、及び非特許文献5を参照されたい。
M.Colburn他著、「Patterning non−flat substrates with a low pressure,room temprature imprint proces」、J.Vac.Sci.Technol.B.6,2161(2001年) Choi他著、「Layer−to−layer alignment for step and flash imprint lithography」、J.Vac.Sci.Technol.18,3552(2000年) Bietsch及びMichel著、「Conformal contact and pattern stability of stamps used for soft lithography」、J.Appl.Phys.88,4310(2000年) Johnson著、「Contact Mechanics」、Cambridge University Press,Cambridge(1985年) S.P.Timoshenko及びJ.N.Goodier著、「Theory of Elasticity」、Mc−Graw−Hikk、New York A.Cameron著、「Basic Lubrication Theory」、Wiley、New York(1981年)
しかしながら、満足のいくコントラストを有するパターンを達成するために、比較的広い領域上でレジストを完全に移動させることは困難である。通常、残留層が残される。潤滑理論から、液体の変位の計算を導き出すことができる。例えば、非特許文献6を参照されたい。アッシングによって、通常のように、比較的薄い残留層を取り除くことができる。アッシングにより、突出する区域及び陥凹された区域の両方から、定められたポリマー層を取り除くことができる。アッシングは、所望の区域における基板へのアクセスを提供し、従来のレジスト・パターンがもたらすものに類似したバイナリ・コントラストを形成する。
本発明によると、表面上に硬化可能な液体層を堆積させるステップと、内部に多層パターンを有するスタンプを液体層に圧入し、該パターンが定める多段階層(multilevel)構造体を該液体層内に生成するステップと、液体層を硬化させ、内部に多段階層構造体を有する固体層を生成するステップとを含む、表面上に多段階層構造体を形成する方法が提供される。
好ましくは、この方法は、圧入するステップの前に、スタンプ及び表面上にある相補的形状(complementary formations)を介して、該スタンプを該表面に対して位置合わせするステップを含む。好ましくは、位置合わせするステップは、液体層を介して表面に対するスタンプの動きを円滑にするステップを含む。相補的形状は、スタンプ及び表面の一方の上にある突出部と、該スタンプ及び該表面の他方の上にあって該突出部を受けるための陥凹部とを含むことができる。本発明の特に好ましい実施形態において、スタンプは、エラストマー材料から形成される。次に、位置合わせするステップは、スタンプを伸長させることができる。本発明の好ましい実施形態においては、突出部が対応する陥凹部に対してオフセットしており、スタンプを変形させる。代替的に、スタンプを剛体材料から形成することもできる。
誘電材料から固体層を形成することができ、多段階層構造体は、該固体層内に多層のキャビティを含むことができる。代替的に、固体層がレジスト材料から形成され、多段階層構造体は、該固体層内に多層のキャビティを含み、堆積させるステップは、液体形態のレジスト材料を誘電体層上に堆積させるステップを含む。次に、固体レジスト層を介して誘電体層のエッチングし、キャビティを該固体層から該誘電体層に転写することができる。いずれにしても、キャビティ内に金属を堆積させ、誘電材料内に埋め込まれた導電性構造体を生成することができる。本発明の好ましい実施形態において、キャビティは、導電性構造体の長手方向要素に対応する第1のレベルと、該導電性構造体の横方向要素に対応する第2のレベルとを含む。長手方向要素は、集積回路のための多段階層相互接続構造の隣接するレベル間の電気接続を完成させるためのビアを含み、横方向要素は、該集積回路のための隣接するレベルの1つの内部の電気接続を完成させるためのワイヤを含む。本発明は、相互接続構造体の隣接するレベルの少なくとも一対の間で、ここに前述した方法を実行することによって導電性構造体を形成するステップを含む、多段階層相互接続構造体を有する集積回路を製造する方法に適用される。
好ましくは、硬化するステップは、スタンプを介して液体層を紫外線に露光させるステップを含む。
本発明の好ましい実施形態において、IC内に多層相互接続構造体を製造する際に、UV成形が用いられる。UV成形は、従来の相互接続形成プロセスと関連した複数のステップを、単一の成形ステップと置き換えることができる。本発明の特に好ましい実施形態において、このことは、多層成形スタンプを介して達成される。スタンプは、基板上のポリマー層内に、対応する多層インプリントを残す。一態様において、本発明は、ビア及びトレンチを誘電材料内に同時にパターン加工するUV成形方法を提供する。本発明を具体化するこのUV成形方法は、正味RC時定数が減少し、所定数の相互接続レベルについての製造の複雑さが減少した、複合多段階層相互接続構造体の製造を可能にする。簡単に説明されることになる本発明の好ましい実施形態において、低k誘電材料のようなUV硬化可能な誘電材料内に2つのレベルのパターンを形成するために、UV成形リソグラフィが用いられる。次に、成形された材料がライナ及び触媒で被覆され、ビア接続部及び相互接続部の製造を助ける。
本発明の好ましい実施形態が、添付図面を参照して、ほんの一例として説明されるであろう。
図2を参照すると、本発明の一実施形態では、ステップ101において、拡散障壁層130が基板100上に堆積される。拡散障壁層130は、誘電体と、後に取り付けられるデバイスの層に接続するために前に製造されたビア又はワイヤ接続との両方を覆う。第1の均質の誘電体層140が、拡散障壁130上に堆積される。均質の窒化シリコン・エッチング停止層150が、第1の誘電体層140上に堆積される。均質の第2の誘電体層160が、エッチング停止層150上に堆積される。窒化シリコン・ハードコート層170が、第2の誘電体層160上に堆積される。均質の液体プレポリマー・レジスト層180が、ハードコート層170上に堆積される。誘電体層140及び160は、Dow Chemical Company社から入手可能な前述のSiLK材料から形成することができる。レジスト層180の堆積は、スプレー・コーティング、スピン・コーティング、ピペッティングによって、又はローラ・コータを介して達成することができる。他の堆積技術も可能である。レジスト層180は、アクリレート・ベース又はウレタン・ベースのものにしてもよい。こうしたレジスト材料は、例えば、Master Bond,Inc社、又はStar Tec.Inc社から容易に入手可能である。
ステップ102において、パターン加工された透過性スタンプ120が、レジスト層180内に圧入される。次に、スタンプ120のパターンが、レジスト層180と潤滑接触状態で基板と位置合わせされる。スタンプ120を通して、光学的に位置合わせを行うこともできる。光学的位置合わせは、ライン・パターンのより大きい遠いバックエンドについて十分な精度(>100nm)を達成し、一方、ライン・パターンのより小さいバックエンドについては、30−100nmのオーダーの精度が望ましく、このことは、以下に述べられる適応位置合わせを必要とする。位置合わせに続いて、レジスト層180において、スタンプ120からのパターンがインプリントされる。このパターンは、第1のレベル121及び第2のレベル122を含む。次に、パターン加工されたレジスト層180は、スタンプ120を貫通するUV露光によって固化される。
ステップ103において、スタンプ120が、成形されたレジスト層180から分離される。アッシングによって、レジストのいずれの残留層も取り除かれる。パターンの下部の第2のレベル122は、ハードコート層170と一致する。このように、ハードコート層が露出される。
ステップ104において、露出されたハードコート層174、第2の誘電体層160の下にある領域、エッチング停止層150、第1の誘電体層140、及び、場合によっては拡散障壁層130を貫通するように、反応性イオン・エッチングが行われる。ステップ105において、上部の第1のレベル121のパターン加工だけが残るまで、アッシングによってレジスト層180が減少される。この薄層化動作は、パターンの横方向寸法への影響を最小にするように最適化されることが好ましく、又はスタンプにおいてパターンの拡大を補償することができる。ここで、第1のレベル121のパターン加工は、ハードコート層170と一致し、開口部は、所望の寸法の第2のリソグラフィ作業と一致する。ステップ106において、露出されたハードコート層170及び誘電体層160の下にある領域を通り、エッチング停止層150まで貫通するように、再び反応性イオン・エッチングが行われる。このように、第2の誘電体層160にわたる第1の部分、及び第2の誘電体層140にわたる第2のレベルを有するキャビティ195が形成される。次に、レジスト層180の残りの部分が剥離される。
ステップ107において、タンタルのようなライナが、ハードコート層170及びキャビティ195の内面上に均質的に堆積される。ステップ108において、銅190が、キャビティ195内に堆積され、ビア及びワイヤ・レベル相互接続部を形成する。めっきプロセス中、ライナ及び触媒は、誘電体層160及び140のためのマスクとして働く。触媒を選択的に不活性化することによって、キャビティ195の外側への銅の堆積が防止される。チオール(thiol)印刷によって、選択的な活性化を実行することができる。チオール印刷は、ハードコート層170をパターン加工されていないチオール・インクのエラストマー・スタンプと接触させることによって行うことができる。次に、さらなる処理に備えてCMPを行い、余分な銅を取り除く。こうしたさらなる処理は、1つ又はそれ以上の層の相互接続部を付加することを含むことができる。
図3を参照すると、本発明の別の実施形態においては、「単一成形ダマシン」法が行われ、この方法では、ステップ111において、液体プレポリマーの低k誘電体200の均質な層が、基板100上の相互接続層130上に直接堆積される。図2を参照してここに前述された犠牲ポリマー・レジスト層180は、ここに用いられていない。誘電体層200の可能なコンポーネントの詳細が、簡単に与えられる。誘電体層200の堆積は、スプレー・コーティング、スピン・コーティング、ピペッティングによって、又はローラ・コータを介して達成することができる。他の堆積技術も可能である。
ステップ112において、パターン加工された透過性スタンプ120が、誘電体層200内に圧入される。スタンプ120のパターンが、誘電体層200と潤滑接触状態で基板と位置合わせされる。また、スタンプ120を通して、或いは、さらに適応位置合わせによって、光学的に位置合わせを行うことができる。位置合わせに続いて、液体誘電体層において、スタンプ120からのパターンがインプリントされる。次に、パターン加工された誘電体層200は、スタンプ120を貫通するUV露光によって固化される。ここで、スタンプ120は、成形された誘電体層200から分離される。
基板120上のいずれかの下にある相互接触部との接触点を電気的に露出させるように、アッシングが行われる。このように、スタンプ120のパターンと実質的に同じ2つのレベルの形状を有するキャビティ195が、誘電体層200内に形成される。キャビティ195の最も低い第2のレベルは、下にある拡散障壁130、又は下にある相互接続金属の金属とちょうど一致する。ステップ113において、タンタルのようなライナ230が、誘電材料及びキャビティの内面上に均質的に堆積される。めっき触媒220が、ライナ上に堆積される。ステップ114において、銅190がキャビティ195内に堆積され、ビアを形成する。めっきプロセス中、ライナ230及び触媒220は、誘電体層200のためのマスクとして働く。触媒220を選択的に不活性化することによって、キャビティ195の外側への銅の堆積が防止される。誘電体層200上に上述のチオール印刷を行うことによって、選択的な不活性化を行うことができる。次に、さらなる処理に備えて、CMPを行い、余分な銅を取り除く。
低k誘電材料は、3.9より低い誘電率kを有することが好ましく、2から3までの間の値を有することがさらに好ましい。本発明の特に好ましい実施形態において、低k材料は、ベンゼン、シリコン−炭素−水素の複合体等のような芳香環を含む。製造プロセスの他のステップとの両立性があまりないため、炭素及びフッ素を含む材料は、あまり好ましいものではない。特に、フッ素は、望ましくない腐食特性を有する。同様に、塩素は、腐食特性を有する。したがって、ポリ塩化ビニルのような材料は、魅力的なものではない。例えば、融点又はガラス転移温度が低すぎるといったように、高温での安定性が制限されるため、ポリエチレンのような炭素−水素の複合体を有する材料は、あまり好ましいものではない。本発明の特に好ましい実施形態において、低k材料は、アクリラート、ヒドロシラン、シルアノール・ビニル、ビニル、ヒドロシラン・チノール、エポキシ、スチレン、イソプレン、スルホン、又はウレタンのようなUV硬化可能な架橋結合基を含む。こうした基は、前述の望ましい範囲の誘電率を有する。例えば、ポリイソプレンについては、k=2.4であり、ポリスチレンについては、k=2.6であり、ポリスルホンについては、k=2.1である。一般に、芳香側鎖は、低k材料に対して望ましい熱安定性を提供する。
例えば、銅の代わりに、モリブデンのような他の導電性金属を堆積させることもできる。しかしながら、銅が、はるかに好ましい材料である。ここに前述された本発明の実施形態において、キャビティ195の下部の第2のレベルがビア層を形成し、該キャビティ195の上部レベルがワイヤ・レベル相互接続層を形成する。本発明を具体化する単一成形ダマシン法は、有機溶剤が仲介する現像又はレジスト剥離プロセスを有利に回避する。このことにより、可能な低k材料の範囲が広がり、製造が簡単になる。具体的には、本発明を具体化する単一成形ダマシン法は、従来のデュアル・ダマシン法より少ないプロセス段階を含む。デュアル・ダマシンは、一般に、各々の相互接続層について、2つの誘電材料層で分離された3つの窒化シリコン層を堆積させることを含む。次に、パターン加工は、一般に、2つのレジスト・スピン、露光、現像、エッチング、及び剥離サイクルを含む。各々がプロセス段階を要求する複数の相互接続層があり得る。UV成形は、溶剤への露出を必要としないので、本発明を具体化する単一成形ダマシン法は、窒化シリコン・エッチング停止層又はハードコスト層を必要としない。
スタンプが誘電材料内のナノ孔についての境界条件を機械的に定めるので、多孔の低k誘電材料のUV成形は有利である。このことは、キャビティ内に閉鎖面を形成する。パターン転写のためのアッシングにより、こうした孔が空いたままになることがある。半導体の形状サイズが減少し続けるので、特に形状サイズがナノ孔の寸法に近付くとき、誘電材料内のナノ孔を閉鎖することが、ますます重要になる。
本発明を具体化するUV成形プロセスは、1つの面に制限されるものでない。代わりに、本発明を具体化するUV成形プロセスを、同時に異なるレベルで実行することもできる。共通のリソグラフィ面上にある隣接する導体を互い違いに配置し、間隔を増大させて容量を減少させること、及び/又は、該導体の垂直方向及び/又は横方向の拡大を可能にして抵抗率を減少させることが可能である。本発明を具体化するUV成形プロセスは、従来のプロセスに代わる安価な代替物を提供するので、以前は不経済であった付加的な相互接続層をIC設計に付加することが可能になる。ここに前述された本発明の実施形態の利点は、複製中のリソグラフィ層の間の固有の位置合わせである。ここで、中間層の位置合わせ精度は、スタンプ製造プロセス単独の精度によって決まる。
スタンプ120は、ガラス、シリコン、又は水晶のよう剛体材料から形成することもできる。例えば、eビーム、又は光リソグラフィ・パターン加工、及びシリコン基板上のシリコン酸化物層の反応性イオン・エッチングによって、スタンプ120を形成することができる。酸化物層が最初に光パターン加工される。次に、パターン加工された酸化物が、下にあるシリコンのエッチングに対して選択的に障壁を形成する。エッチングによって、パターンがシリコンに転写される。パターン加工されたシリコンを、プラズマ・テフロン層で被覆することができる。テフロンは、後にスタンプを圧入する材料からスタンプ120をわずかに剥離するのを助け、作業中の磨耗を減少させる。
eビーム・リソグラフィによって、スタンプ120を成形するためのマスター又はスタンプ120を製造することができる。例えば、シリコン基板上にマスターを形成することができる。第1のレジスト層が基板上に堆積される。次に、第1のレジスト層は、第1のレベルのパターン加工を定めるeビームによって選択的に露光される。次に、第2のレジスト層が、基板上に堆積される。次に、第2のレジスト層は、第2のレベルのパターン加工を定めるeビームによって選択的に露光される。第1及び第2のレジスト層が、組み合わされて現像され、第1のレベルのパターン加工上に重ねられた第2のレベルのパターン加工を残す。このように、組み合わされたパターンは、2つのレベルを含む。再び、プラズマ・テフロン層が組み合わされたパターン上に堆積され、スタンプを完成させる。スピン等によって第1及び第2のレジスト層を堆積させることもできる。
代替的に、ゴム又はPDMSのようなエラストマー材料からスタンプ120を形成することもできる。有利なことに、PDMSの硬度は、調整可能である。剛性スタンプ及びエラストマー・スタンプの両方が、類似したパターン・サイズを達成できる。約35nmの形状サイズが実現可能である。実験により、本発明の例は、例えば、8cmの直径基板の上の130nmの形状サイズ、約200nmのパターン高に基づいた相対的に高いアスペクト比、及び驚くほど良好な側壁定義を有する、比較的広い面積の成形を達成できることが示される。エラストマー・スタンプは、基板と共形に接触する。有利なことに、共形の接触は、欠陥に対する耐性をもつ。このことにより、特に比較的広い面積を成形する場合、剛性スタンプを用いて可能なものより高い歩留まりが可能になる。別の利点は、垂直方向の側壁を有する高アスペクト比の形状の場合でさえ、成形された材料からのエラストマー・スタンプの剥離がわずかであることである。
本発明の実施形態が、多層のIC相互接続部の製造に関連して、ここに前述された。しかしながら、本発明は、他の多くの多段階層構造体の製造にも等しく適用可能である。1つのこうした構造体が、図2及び図3に関連してここに前述された多層スタンプ120である。マスターを硬化可能な材料に圧入することによって、こうしたスタンプを形成することができる。エラストマー材料からマスターを形成することが好ましい。エラストマー・マスターからスタンプを成形する利点は、マスターを損傷するリスクなしに、該マスターから多くのスタンプを複製できることである。エラストマー・マスターは、成形されたスタンプが垂直方向の側壁又は波形の側壁を含むときでさえ、成形されたスタンプの効率的な剥離を容易にする。こうした形状を、剛性マスターに恒久的に結合させることができる。
本発明の好ましい実施形態において、スタンプ120が、第1及び第2のリソグラフィ・レベルを含むパターンを有する透過性のエラストマー・マスターから成形される。最初に、スタンプ120が形成される空所を支持する基板が、UV硬化可能な液体プレポリマー・レジストの均質な層で被覆される。次に、マスターが、レジスト層と接触させられ、空所に対して位置合わせされる。マスターを貫通する光学的位置合わせを用いることができる。次に、マスター上のパターンがレジスト層に圧入される。マスターを通してUVに露光することによって、パターン加工されたレジスト層が固化される。次に、マスターが、硬化されたレジスト層から剥離される。アッシングによって、いずれの残余レジストも取り除かれる。この段階において、硬化されたレジスト層のトポロジーは、実質的にマスターのパターンと合致する。ここで、レジスト層内の第1のレベルのパターンに基づいたイオン・ミリングによって、第1のレベルのパターンが空所に転写される。第2のレベルのパターンまでレジスト層を取り除くために、アッシングが行われる。ここで、レジスト層において第2のレベルのパターンだけが存在する状態で、イオン・ミリングが行われる。残りのレジストが取り除かれ、スタンプ120を露出させる。この技術を介して、2つより多いレベルのパターン加工をスタンプ120に提供できることも理解されるであろう。
時折先に示したように、光学的位置合わせを用いて、スタンプ120を、形成されることになる材料を支持する基板に対して配置することができる。本発明の好ましい実施形態において、スタンプ120は、エラストマー材料から形成され、基板に対するスタンプ120の光学的位置あわせを強化するため、或いは該光学的位置合わせと置き換えるために、機械的位置合わせが用いられる。図4を参照すると、本発明の特に好ましい実施形態において、基板100は、該基板が形成されることになる表面から離間配置された複数の突出部310、320を支持する。この突出部310、320は、スタンプ120のパターン内の相補的陥凹部330、340に対応する。
最初に、ステップ350において、UV硬化可能な液体プレポリマーの液滴300が、基板100の表面上及び突出部310、320上に堆積される。液滴300は、例えば、ピペッティングによって堆積させることができる。本発明の他の実施形態において、液体プレポリマーは、均質な層といった別の形態で、正確に測定された量で堆積させることができるので、成形の際にプレポリマーの横方向に長い距離の流れを必要としない。ステップ360において、スタンプ120が圧入され、該スタンプ120上の陥凹部330、340が基板100上の突出部310、320とほぼ位置合わせされるように、堆積されたプレポリマーと接触する。一般的な位置合わせにおいては、光学的技術を用いることもできる。スタンプ120が基板100の方向に押されるので、間にある液体ポリマーの堆積物が併合して均質な層200を形成する。ポリマーの層200は、スタンプ120と基板100との間の接触を円滑にし、該スタンプ120と該基板100との間の摩擦を減らし、よって、該基板100に対するスタンプ120の横方向の動きを容易にする。基板100上の隣接する突出部310、320間の間隔は、スタンプ120上の対応する陥凹部330、340間のものよりわずかに大きいので、ステップ370において、陥凹部330、340が突出部310、320の上に着座するようになったとき、該スタンプが横方向に伸長される。スタンプ120を形成するエラストマー材料は、こうした伸長を吸収する。横方向の伸長が、基板100に対してスタンプ120上のパターンを位置決めする精度を改善し、よって、プレポリマーを形成する精度を改善する。ウェハとスタンプとの間のミスマッチを引き起こす製造又はプロセスによって、スタンプを伸縮させることができる。
突出部310、320及び対応する形状330、340の形状は、円錐形又は切頭円錐形とすることができる。しかしながら、円錐形状及び切頭円錐形状は、製造が比較的困難である。したがって、本発明の好ましい実施形態において、突出部310、320及び陥凹部330、340は、それぞれ基板100及びスタンプ120の表面と直角に延びる側部を有する。円錐形状に近づき、したがって類似した伸長及び位置合わせ効果を達成するために、各突出部の先端部は、円錐の頂点と同種の狭端部を定める内部の段を含む。突出部及び陥凹部の平面図は、円形又は正方形にすることができる。本発明の他の実施形態において、基板100内に陥凹部を形成することができ、スタンプ120内に対応する突出部を形成することができる。他の形態の相補的形状をスタンプ120及び基板100上に設け、ここに前述された機械的伸長及び位置合わせ効果をもたらすこともできる。
図5を参照すると、本発明の特に好ましい実施形態において、スタンプ120内の各陥凹部330の中心は、基板100上の対応する突出部310の中心から、変位dだけオフセットしている。変位dは、例えば、約200nmとすることができる。余分な潤滑液体プレポリマー200が、各突出部310の端部に堆積される。スタンプ120及び基板が接合されるとき、基板100上の突出部310が、対応する陥凹部330に入る。突出部310の端部領域がより狭いと、この進入が容易になる。各々の陥凹部330は、変位dの方向に拡張され、対応する突出部310を収容する。このように、スタンプ120において、スタンプ材料の弾性に対する横方向の力が生成される。各々の陥凹部330の拡張は、余分なプレポリマーのための出口経路を提供し、スタンプ材料によって課された弾性圧力のもとで余分なプレポリマーが逃げることを可能にする。各々の陥凹部330内の弾性圧力は、スタンプ材料内の相対的な復元力と関連付けられる。この復元力が、対応する突出部310の周りの各陥凹部330を閉鎖する傾向がある。完全に閉鎖された場合、各陥凹部と対応する突出部との間のオフセットにより、スタンプ材料内の位置合わせ張力が生じ、特に該スタンプ材料内にパターンが形成される。各陥凹部330の深さは、約250nmの長さの狭い端部を有する突出部の場合に約500nmとすることができ、先端部における突出部の幅と基板100の表面における突出部の幅との間は、約200nmになる。他の寸法も明らかに可能である。
本発明を具体化する接触リソグラフィ・プロセスは、触媒のための先駆材料、並びに拡散及びエレクトロマイグレーション障壁を適用するための改善された手法を提供することもできる。
従来のUV成形プロセスのブロック図である。 本発明を具体化するUV成形プロセスのブロック図である。 本発明を具体化する別のUV成形プロセスのブロック図である。 本発明を具体化する更に別のUV成形プロセスのブロック図である。 図4と関連したUV成形プロセスの別のブロック図である。

Claims (13)

  1. 表面上に多段階層構造体を形成する方法であって、
    前記表面上に硬化可能な液体層を堆積させるステップと、
    エラストマー材料から形成され、内部に多層のパターンを有するスタンプを前記液体層に圧入し、前記パターンが定める多段階層構造体を該液体層内に生成するステップと、
    前記液体層を硬化させ、内部に前記多段階層構造体を有する固体層を生成するステップを含み、
    前記方法は、前記スタンプと前記表面のうちの一方の上にある突出部と該スタンプと該表面のうちの他方の上にあって前記突出部を受ける陥凹部とからなる前記スタンプ及び前記表面上の相補的形状であって、前記表面にある形状の間隔が前記スタンプにある対応する形状の間の間隔よりもわずかに大きい相補的形状を介して、該スタンプを該表面に対して位置合わせするステップをさらに含み、
    前記位置合わせするステップは、前記液体層を介して前記表面に対する前記スタンプの動きを円滑にするステップを含み、
    前記位置合わせするステップは、前記スタンプを横方向に伸長させるステップを含む方法。
  2. 前記突出部は、前記対応する陥凹部に対して(d)だけオフセットし、前記スタンプを変形させる、請求項1に記載の方法。
  3. 前記陥凹部は前記スタンプの上にあり、前記突出部は前記表面あり、
    前記堆積させるステップは、前記液体層を含む余分な液体を前記突出部上に堆積させるステップを含む、請求項1又は請求項2に記載の方法。
  4. 前記位置合わせするステップは、対応する突出部と接触したときに、前記陥凹部を前記オフセットの方向に拡張させるステップを含み、前記スタンプの弾性が、前記余分な液体のための出口経路を与え、各陥凹部が対応する突出部の周りを閉鎖することを可能にする、請求項3に記載の方法。
  5. 前記固体層が誘電材料(200)から形成され、前記多段階層構造体が、該固体層内に多層のキャビティ(195)を備える、前記請求項のいずれかに記載の方法。
  6. 前記固体層がレジスト材料(180)から形成され、前記多段階層構造体が該固体層内に多層のキャビティ(195)を備え、前記堆積させるステップは、誘電体層(140、160)上に液体形態の前記レジスト材料を堆積させるステップを含む、請求項1から請求項4までのいずれか1項に記載の方法。
  7. 前記固体層を介して前記誘電体層をエッチングし、前記キャビティを該固体層から該誘電体層に転写するステップを含む、請求項6に記載の方法。
  8. 前記キャビティ内に金属(190)を堆積させ、誘電材料内に埋め込まれた導電性構造体を生成するステップを含む、請求項5、請求項6、又は請求項7のいずれか1項に記載の方法。
  9. 前記誘電体層上でチオール印刷を実行し、前記キャビティの外側に前記金属が堆積することを防止するステップを含む、請求項8に記載の方法。
  10. 前記キャビティは、前記導電性構造体の長手方向要素に対応する第1のレベル(121)と、該導電性構造体の横方向要素に対応する第2のレベル(122)とを備える、請求項5から請求項9までのいずれか1項に記載の方法。
  11. 前記長手方向要素は、集積回路のための多段階層相互接続構造体の隣接するレベル間の電気接続を完成させるためのビアを備え、前記横方向要素は、前記集積回路のための隣接するレベルの1つの内部の電気接続を完成させるためのワイヤを備える、請求項10に記載の方法。
  12. 前記硬化するステップは、前記スタンプを介して前記液体層を紫外線に露光させるステップを含む、前記請求項のいずれかに記載の方法。
  13. 多段階層相互接続構造体を有する集積回路を製造する方法であって、前記方法が、
    前記相互接続構造体の隣接するレベルの少なくとも一対の間で、請求項11に記載されるような方法を実行することによって、導電性構造体を形成するステップを含む方法。
JP2006527498A 2003-09-29 2004-08-23 製造方法 Expired - Fee Related JP4726789B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
EP03021935 2003-09-29
EP03021935.6 2003-09-29
PCT/IB2004/002724 WO2005031855A1 (en) 2003-09-29 2004-08-23 Fabrication method

Publications (2)

Publication Number Publication Date
JP2007507860A JP2007507860A (ja) 2007-03-29
JP4726789B2 true JP4726789B2 (ja) 2011-07-20

Family

ID=34384568

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2006527498A Expired - Fee Related JP4726789B2 (ja) 2003-09-29 2004-08-23 製造方法

Country Status (9)

Country Link
US (1) US7446057B2 (ja)
EP (1) EP1702359B1 (ja)
JP (1) JP4726789B2 (ja)
KR (1) KR100791443B1 (ja)
CN (1) CN100483672C (ja)
AT (1) ATE451717T1 (ja)
DE (1) DE602004024585D1 (ja)
TW (1) TWI313490B (ja)
WO (1) WO2005031855A1 (ja)

Families Citing this family (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7323417B2 (en) 2004-09-21 2008-01-29 Molecular Imprints, Inc. Method of forming a recessed structure employing a reverse tone process
US7632087B2 (en) * 2003-12-19 2009-12-15 Wd Media, Inc. Composite stamper for imprint lithography
US7875547B2 (en) * 2005-01-12 2011-01-25 Taiwan Semiconductor Manufacturing Co., Ltd. Contact hole structures and contact structures and fabrication methods thereof
JP4290177B2 (ja) 2005-06-08 2009-07-01 キヤノン株式会社 モールド、アライメント方法、パターン形成装置、パターン転写装置、及びチップの製造方法
JP2009523312A (ja) * 2005-09-07 2009-06-18 トッパン、フォウタマスクス、インク デュアル・ダマシン構造を製造するためのフォトマスクおよびその形成方法
US20070077763A1 (en) 2005-09-30 2007-04-05 Molecular Imprints, Inc. Deposition technique to planarize a multi-layer structure
GB0523163D0 (en) * 2005-11-14 2005-12-21 Suisse Electronique Microtech Patterning of conductive layers with underlying compressible spacer layer or spacer layer stack
JP4684984B2 (ja) * 2005-12-07 2011-05-18 キヤノン株式会社 半導体装置の製造方法と物品の製造方法
US7422981B2 (en) 2005-12-07 2008-09-09 Canon Kabushiki Kaisha Method for manufacturing semiconductor device by using dual damascene process and method for manufacturing article having communicating hole
WO2007100849A2 (en) 2006-02-27 2007-09-07 Microcontinuum, Inc. Formation of pattern replicating tools
US7468330B2 (en) 2006-04-05 2008-12-23 International Business Machines Corporation Imprint process using polyhedral oligomeric silsesquioxane based imprint materials
DE102006030267B4 (de) * 2006-06-30 2009-04-16 Advanced Micro Devices, Inc., Sunnyvale Nano-Einprägetechnik mit erhöhter Flexibilität in Bezug auf die Justierung und die Formung von Strukturelementen
US8093150B2 (en) 2006-09-19 2012-01-10 Infineon Technologies Ag Methods of manufacturing semiconductor devices and structures thereof
WO2008087573A2 (en) 2007-01-16 2008-07-24 Koninklijke Philips Electronics N.V. Method and system for contacting of a flexible sheet and a substrate
US8500706B2 (en) 2007-03-23 2013-08-06 Allegiance Corporation Fluid collection and disposal system having interchangeable collection and other features and methods relating thereto
US9889239B2 (en) 2007-03-23 2018-02-13 Allegiance Corporation Fluid collection and disposal system and related methods
JP2009069203A (ja) * 2007-09-10 2009-04-02 Fuji Xerox Co Ltd 高分子光導波路及びその製造方法
US20170004978A1 (en) * 2007-12-31 2017-01-05 Intel Corporation Methods of forming high density metal wiring for fine line and space packaging applications and structures formed thereby
JP4977121B2 (ja) * 2008-03-25 2012-07-18 富士フイルム株式会社 インプリント用モールド構造体及びそれを用いたインプリント方法、並びに磁気記録媒体の製造方法
US8833430B2 (en) 2008-06-26 2014-09-16 President And Fellows Of Harvard College Versatile high aspect ratio actuatable nanostructured materials through replication
EP2172168A1 (en) * 2008-10-01 2010-04-07 3M Innovative Properties Company Dental appliance, process for producing a dental appliance and use thereof
US8021974B2 (en) * 2009-01-09 2011-09-20 Internatioanl Business Machines Corporation Structure and method for back end of the line integration
WO2011008961A1 (en) 2009-07-15 2011-01-20 Allegiance Corporation Fluid collection and disposal system and related methods
EP2482755B1 (en) 2009-09-30 2019-06-26 3M Innovative Properties Company Methods for making layered dental appliances
WO2011041193A1 (en) 2009-09-30 2011-04-07 3M Innovative Properties Company Systems and methods for making layered dental appliances from the outside in
CN102548498B (zh) 2009-09-30 2015-06-17 3M创新有限公司 用于制备层状牙科器械的***和方法
US8813364B2 (en) 2009-12-18 2014-08-26 3M Innovative Properties Company Methods for making layered dental appliances
CN102214601B (zh) * 2010-04-02 2014-07-30 中芯国际集成电路制造(上海)有限公司 双镶嵌结构形成方法
FR2974194B1 (fr) * 2011-04-12 2013-11-15 Commissariat Energie Atomique Procede de lithographie
CN102760686B (zh) * 2011-04-27 2014-12-03 中芯国际集成电路制造(上海)有限公司 半导体器件、形成互连结构的方法
CN102800623A (zh) * 2011-05-26 2012-11-28 中芯国际集成电路制造(上海)有限公司 形成双镶嵌结构的方法
US9589797B2 (en) 2013-05-17 2017-03-07 Microcontinuum, Inc. Tools and methods for producing nanoantenna electronic devices
TWI664066B (zh) 2014-09-30 2019-07-01 日商富士軟片股份有限公司 多孔質體的製造方法、元件的製造方法、配線結構的製造方法
US10892167B2 (en) * 2019-03-05 2021-01-12 Canon Kabushiki Kaisha Gas permeable superstrate and methods of using the same
FR3108780B1 (fr) * 2020-03-30 2022-03-18 Commissariat Energie Atomique Procédé de réalisation d’une zone d’individualisation d’un circuit intégré

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS52152965A (en) * 1976-06-15 1977-12-19 Matsushita Electric Works Ltd Method of embossing synthetic resin tile
JPS613339A (ja) * 1984-06-18 1986-01-09 Hitachi Ltd 高密度情報記録円板複製用スタンパおよびその製造方法
JPH03100942A (ja) * 1989-09-13 1991-04-25 Hitachi Chem Co Ltd 光ディスク用スタンパの製造方法
JP2004071587A (ja) * 2002-08-01 2004-03-04 Hitachi Ltd スタンパとスタンパを用いたパターン転写方法及び転写パターンによる構造体の形成方法
JP2005520213A (ja) * 2002-05-30 2005-07-07 インターナショナル・ビジネス・マシーンズ・コーポレーション パターニング方法

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5173442A (en) * 1990-07-23 1992-12-22 Microelectronics And Computer Technology Corporation Methods of forming channels and vias in insulating layers
JPH0580530A (ja) * 1991-09-24 1993-04-02 Hitachi Ltd 薄膜パターン製造方法
US6247986B1 (en) * 1998-12-23 2001-06-19 3M Innovative Properties Company Method for precise molding and alignment of structures on a substrate using a stretchable mold
US6517995B1 (en) * 1999-09-14 2003-02-11 Massachusetts Institute Of Technology Fabrication of finely featured devices by liquid embossing
GB0010164D0 (en) * 2000-04-27 2000-06-14 Suisse Electronique Microtech Technique for hybrid integration of heteropolysiloxane lenses and alignment structures onto vertical cavity surface emitting laser chips
WO2003030252A2 (en) * 2001-09-28 2003-04-10 Hrl Laboratories, Llc Process for producing interconnects
US6743368B2 (en) * 2002-01-31 2004-06-01 Hewlett-Packard Development Company, L.P. Nano-size imprinting stamp using spacer technique
US6861365B2 (en) * 2002-06-28 2005-03-01 Hewlett-Packard Development Company, L.P. Method and system for forming a semiconductor device

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS52152965A (en) * 1976-06-15 1977-12-19 Matsushita Electric Works Ltd Method of embossing synthetic resin tile
JPS613339A (ja) * 1984-06-18 1986-01-09 Hitachi Ltd 高密度情報記録円板複製用スタンパおよびその製造方法
JPH03100942A (ja) * 1989-09-13 1991-04-25 Hitachi Chem Co Ltd 光ディスク用スタンパの製造方法
JP2005520213A (ja) * 2002-05-30 2005-07-07 インターナショナル・ビジネス・マシーンズ・コーポレーション パターニング方法
JP2004071587A (ja) * 2002-08-01 2004-03-04 Hitachi Ltd スタンパとスタンパを用いたパターン転写方法及び転写パターンによる構造体の形成方法

Also Published As

Publication number Publication date
DE602004024585D1 (de) 2010-01-21
CN1860605A (zh) 2006-11-08
EP1702359A1 (en) 2006-09-20
TWI313490B (en) 2009-08-11
TW200512832A (en) 2005-04-01
KR100791443B1 (ko) 2008-01-10
US7446057B2 (en) 2008-11-04
EP1702359B1 (en) 2009-12-09
ATE451717T1 (de) 2009-12-15
JP2007507860A (ja) 2007-03-29
WO2005031855A1 (en) 2005-04-07
CN100483672C (zh) 2009-04-29
US20070275556A1 (en) 2007-11-29
KR20060086354A (ko) 2006-07-31

Similar Documents

Publication Publication Date Title
JP4726789B2 (ja) 製造方法
US7691275B2 (en) Use of step and flash imprint lithography for direct imprinting of dielectric materials for dual damascene processing
KR101336274B1 (ko) 정렬 및 피처 성형에 관해 유연도가 증가된 나노 임프린트 기술
US7364836B2 (en) Dual damascene process
JP4088582B2 (ja) ブリッジ後メタライゼーション形成手順を用いた強固な超低誘電率の相互接続構造を形成する方法
US8916337B2 (en) Dual hard mask lithography process
JP2007305986A (ja) 固体及び気体誘電体の組み合わせによって離間された相互接続した導電性配線及びビアを含む多層相互接続構造を形成する方法、及び、空隙を含む複数レベルの相互接続構造(空隙を含む多層相互接続構造及びその製造方法)
US20120261788A1 (en) Self-aligned airgap interconnect structures and methods of fabrication
JP5732395B2 (ja) ハードマスク及び二重露光により形成される半導体デバイスのコンタクト及びビア
EP1796159B1 (en) Method for manufacturing a semiconductor device by using a dual damascene process
US7049221B2 (en) Method for manufacturing a semiconductor device having a multilayer interconnection structure
US7214611B2 (en) Imprinting-damascene process for metal interconnection
JP2001044189A (ja) 半導体装置の製造方法
KR100717695B1 (ko) 반도체 장치 제조 방법
KR19980080906A (ko) 반도체 장치내의 금속화 방법
US6630404B1 (en) Reducing feature dimension using self-assembled monolayer
US6524947B1 (en) Slotted trench dual inlaid structure and method of forming thereof
US11456185B2 (en) Planarization of semiconductor devices
US20060258144A1 (en) Method of forming metal interconnect for semiconductor device based on selective damascene process
KR100462764B1 (ko) 이종 감광막을 이용한 듀얼 다마신 방법
KR101016341B1 (ko) 반도체 소자의 인덕터 제조 방법
JP3497725B2 (ja) 半導体装置およびその製造方法
KR0144227B1 (ko) 반도체 소자의 콘택 형성 방법
KR20030075580A (ko) 다마신 공정에 의한 금속배선 형성방법

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20070725

A871 Explanation of circumstances concerning accelerated examination

Free format text: JAPANESE INTERMEDIATE CODE: A871

Effective date: 20100208

A975 Report on accelerated examination

Free format text: JAPANESE INTERMEDIATE CODE: A971005

Effective date: 20100218

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100302

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100518

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20100824

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20101224

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110120

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20110128

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20110405

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20110412

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140422

Year of fee payment: 3

LAPS Cancellation because of no payment of annual fees