JP4620214B2 - ウェハ処理装置 - Google Patents

ウェハ処理装置 Download PDF

Info

Publication number
JP4620214B2
JP4620214B2 JP2000118042A JP2000118042A JP4620214B2 JP 4620214 B2 JP4620214 B2 JP 4620214B2 JP 2000118042 A JP2000118042 A JP 2000118042A JP 2000118042 A JP2000118042 A JP 2000118042A JP 4620214 B2 JP4620214 B2 JP 4620214B2
Authority
JP
Japan
Prior art keywords
load lock
wafer
valve
gripping
wafer processing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2000118042A
Other languages
English (en)
Other versions
JP2000357722A (ja
Inventor
ジェイ. シー. ミッチェル ロバート
ディ. レリーン キース
ラッフェル ジョン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2000357722A publication Critical patent/JP2000357722A/ja
Application granted granted Critical
Publication of JP4620214B2 publication Critical patent/JP4620214B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • H01L21/67213Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process comprising at least one ion or electron beam chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67745Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber characterized by movements or sequence of movements of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/139Associated with semiconductor wafer handling including wafer charging or discharging means for vacuum chamber
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/141Associated with semiconductor wafer handling includes means for gripping wafer

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Robotics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Drying Of Semiconductors (AREA)

Description

【0001】
【発明の属する技術分野】
本発明は、ウェハ処理方法および装置に関する。本発明は特に、半導体ウェハのイオン注入室に適用される。
【0002】
【従来の技術】
このようなイオン注入室において、ウェハはイオンビームを横切ってスキャンされ、制御されたドーズの不純物がウェハに添加される。ウェハが処理される室は排気される。
【0003】
ウェハを真空室に装入するためロードロック室が用いられ、外気からウェハを装入する時真空状態を維持する。ロードロック室は外気から真空室を封止する外部バルブと、真空室からロードロック室を封止する内部バルブとを有する。内部バルブが閉、外部バルブが開の状態でウェハが大気側からロードロック室に装入される。次に、外部バルブが閉止されロードロック室が排気されてから、内部バルブが開放されウェハが真空室に搬送され処理される。このようなロードロックの例は欧州特許公報EP−A−604,066に開示されている。
【0004】
イオンビームを最有効利用し、従って装置の処理能力をアップさせるため、真空室へのウェハの装入・取出しはできる限り迅速に行う必要がある。本発明の目的は、この観点から装置性能を向上させることである。
【0005】
【問題を解決する手段】
本発明によれば、ウェハ処理装置であって、ウェハ処理位置でウェハを連続的に処理する真空室と、ウェハを真空室に装入する2つのロードロックと、ウェハをロードロックからウェハ処理位置へ搬送する機構とを備え、各ロードロックは、選択的に操作されて外気からロードロックを封止する外部バルブ、選択的に操作されて真空室からロードロックを封止する内部バルブ、ロードロックの排気・加圧用ポートを有し、一方のロードロックは、他方のロードロックの上方に位置するよう構成される。
単一ウェハロードロックであるのが好ましいが、2つのロードロックを用いることによって、ウェハを両ロードロックを通って平行に搬送することができる。軸を中心にして回転してロードロックに接近する把持アームを具備し、両ロードロックは前記軸から同一径距離に配置されるのが好ましい。これによって、両ロードロックをロードロックの一方の側に装入・取出しする機構に一定の共通部品を使用することができる。ロードロックは一方を他方の略真上にして配置され、装置の設置面積の増大がほとんどないか又は全くなしに達成可能であるのが好ましい。
【0006】
各ロードロックの内部バルブおよび外部バルブはスリットバルブであってよく、前記スリットバルブ内でゲート部材が上昇・下降してロードロックへの接近を可能にする。実際のところ、このようなロードロックは外部バルブのほうが好ましい。しかし、各ロードロックは2部分構成であり、前記2つの部分は同時にウェハ面に略垂直方向に移動して内部バルブを封止及び準備し、逆方向に離隔移動してウェハ面に略垂直な単一軸で回動する把持アームによって封止を解放しウェハへの接近を可能にするのが好ましい。
【0007】
よりコンパクトな構造とするため、上部ロードロックは上面部の上方移動により操作される内部バルブを有し、下部ロードロックは下面部の下方移動により操作される内部バルブを有するのが好ましい。
【0008】
両ロードロック室からのウェハは、把持アームが周りを回動する軸の方向への軸移動と、前記軸を中心とした回転運動のみが必要とされるロボットによってピックアップ・配置可能である。実際のところ、処理済のウェハをロードロックに装入し、未処理のウェハを取出し可能にするには、第1把持アームとともに軸方向に可動な第2把持アームを備える。第2把持アームは第1把持アームの軸の反対側に配置され第1把持アームとともに回転可能であるか、第1把持アームの真下に配置され第1把持アームとは無関係に軸を中心にして回転可能である。別のケースでは(これは融通性が大きいので好ましい)、真空室のロボットは直線軸1、回転軸2の3軸ロボットである。これは従来の4軸ロボットより有利であるが、真空室に必要な各補助軸が装置のコストおよび保守を増大させるからである。
【0009】
ロボットは本発明の別の態様をなし、ある位置から他の位置への平面部材搬送ロボットであって、使用時平面部材の面に垂直に伸長する1対の同軸シャフトであって、各シャフトは平面部材を把持する把持部を有し、両シャフトは軸を中心として別個に回転可能である1対の同軸シャフトと、軸方向移動および回転運動を行う動力源とを備えた平面部材搬送ロボットとして広く規定可能である。
【0010】
また同軸シャフトは各把持アームの空気機構に空気を供給する空気ダクトを含み、把持アームを開閉するのが好ましい。同軸シャフトは、好ましくは親ねじによって一体に軸方向に可動である。
また本発明は、大気源から真空室内の処理位置へのウェハ装入方法であって、ウェハを大気圧の第1ロードロック室に装入する工程と、ロードロック室の外部バルブを閉止しロードロック室を排気する工程と、ロードロック室と真空室間の内部バルブを開放する工程と、ウェハを処理位置に搬送する工程と、第1ロードロック室下方の第2ロードロック室の処理を繰返す工程とを含むウェハ装入方法にも適用される。ロードロックへの接近には軸を中心にして回転可能な把持アームが必要であり、両ロードロックは前記軸から同一径距離に配置されているのが好ましい。第2ロードロック室は、第1ロードロック室の略真下にあるのが好ましい。
【0011】
処理室へのウェハ搬送は、ロードロック室が分離される方向に略平行な軸に沿って上方に可動かつ前記軸を中心にして回転可能な把持アームを有するロボットによって行われ、前記方法は第1ロードロック室と一直線上にある第1高さ方向位置に把持アームを移動する工程と、軸を中心として把持アームを回転させ第1ロードロック室に挿入し第1ロードロック室からウェハをピックアップする工程と、軸を中心として把持アームを回転させウェハを処理位置に搬送する工程と、ウェハを処理位置に配置する工程と、把持アームを第2ロードロック室に沿った第2高さ方向場所に軸方向移動する工程と、第2ロードロック室からのウェハの把持、移動、配置操作を繰返す工程とをさらに含むのが好ましい。
【0012】
【発明の実施の形態】
イオン注入装置は、国際公開WO99/13488に開示された装置と略同一である。
【0013】
この装置は真空室を含み、前記真空室にはウェハが静電チャックとは別に装入される。作動中個々のウェハは静電チャックにより静電気的に把持され、垂直保持されて水平スキャンイオンビームによってスキャンされる。
【0014】
静電チャックを支持するアームは真空室から伸長し、静電チャックを上下方向に往復移動させる直線運動機構によって支持されるので、静電チャック上のウェハ全面はイオンビームによってスキャンされる。直線運動機構自体は水平傾斜軸を中心にして回転可能に取付けられ、前記水平傾斜軸によってウェハとイオンビーム間の角度は可変となる。静電チャックはウェハの中心を通りかつウェハ面に垂直な軸を中心にしてウェハを回転させる機構をさらに備える。上述の機構は、国際公開WO99/13488に示された機構である。さらに、アーム自体は単独で水平傾斜軸を中心にして90゜まで回転可能であるので、垂直スキャン位置から水平装入位置まで可動である。
【0015】
ウェハを本発明の真空室に装入する装置を図1、2に示す。この装置は一般に(図示しない)静電チャックを含む真空室1と、上部ロードロック3および下部ロードロック4を含むロードロックアッシー2と、外部大気部5とを含む。両ロードロック内に保持された各ウェハが同一垂直軸上に中心を有するという意味において、上部ロードロック3は下部ロードロック4の真上にある。
【0016】
外部大気部5内には多数のマガジンがあり、処理するウェハソースをイオン注入装置に供給し、イオン注入装置から処理済ウェハを受取る。
【0017】
ロードロックアッシー2はロードロックハウジング6を含み、前記ロードロックハウジング6は上部ロードロック3および下部ロードロック4を離隔する中央板を有する。上部ロードロック3および下部ロードロック4は上下方向でできる限り相互に近接するよう配置され、両ロードロックへウェハを装入・取出しする際の動きをできるだけ少なくしている。上部ロードロック3はリッドバルブ8を備え、前記リッドバルブ8は上部ロードロック3の真上に取付けられたカム機構9によって高さ方向に可動である。ベローズ10はカムアクチュエータ9の真空シールを行い、ばね11はリッドバルブ8の予負荷の度合いを設定してリッドバルブ8とハウジング6間の寸法公差を吸収する。真空室へのウェハ12の接近路を準備するため、リッドバルブ8は図1に示す位置まで上昇し、以下で述べるように把持アームの補助脚部上のウェハに側面からの接近を可能とする。図1において、上部ロードロック3は真空室1に対して開状態で示され、ウェハは真空室に移動中である。ロードロックの大気側への接近路はスリットバルブ13によって準備され、ゲート要素14は活性装置13A上を上昇・下降してスリット15を封止可能である。前記スリット15を介してウェハは上部ロードロックに挿入可能となっている。
【0018】
上部ロードロック3からのウェハ3の装入・取出し機構を図3に詳細に示す。この図は略図であって、この図では真空室1のバルブと大気5のバルブの両方が開であり、一方側からウェハを搬送する各機構がロードロックに配置されているからである。もちろん実際には、一方のバルブだけがある時間に開となり、一方の配置機構だけが所定位置にある。
【0019】
大気ロボットのエンドエフェクタ16はスリット15から突出している。ロードロック内において、エンドエフェクタは1対の平行指部17によって表されているが、実際にはウェハ12の真下に突出してウェハを支持している。ウェハ12の概略は図3にのみ示す。ロードロック内にウェハを支持するためロードロックキャリア18が具備される。ロードロックキャリア18はウェハの円形形状と略対応する外部形状をしている。ロードロックキャリア18の裏側には直線切欠き部19が設けられ、後で述べるようにエンドエフェクタ16、17が上方からロードロックキャリアを通過し得るような形状となっている。ロードロックキャリア18は上方に突出したフランジを有し、前記フランジはこれと一体のブラケット20に接続している。ブラケット20はリッドバルブ8に固着しているので、ロードロックキャリア18全体はリッドバルブ8とともに上下動する。ウェハを受けるため3本の脚部21がロードロックキャリアの上面に具備される。リッドバルブ8が上昇すると、ロードロック3は垂直軸23を中心にして水平面内を移動する把持アーム22によって接近可能となる。
【0020】
ウェハ12をロードロックキャリア18に配置するため、ウェハ12を搬送するエンドエフェクタ17が図3に示すスリット15を通って移動する。エンドエフェクタはロードロックキャリア18の切欠き部19を通って下方移動し、ウェハが3本の脚部21によって支持される。その後エンドエフェクタはさらに下方移動してウェハ12から離隔し、スリット15を通って引出される。こうしたすべてはリッドバルブ8が下降あるいは閉の状態で行われる。ロードロック室が排気されるとリッドバルブ8が上昇し、それと共にロードロックキャリア18およびウェハ12も上昇する。次に把持アーム22が図3に示す位置に揺動して下方移動するか、あるいはロードロックキャリア18が上方移動するので、ウェハ12の縁端部を把持しロードロックから回収可能となる。
【0021】
下部ロードロック4の構成は上部ロードロックと同様である。逆配置とはいえカム機構24およびスリット弁25が同様の構成であるからである。下部ロードロックにおいては、ウェハを下部リッドバルブ26の上面に脚部によって直接支持可能であるので、ウェハを支持するロードロックキャリアを具備する必要はない。下部リッドバルブは脚部間に同一形状の切欠き部を備え、エンドエフェクタがウェハを脚部に配置・回収できるようになっている。
【0022】
図1ではロードロックは上昇・閉状態で示され、この状態において下部リッドバルブ26はハウジング6とともにその周囲を封止し、これにより下部ロードロック4と真空室1間のシールを行うとともに、ハウジング6の板7の下面と下部リッドバルブ26の上面間に封止されたロードロック室27を画成する。上部ロードロック3および下部ロードロック4のロードロック室の容量は、必要となる排気および通気を最小とするためできる限り少なくされる。
【0023】
図1の構成、またスリットバルブ25が開の状態で、ウェハをロードロック室27に装入して下部リッドバルブの脚部によって支持可能である。次にスリット弁25が閉止され、ロードロック室27が排気ポート28から排気される。下部リッドバルブ26が下降可能となり、真空室側のシールが解かれて真空室1からロードロック室27への接近路が準備される。
【0024】
ロードロック機構2から静電チャックへのウェハ搬送ロボット機構について詳細に説明する。図3に示す把持アーム(以後下部把持アームと称する)に加え、ロボットは同一構成の上部把持アーム29をさらに有する。両アームは互いに隣接して取付けられ、垂直軸23に沿って一体に可動であるとともに垂直軸23を中心にして別個に回転可能である。
【0025】
把持アームの操作機構を図4に示す。下部把持アーム22はハブ30を介して内軸31に結合されている。上部把持アーム29はハブ32を介して外軸33に結合されている。内軸31はモータ35によって回転し、外軸33はモータ36によって回転する。両軸の真空シールは鉄・流体シールによって行われる。空気ダクト37、38によって把持アームへの送気が可能となり、これらのアームの空気圧による開閉操作が行われる。第3モータ39は送りねじ軸39Aを回転し、軸23に沿って両把持アーム22、29を一体的に軸方向に移動させる。
【0026】
1対の把持アーム22、29を有することによって、一方が特定の場所でウェハを取出した際、前記第1把持アームが次の装入ウェハを伴って戻るのを待つことなく、その場所で他方がウェハを直ちに装入することができる。静電チャックはロードチャック3、4のいずれか一方と同一高さであってよい。把持アーム22、29の高さ方向の移動は静電チャックと前記静電チャックと高さ方向にオフセットしているロードロックとの間でウェハを移動させる時だけ必要であるからである。一方、静電チャックは高さ方向で両ロードロック間にあり、ウェハ搬送毎の把持アームの高さ方向の移動は小さくてよい。
【0027】
この装置の装入・取出し操作全体について図5を参照して説明する。この図の鍵は5つの構成要素、すなわち静電チャック(c)、上部アーム、下部アーム、上部ロードロック(LU)、下部ロードロック(LL),ウェハを大気側から両ロードロックに装入するロボットが左欄に記載されていることである。ある時間の各構成要素の動作を各構成要素のすぐ右側にある陰影部で示す。陰影部内の文字は構成要素が特定時間に移動した場所を示す。例えば、下部アーム手段の位置を示す行に(c)のある陰影部は、この時、下部アームが静電チャックにあることを意味する。ロボットでは行中の文字(M)はウェハを注入装置に供給する大気側マガジンを示し、また行中の文字(or)はウェハをロードロック機構2に配置するに先立ちウェハを正しく配向するイオン配向装置を示す。
【0028】
未処理ウェハがマガジン(M)を離れてから処理済ウェハがマガジン(M)に戻った時までの単一ウェハの装置内移動を参照すると、装置の動作を最も分かりやすく説明できる。ウェハを特定場所に配置する毎に、前記ウェハより1工程先のウェハはこの場所から除去される。またウェハを特定場所からピックアップする毎に、1段階あとの工程のウェハが置き換わる。
【0029】
ウェハは大気ロボットによってマガジン(M)からピックアップされ、配向機構(or)に搬送され、図5の40に示す正しい向きに回転される。次の工程で大気ロボットは配向機構(or)からウェハをピックアップし、下部ロードロック4に搬送する。この時、装置は下部スリットバルブ25が開、下部リッドバルブ26が上昇となっている。ウェハが所定の場所に置かれると、スリットバルブ25が閉止され、ロードロック室27が図5の41に示すように排気される。大気ロボットは図5の最下行に示すように上部ロードロック3および下部ロードロック4を交互に装荷する。
【0030】
ロードロック室27が排気されると、下部リッドバルブ26がカム機構24によって下降する。ウェハは図5の42に示すように上部把持アーム29によって把持可能な位置にある。上述のように、下部アーム22は図5の43に示すように処理済ウェハを下部ロードロック4へと逆方向に移動させる。次にウェハを把持した上部把持アーム29が軸23を中心にして静電チャック側に回転し、待機する。この間、ウェハを搬送していない下部把持アーム22は静電チャック側へ移動し、図5の44に示すようにスキャンが終了したウェハをピックアップする。次にウェハは図5の45に示すように静電チャックに載置される。静電チャックは静電作動されウェハをチャックに吸引し、水平装入状態から垂直スキャン状態へ回転される。これには略1秒かかるが、図5の46に図示してある。前述のように、ウェハは図5の47に示すようにイオンビームによってスキャンされる。この操作が終了すると静電チャックは図5の48に示すように水平装入状態に戻り、下部把持アーム22は図5の49に示すようにウェハを回収する。上部把持アーム29は図5の50に示すように処理する次のウェハを静電チャックに装入する。次に下部アームは軸33を中心にして回転し、図5の51に示すようにウェハを下部ロードロック4に搬送する。この時、下部リッドバルブ26は下降位置にあり、スリットバルブ25は閉止されている。ウェハが所定の位置に置かれると、下部リッドバルブ26は上昇し、ロードロック室27はポート28あるいは別のポートを介して図5の52に示すように大気圧と通気される。ロードロック室が通気されるとスリットバルブ25が開放し、ウェハは大気ロボットによって収集され、完成ウェハを収納するマガジンに戻される。
【0031】
図5から明らかなように、ロードロック3,4の一方が真空状態に排気され、他方はほとんど同時だがやや遅れて大気に通気される。これは処理済ウェハが一方のロードロックを通って真空室から搬送され、未処理ウェハが他方のロードロックを通って真空室に搬送されることを意味する。これによって、ウェハを静電チャックに規則的に供給可能となり、各注入操作間のとぎれを低減できる。
【0032】
この発明の装置によって1時間270枚のウェハを処理可能であるが、従来技術では1時間200枚である。
【図面の簡単な説明】
【図1】2つのロードロック室および真空室の一部の一側面からの概略断面図である。
【図2】図1に示す装置の概略平面図である。
【図3】リッドバルブを取外した状態の上部ロードロックの斜視図である。
【図4】真空室の両把持アームの駆動機構の断面図である。
【図5】装置の各構成要素の動きを示す処理能力の線図である。

Claims (8)

  1. ウェハ処理装置であって、
    ウェハ処理位置でウェハを連続的に処理する真空室と、
    ウェハを前記真空室に装入する2つのロードロックと、
    ウェハを前記ロードロックからウェハ処理位置へ搬送する機構とを備え、
    各ロードロックは、選択的に操作されて外気から前記ロードロックを封止する外部バルブ、選択的に操作されて真空室から前記ロードロックを封止する内部バルブ、および前記ロードロックの排気・加圧用ポートを有し、一方のロードロックは、もう一方のロードロックの上方に位置し、
    前記機構は、軸を中心にして回転する第1の把持アーム及び第2の把持アームと、前記第1及び第2の把持アームを駆動するロボットとを含み、
    両方の前記ロードロックは、前記軸から同一径距離に配置されており、
    前記第1の把持アームは前記2つのロードロックに接近可能であり、
    前記第2の把持アームは前記2つのロードロックに接近可能であり、
    前記ロボットは、前記第1及び第2の把持アームが周りを回動する前記軸の方向への軸移動、並びに前記第1及び第2の把持アームが前記内部バルブを介して前記ロードロックの位置に揺動するように、前記軸を中心とした回転運動のみを提供し、
    前記第2の把持アームは、前記軸の方向に沿って前記第1の把持アームと一体に可動であるとともに、前記第1の把持アームとは別個に前記軸を中心として回転可能であり、
    前記第2の把持アームのウェハ把持部は、前記第1の把持アームのウェハ把持部の真下に配置されているウェハ処理装置。
  2. 各ロードロックはハウジング部分と、内部バルブを形成しているリッドバルブ部分とを含み、これら2つの部分は同時にウェハ面に垂直方向に移動して前記内部バルブを閉じて前記ロードロックを封止するとともに、逆方向に離隔移動して、前記内部バルブを開けて前記ロードロックを開放してウェハ面に略垂直な単一軸で回動する前記第1及び第2の把持アームによるウェハへの接近を可能にする請求項1に記載のウェハ処理装置。
  3. 上部ロードロックは、上部リッドバルブ部分の上方移動により操作される内部バルブを有し、下部ロードロックは下部リッドバルブ部分の下方移動により操作される内部バルブを有する請求項1に記載のウェハ処理装置。
  4. 各外部バルブはスリットバルブであり、前記スリットバルブ内でゲート部材が上昇・下降して前記ロードロックへの接近を可能にするスリットを覆うよう構成された請求項1に記載のウェハ処理装置。
  5. 各ロードロックは単一ウェハロードロックである請求項1に記載のウェハ処理装置。
  6. 前記ロボットは、1対の同軸シャフトと、軸方向移動および回転運動を行う動力源とを備え、前記一対の同軸シャフトの各シャフトは、前記第1及び第2の把持アームのいずれか一方をそれぞれ保持しており、両シャフトは前記軸を中心として別個に回転可能であり、前記軸方向に沿って一体に可動である請求項1に記載のウェハ処理装置。
  7. 前記1対の同軸シャフトは、前記第1及び第2の把持アームの空気機構に空気を供給して前記第1及び第2の把持アームのそれぞれを開閉する空気ダクトを含む請求項6に記載のウェハ処理装置。
  8. 前記1対の同軸シャフトは、親ねじによって一体に軸方向に可動である請求項6又は請求項7に記載のウェハ処理装置。
JP2000118042A 1999-04-19 2000-04-19 ウェハ処理装置 Expired - Fee Related JP4620214B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/293,939 US6350097B1 (en) 1999-04-19 1999-04-19 Method and apparatus for processing wafers
US09/293939 1999-04-19

Publications (2)

Publication Number Publication Date
JP2000357722A JP2000357722A (ja) 2000-12-26
JP4620214B2 true JP4620214B2 (ja) 2011-01-26

Family

ID=23131211

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000118042A Expired - Fee Related JP4620214B2 (ja) 1999-04-19 2000-04-19 ウェハ処理装置

Country Status (3)

Country Link
US (2) US6350097B1 (ja)
EP (2) EP1052681B1 (ja)
JP (1) JP4620214B2 (ja)

Families Citing this family (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6828772B1 (en) * 2000-06-14 2004-12-07 Micron Technology, Inc. Rotating gripper wafer flipper
US6663333B2 (en) * 2001-07-13 2003-12-16 Axcelis Technologies, Inc. Wafer transport apparatus
DE60214763T2 (de) * 2001-11-29 2007-09-06 Diamond Semiconductor Group LLC., Gloucester Waferhandhabungsvorrichtung und verfahren dafür
US20040221811A1 (en) * 2001-11-30 2004-11-11 Robert Mitchell Method and apparatus for processing wafers
JP3674864B2 (ja) * 2003-03-25 2005-07-27 忠素 玉井 真空処理装置
US7010388B2 (en) * 2003-05-22 2006-03-07 Axcelis Technologies, Inc. Work-piece treatment system having load lock and buffer
US6987272B2 (en) * 2004-03-05 2006-01-17 Axcelis Technologies, Inc. Work piece transfer system for an ion beam implanter
US8336419B2 (en) * 2004-04-08 2012-12-25 Fabworx Solutions, Inc. Hub assembly for robotic arm having pin spacers
US7246985B2 (en) * 2004-04-16 2007-07-24 Axcelis Technologies, Inc. Work-piece processing system
US8668422B2 (en) * 2004-08-17 2014-03-11 Mattson Technology, Inc. Low cost high throughput processing platform
JP4599405B2 (ja) * 2004-08-17 2010-12-15 マットソン テクノロジイ インコーポレイテッド ウェハ処理システムのための、ウェハ移送装置及びウェハ移送方法
JP2005039285A (ja) * 2004-08-23 2005-02-10 Tadamoto Tamai 真空処理装置
US20060046376A1 (en) * 2004-08-31 2006-03-02 Hofer Willard L Rotating gripper wafer flipper
JP4907077B2 (ja) * 2004-11-30 2012-03-28 株式会社Sen ウエハ処理装置及びウエハ処理方法並びにイオン注入装置
JP2008525125A (ja) * 2004-12-22 2008-07-17 インテリジェント ホスピタル システムズ リミテッド 自動調剤混合システム(apas)
US20100172725A1 (en) * 2009-01-07 2010-07-08 Gm Global Technology Operations, Inc. Receiving and Presenting Parts to an Operating Station
DE102011114852A1 (de) 2010-10-06 2012-05-03 Von Ardenne Anlagentechnik Gmbh Einschleusvorrichtung für eine Vakuumanlage
CN112736014B (zh) * 2020-12-30 2024-01-16 中科晶源微电子技术(北京)有限公司 用于锁定晶片的晶片锁定机构、晶片定位装置和晶片输送设备

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06283130A (ja) * 1992-12-22 1994-10-07 Eaton Corp イオン注入機におけるウエハ移動方法及びその処理装置
JPH0825151B2 (ja) * 1988-09-16 1996-03-13 東京応化工業株式会社 ハンドリングユニット
JPH09104983A (ja) * 1995-08-05 1997-04-22 Kokusai Electric Co Ltd 基板処理装置

Family Cites Families (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR1459250A (fr) * 1965-10-06 1966-04-29 Siersatom Sa Bras de manipulation à distance
DE2350296A1 (de) * 1972-10-18 1974-05-02 Electrolux Ab Verbindungselement an einem roboter
US4030309A (en) * 1976-05-18 1977-06-21 Burton Hoster Mason Work arm system for submergible chamber
DE3219502C2 (de) * 1982-05-25 1990-04-19 Ernst Leitz Wetzlar Gmbh, 6330 Wetzlar Vorrichtung zum automatischen Transport scheibenförmiger Objekte
US4632624A (en) * 1984-03-09 1986-12-30 Tegal Corporation Vacuum load lock apparatus
US4917556A (en) * 1986-04-28 1990-04-17 Varian Associates, Inc. Modular wafer transport and processing system
JPH0828205B2 (ja) * 1989-10-27 1996-03-21 株式会社日立製作所 ウエハ搬送装置
FR2656599B1 (fr) * 1989-12-29 1992-03-27 Commissariat Energie Atomique Dispositif de rangement d'objets plats dans une cassette avec rayonnages intermediaires.
US5100502A (en) * 1990-03-19 1992-03-31 Applied Materials, Inc. Semiconductor wafer transfer in processing systems
JPH06104326A (ja) * 1992-09-18 1994-04-15 Tokyo Electron Ltd 処理システム
JP3264076B2 (ja) * 1994-01-31 2002-03-11 松下電器産業株式会社 真空処理装置
DE19514037C2 (de) * 1995-04-13 1997-09-04 Leybold Ag Transportvorrichtung
KR100310249B1 (ko) * 1995-08-05 2001-12-17 엔도 마코토 기판처리장치
US5733096A (en) * 1995-09-13 1998-03-31 Silicon Valley Group, Inc. Multi-stage telescoping structure
US6481956B1 (en) * 1995-10-27 2002-11-19 Brooks Automation Inc. Method of transferring substrates with two different substrate holding end effectors
US5647724A (en) * 1995-10-27 1997-07-15 Brooks Automation Inc. Substrate transport apparatus with dual substrate holders
JP3650495B2 (ja) * 1995-12-12 2005-05-18 東京エレクトロン株式会社 半導体処理装置、その基板交換機構及び基板交換方法
TW321192U (en) * 1995-12-23 1997-11-21 Samsung Electronics Co Ltd A arm of robot for transporting semiconductor wafer
JP3204115B2 (ja) * 1996-01-25 2001-09-04 ダイキン工業株式会社 ワーク搬送ロボット
US5751003A (en) * 1996-02-16 1998-05-12 Eaton Corporation Loadlock assembly for an ion implantation system
US6176667B1 (en) * 1996-04-30 2001-01-23 Applied Materials, Inc. Multideck wafer processing system
US5772773A (en) * 1996-05-20 1998-06-30 Applied Materials, Inc. Co-axial motorized wafer lift
US6062798A (en) * 1996-06-13 2000-05-16 Brooks Automation, Inc. Multi-level substrate processing apparatus
KR100269097B1 (ko) * 1996-08-05 2000-12-01 엔도 마코토 기판처리장치
US5909994A (en) * 1996-11-18 1999-06-08 Applied Materials, Inc. Vertical dual loadlock chamber
US5961269A (en) * 1996-11-18 1999-10-05 Applied Materials, Inc. Three chamber load lock apparatus
JPH10229111A (ja) * 1997-02-18 1998-08-25 Hitachi Ltd 半導体製造装置
JP3522075B2 (ja) * 1997-04-08 2004-04-26 株式会社小松製作所 ハンドリング用ロボットの制御方法
US6059507A (en) * 1997-04-21 2000-05-09 Brooks Automation, Inc. Substrate processing apparatus with small batch load lock
US5894760A (en) * 1997-06-12 1999-04-20 Brooks Automation, Inc. Substrate transport drive system
JPH11129184A (ja) * 1997-09-01 1999-05-18 Dainippon Screen Mfg Co Ltd 基板処理装置および基板搬入搬出装置
JP4048387B2 (ja) * 1997-09-10 2008-02-20 東京エレクトロン株式会社 ロードロック機構及び処理装置
US5898179A (en) 1997-09-10 1999-04-27 Orion Equipment, Inc. Method and apparatus for controlling a workpiece in a vacuum chamber
JP3286240B2 (ja) * 1998-02-09 2002-05-27 日本エー・エス・エム株式会社 半導体処理用ロードロック装置及び方法
US6132165A (en) * 1998-02-23 2000-10-17 Applied Materials, Inc. Single drive, dual plane robot
US6547510B1 (en) * 1998-05-04 2003-04-15 Brooks Automation Inc. Substrate transport apparatus with coaxial drive shafts and dual independent scara arms
JPH11333778A (ja) * 1998-05-29 1999-12-07 Daihen Corp 搬送用ロボット装置
US6450755B1 (en) * 1998-07-10 2002-09-17 Equipe Technologies Dual arm substrate handling robot with a batch loader
US6485250B2 (en) * 1998-12-30 2002-11-26 Brooks Automation Inc. Substrate transport apparatus with multiple arms on a common axis of rotation
US6190103B1 (en) * 1999-03-31 2001-02-20 Gasonics International Corporation Wafer transfer device and method

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0825151B2 (ja) * 1988-09-16 1996-03-13 東京応化工業株式会社 ハンドリングユニット
JPH06283130A (ja) * 1992-12-22 1994-10-07 Eaton Corp イオン注入機におけるウエハ移動方法及びその処理装置
JPH09104983A (ja) * 1995-08-05 1997-04-22 Kokusai Electric Co Ltd 基板処理装置

Also Published As

Publication number Publication date
EP1052681B1 (en) 2011-11-23
JP2000357722A (ja) 2000-12-26
US20020034433A1 (en) 2002-03-21
US6679675B2 (en) 2004-01-20
EP1052681A2 (en) 2000-11-15
EP1833079A1 (en) 2007-09-12
EP1833079B1 (en) 2012-05-16
EP1052681A3 (en) 2006-09-06
US6350097B1 (en) 2002-02-26

Similar Documents

Publication Publication Date Title
JP4620214B2 (ja) ウェハ処理装置
US7246985B2 (en) Work-piece processing system
US11801598B2 (en) Substrate transport apparatus with multiple movable arms utilizing a mechanical switch mechanism
US20240066685A1 (en) Substrate transport apparatus with multiple movable arms utilizing a mechanical switch mechanism
US6799939B2 (en) Multiple independent robot assembly and apparatus for processing and transferring semiconductor wafers
JP5323718B2 (ja) 高生産性ウエハ連続処理末端装置
US5789878A (en) Dual plane robot
JP2598353B2 (ja) 基板処理装置、基板搬送装置及び基板交換方法
JP4935987B2 (ja) イオン注入機と共に使用するための移送装置及びその方法
US6048162A (en) Wafer handler for multi-station tool
US6722834B1 (en) Robot blade with dual offset wafer supports
US8651539B1 (en) Integrated gripper for workpiece transfer
JPH0828411B2 (ja) 半導体ウェハ製造装置、半導体ウェハ処理装置及びチャック装置
JP2002507323A (ja) ルーレット式ウェーハ搬送システム
JP2010512026A (ja) 高生産性ウエハノッチアライメント装置
JP2000012647A (ja) ウエハ搬送装置及びその方法
CN115244674A (zh) 基板处理设备
US20040005211A1 (en) Multiple independent robot assembly and apparatus and control system for processing and transferring semiconductor wafers
US20040221811A1 (en) Method and apparatus for processing wafers

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20070416

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100105

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20100401

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20100406

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20100506

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20100511

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20100607

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20100610

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100623

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100713

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100924

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20101019

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20101028

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20131105

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20131105

Year of fee payment: 3

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: R3D02

LAPS Cancellation because of no payment of annual fees