JP4354987B2 - 基板処理装置 - Google Patents

基板処理装置 Download PDF

Info

Publication number
JP4354987B2
JP4354987B2 JP2006510398A JP2006510398A JP4354987B2 JP 4354987 B2 JP4354987 B2 JP 4354987B2 JP 2006510398 A JP2006510398 A JP 2006510398A JP 2006510398 A JP2006510398 A JP 2006510398A JP 4354987 B2 JP4354987 B2 JP 4354987B2
Authority
JP
Japan
Prior art keywords
electrode
gas
processing
processing chamber
electrodes
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2006510398A
Other languages
English (en)
Other versions
JPWO2005083766A1 (ja
Inventor
静枝 小川
一行 豊田
基成 竹林
信雄 石丸
忠司 紺谷
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hitachi Kokusai Electric Inc
Original Assignee
Hitachi Kokusai Electric Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Kokusai Electric Inc filed Critical Hitachi Kokusai Electric Inc
Publication of JPWO2005083766A1 publication Critical patent/JPWO2005083766A1/ja
Application granted granted Critical
Publication of JP4354987B2 publication Critical patent/JP4354987B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45578Elongated nozzles, tubes with holes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Electromagnetism (AREA)
  • Inorganic Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)

Description

本発明は、基板処理装置に関し、特に、プラズマにより励起された処理ガスを利用して半導体ウエハに成膜を行う基板処理装置に関する。
プラズマにより励起された処理ガスを利用して半導体ウエハに成膜を行う基板処理装置には、処理ガスとの反応を防止するために、プラズマを発生させる電極を保護管内に収容する構造のものがある。(日本国特開2002−280378号公報参照)
本発明者らは、そのような構造の基板処理装置では、プラズマを均一に発生させることができると共に、装置への装着が容易な構造の電極が望ましいことを見いだした。
従って、本発明の主な目的は、プラズマを均一に発生させることができると共に、装置への装着が容易な構造の電極を備える基板処理装置を提供することにある。
本発明の一態様によれば、
少なくとも一つの基板を収容する処理室と、
前記処理室内に処理ガスを供給するガス供給系と、
前記処理室内の雰囲気を排気する排気系と、
前記処理ガスを活性な状態とするため、保護管内に挿抜可能に収容された、少なくとも一対の電極と、を有し、
前記電極は、少なくとも一箇所が屈曲した状態で前記保護管内に収容され、更に、前記電極は可撓性の部材で構成したことを特徴とする基板処理装置が提供される。
本発明の実施例1に係る基板処理装置の縦型の基板処理炉を説明するための概略縦断面図である。 本発明の実施例1に係る基板処理装置の縦型の基板処理炉を説明するための概略横断面図である。 本発明の実施例1に係る基板処理装置の縦型の基板処理炉に使用するプラズマ発生用電極を説明するための概略図である。 本発明の実施例1に係る基板処理装置の縦型の基板処理炉に使用するプラズマ発生用電極を説明するための概略図である。 本発明の実施例1に係る基板処理装置の縦型の基板処理炉に使用するプラズマ発生用電極を説明するための概略図である。 比較ための縦型の基板処理炉を説明するための概略縦断面図である。 本発明の実施例1に係る基板処理装置を説明するための概略斜視図である。 本発明の実施例1に係る基板処理装置を説明するための概略縦断面図である。
本発明の好ましい実施形態によれば、
少なくとも一つの基板を収容する処理室と、
前記処理室内に処理ガスを供給するガス供給系と、
前記処理室内の雰囲気を排気する排気系と、
前記処理ガスを活性な状態とするため、保護管内に挿抜可能に収容された、少なくとも一対の電極と、を有し、
前記電極は、少なくとも一箇所が屈曲した状態で前記保護管内に収容され、更に、前記電極は可撓性の部材で構成したことを特徴とする基板処理装置が提供される。
好ましくは、前記電極は、線状の導電部材を編み込んで構成されている。さらに好ましくは、前記電極に芯が設けられている。
また、好ましくは、前記電極は、線状の導電部材を編み込んで構成した部材を中空の円筒形状としたものである。
また、好ましくは、前記電極は、線状の導電部材を束ねて構成した部材からなる。
また、好ましくは、前記一対の電極のそれぞれの電極は、それぞれが別体の保護管に挿抜可能に収容されている。
また、好ましくは、前記一対の電極のそれぞれの電極の外径が、前記別体の保護管のそれぞれの内径より1乃至2mm小さい。
また、好ましくは、前記基板処理装置が、複数の基板を所定の間隔で積層して保持する基板保持部材を、処理室内に収容した状態で基板の処理が実行される装置であって、
前記一対の電極が基板の積層方向に亘って配置され、更に、前記電極の端部が、前記基板保持部材に保持される前記電極端部側の最端の基板位置よりも、少なくとも基板保持部材での基板同士の間隔分の長さを超えて設置されている。
この場合に、より好ましくは、前記電極の端部が、前記基板保持部材の天板の位置を超えた位置に設置されている。
また、本発明の好ましい実施形態によれば、
少なくとも一つの基板を収容する処理室と、
前記処理室内に処理ガスを供給するガス供給系と、
前記処理室内の雰囲気を排気する排気系と、
前記処理ガスを活性な状態とするため、保護管内に挿抜可能に収容された、少なくとも一対の電極と、を有し、
前記電極は、少なくとも一箇所が屈曲した状態で前記保護管内に収容され、更に、前記電極は可撓性の部材で構成したことを特徴とする基板処理装置を使用して、基板を処理する工程を備える半導体装置の製造方法が提供される。
次に、本発明の好ましい実施例を説明する。
本発明の好ましい実施例においては、プラズマを発生させる電極は、少なくとも一箇所が屈曲した状態で保護管内に収容されると共に、可撓性の部材で構成されている。
電極を可撓性とすることにより、保護管内に挿入時に柔軟性を持たせることができると共に、熱膨張を抑制することができるためである。また、反応室の下から上まで均一な放電を行うために細長形状の電極を用いる場合でも、保護管に容易に着脱することができる。
また、プラズマを発生させる電極を、線状の導電部材を編み込んで構成することにより、電極挿入時の自由度を大きくすることができ、熱膨張による変形が少ないものとすることができる。さらに、表面積を確保出来、高周波は物体の表面を流れる特性があるので、プラズマを効率よく発生させることができるようになる。特に電極を円筒形状に加工することで更に良い効果が得られる。
プラズマを発生させる電極に芯を設けることにより、可撓性のある電極を縮みなく使用でき、複数のウェハについてより均一なプラズマを供給できる。
また、プラズマを発生させる電極を、線状の導電部材を束ねて構成した部材からなるようにすることも好ましく、このようにすることで、断面が丸形の屈曲性のある細長形状の電極を容易に実現できるようになる。
なお、導電部材の1例として、鉄、ニッケル、炭素、金等、またはそれらを含む化合物が好ましく用いられる。この中で、耐熱性、金属汚染、価格を考慮すれば、ニッケルがより好ましく用いられる。
また、特に500℃以上の高温雰囲気で用いる場合は、タングステン、モリブデンなどの高融点金属や炭素繊維なども好ましく用いられる。
次に、図面を参照して本発明の実施例をより詳細に説明する。
本実施例として、ウエハ等の基板へのプロセス処理例としてALD(Atomic Layer Deposition)法を用いた成膜処理について、簡単に説明する。
ALD法は、ある成膜条件(温度、時間等)の下で、成膜に用いる2種類(またはそれ以上)の原料となるガスを1種類ずつ交互に基板上に供給し、1原子層単位で吸着させ、表面反応を利用して成膜を行う手法である。
即ち、利用する化学反応は、例えばSiN(窒化珪素)膜形成の場合ALD法ではDCS(SiHCl、ジクロルシラン)とNH(アンモニア)を用いて300〜600℃の低温で高品質の成膜が可能である。また、成膜に必要な原料ガスは、複数種類の反応性ガスを1種類ずつ交互に供給する。そして、膜厚制御は、反応性ガス供給のサイクル数で制御する。(例えば、成膜速度が1Å/サイクルとすると、20Åの膜を形成する場合、処理を20サイクル行う。)
図1は、本実施例にかかる基板処理装置の縦型の基板処理炉を説明するための概略縦断面図であり、図2は本実施例にかかる基板処理装置の縦型の基板処理炉を説明するための概略横断面図である。
加熱手段であるヒータ207の内側に、基板であるウエハ200を処理する反応容器として反応管203が設けられ、この反応管203の下端開口は蓋体であるシールキャップ219により気密部材であるOリング(図示せず)を介して気密に閉塞されている。反応管203およびヒータ207の外側には断熱部材208が設けられている。断熱部材208は反応管203の上方をも覆うように設けられている。少なくとも、ヒータ207、断熱部材208、反応管203、及びシールキャップ219により処理炉202を形成している。また、反応管203、シールキャップ219および後述する反応管203内に形成されたバッファ室237により処理室201を形成している。シールキャップ219にはボート台218および回転軸220を介して基板保持手段であるボート217が立設され、ボート台218はボート217を保持する保持体となっている。そして、ボート217は処理炉202に挿入される。ボート217にはバッチ処理される複数のウエハ200が水平姿勢で管軸方向に多段に垂直方向に積載される。ヒータ207は処理炉202に挿入されたウエハ200を所定の温度に加熱する。
反応管203内の中央部には複数枚のウエハ200を多段に同一間隔で鉛直方向に載置するボート217が設けられており、このボート217は図中省略のボートエレベータ機構により反応管203に出入りできるようになっている。また処理の均一性を向上するためにボート217を回転するための回転手段であるボート回転機構267が設けてあり、ボート回転機構267を回転することにより、ボート台218に保持されたボート217を回転するようになっている。
そして、処理炉202へは複数種類、ここでは2種類のガスを供給する供給管としての2本のガス供給管232a、232bが設けられる。ここではガス供給管232aからは流量制御手段であるマスフローコントローラ241a及び開閉弁であるバルブ243aを介し、更に後述する反応管203内に形成されたバッファ室237を介して処理室201に反応ガスが供給され、ガス供給管232bからは流量制御手段であるマスフローコントローラ241b、開閉弁であるバルブ243b、ガス溜め247、及び開閉弁であるバルブ243cを介し、ガス供給部(図示せず)を介して処理室201に反応ガスが供給されている。
処理室201は、ガスを排気する排気管であるガス排気管231によりバルブ243dを介して排気手段である真空ポンプ246に接続され、真空排気されるようになっている。尚、このバルブ243dは弁を開閉して処理室201の真空排気・真空排気停止ができ、更に弁開度を調節して圧力調整可能になっている開閉弁である。
処理室201を構成している反応管203の内壁とウエハ200との間における円弧状の空間には、反応管203の下部より上部の内壁にウエハ200の積載方向に沿って、ガス分散空間であるバッファ室237が設けられており、そのバッファ室237のウエハ200と隣接する内側の壁の端部近傍にはガスを供給する供給孔であるガス供給孔248aが設けられている。このガス供給孔248aは反応管203の中心へ向けて開口している。このガス供給孔248aは、ウエハ200の積載方向に沿って下部から上部に所定の長さにわたってそれぞれ同一の開口面積を有し、更に同じ開口ピッチで設けられている。
そしてバッファ室237のガス供給孔248aが設けられた側と反対側の反応管203の側壁には、ガス供給管232aが接続されている。
さらに、バッファ室237に、細長い構造を有する電極269及び電極270が上部より下部にわたって電極を保護する保護管である電極保護管275にそれぞれ保護されて配設され、この電極269又は電極270のいずれか一方は整合器272を介して高周波電源273に接続され、他方は基準電位であるアースに接続されている。この結果、電極269及び電極270間のプラズマ生成領域224にプラズマが生成される。
この電極保護管275は、電極269及び電極270のそれぞれをバッファ室237の雰囲気と隔離した状態でバッファ室237に挿入できる構造となっている。
ここで、電極保護管275の内部は外気(大気)と同一雰囲気であると、電極保護管275にそれぞれ挿入された電極269及び電極270はヒータ207の加熱で酸化されてしまう。そこで、電極保護管275の内部は窒素などの不活性ガスを充填あるいはパージし、酸素濃度を充分低く抑えて電極269又は電極270の酸化を防止するための不活性ガスパージ機構(図示せず)が設けられる。
本実施例では、電極保護管275を途中で屈曲した構造としており、バッファ室237内を最下端のウエハ200よりも下側からボート天板216よりも上部まで延在する垂直部とその下の斜め部とから構成されている。斜め部の下端は反応管203の側壁から外部に突き出している。
電極269及び電極270として、可撓性のある部材を使用することにより、電極保護管275内に、電極保護管275の斜め部の下端から電極269、270を挿入すると共に、電極269、270を電極保護管275の上端部まで達するようにすることができる。
電極269、270の一例として、導電部材を薄板に加工した構造を図3に示す。これは可撓性のある導電部材を1つ使用した電極269、270の一例である。この電極269、270は導電部材を薄板に加工したものなので、薄板の主面に垂直方向について屈曲性が得られる。そのため、電極269、270の差込み口が反応管203の側面にある構造でも、電極269、270の電極保護管275への差込みが可能である。またこの電極269、270に高周波電力を印可すると、プラズマ224を発生させることができる。ただ、薄板の電極269、270は電極保護管275の中で歪みが生じてしまい、電極269、270間の間隔が垂直方向で一定でなくなってプラズマ224は不均一となる場合もある。
次に、屈曲性のある導電部材を複数使用した電極269、270の例を図4、図5を参照して説明する。
まず、線状に加工した導電部材を束ねた電極269、270の構造を図4に示す。この電極269、270は導電部材を線状に加工したものを使用しているので、可撓性、屈曲性が得られる。
また、図5には、線状に加工した導電部材を編み込んだ構造の例を示している。この電極269、270は複数本の導電部材を編み込み、その中心に芯271を通した構造になっている。編み込み型電極269、270に芯271がない場合は、電極269、270は、プラズマ224発生時に熱が加わると、柔らかくなって網目が詰まり、下方向に縮むことがある。また、メンテナンス時に一度抜いた電極269、270を電極保護管275に再挿入する際に、柔らかくなった電極269、270は電極保護管275との摩擦で縮み、最上部のウェハ200まで電極269、270が到達しないことがある。最上部のウェハ200まで電極267、270が届いていないということは、複数のウェハ200が存在する領域でプラズマ224が未発生な部分または不均一な部分ができ、成膜にも影響がでることが懸念される。そこで、電極269、270の中心に芯271をいれることにより、縮みを防止する構造にしている。
また、上部ウェハ200領域にプラズマ224未発生部分ができてしまうことの他の対策として、図1に示すように、電極269、270が縮んだ場合でも最上位のウェハ200までプラズマ224が供給されるように、電極保護管269、270を上方向に長くして、ボート天井部216よりも上にくるようにした。これにより、電極267、270を最上位のウェハ200より高い位置まで挿入できるようになり、熱により縮みが生じた場合でも、ウェハ200が存在する領域でのプラズマ224未発生領域が生じないようにできる。
なお、電極269、270の上部端の設置位置としては、少なくとも最上位のウエハ200よりも、ボート217に保持されるウエハ200の保持ピッチ間隔以上、上側にする。好ましくは、本実施例のように、ボート天板板216の位置を超えた位置にする。
図4、図5に示す場合は、電極保護管275の内径より1〜2mm程小さな外形になるように電極269、270を作成すると、電極269、270を差し込むための隙間が残ると共に、電極269、270が電極保護管275との間で横方向に歪む隙間をなくすことができるため、電極269、270はまっすぐな形状のままであり、プラズマをより均一に発生させることができる。
このような電極構造とすることで、反応管203内に均一なプラズマを生成するための細長形状の電極269、270を使い勝手良く着脱可能でまた安全に運用できるようにすることができる。
ガス供給孔248aの位置より、120°程度回った反応管203の内側にノズル233が設けられている。このノズル233は、ALD法による成膜においてウエハ200へ、複数種類のガスを1種類ずつ交互に供給する際に、バッファ室237とガス供給種を分担する供給部である。
このノズル233もバッファ室237と同様にウエハと隣接する位置に同一ピッチでガスを供給する供給孔であるガス供給孔248cを有し、下部ではガス供給管232bが接続されている。
ガス供給孔248cの開口面積はノズル233内と処理室201の差圧が小さい場合には、上流側から下流側まで同一の開口面積で同一の開口ピッチとすると良いが、差圧が大きい場合には上流側から下流側に向かって開口面積を大きくするか開口ピッチを小さくすると良い。
制御手段であるコントローラ321は、マスフローコントローラ241a、241b、バルブ243a、243b、243c、243d、ヒータ207、真空ポンプ246、ボート回転機構267、図中省略のボート昇降機構、高周波電源273、整合器272に接続されており、マスフローコントローラ241a、241bの流量調整、バルブ243a、243b、243cの開閉動作、バルブ243dの開閉及び圧力調整動作、ヒータ207温度調節、真空ポンプ246の起動・停止、ボート回転機構267の回転速度調節、ボート昇降機構の昇降動作制御、高周波電極273の電力供給制御、整合器272によるインピーダンス制御が行われる。
次にALD法による成膜例について、DCS及びNHガスを用いてSiN膜を成膜する例で説明する。
まず成膜しようとするウエハ200をボート217に装填し、処理炉202に搬入する。搬入後、次の4つのステップを順次実行する。
[ステップ1]
ステップ1では、プラズマ励起の必要なNHガスと、プラズマ励起の必要のないDCSガスとを併行して流す。まずガス供給管232aに設けたバルブ243a、及びガス排気管231に設けたバルブ243dを共に開けて、ガス供給管232aからマスフローコントローラ241aにより流量調整されたNHガスをバッファ室237へ噴出し、電極269及び電極270間に高周波電源273から整合器272を介して高周波電力を0.1〜0.6kW印加してNHをプラズマ励起し、活性種として処理室201に供給しつつガス排気管231から排気する。NHガスをプラズマ励起することにより活性種として流すときは、バルブ243dを適正に調整して処理室201内圧力を10〜100Paとする。マスフローコントローラ241aで制御するNHの供給流量は1000〜10000sccmである。NHをプラズマ励起することにより得られた活性種にウエハ200を晒す時間は1〜120秒間である。このときのヒータ207温度はウエハが300〜600℃になるよう設定してある。NHガスをプラズマで活性化する事によりウエハ表面を低温で処理することができる。
このNHをプラズマで励起して活性種として供給しているとき、ガス供給管232bの上流側のバルブ243bを開け、下流側のバルブ243cを閉めて、DCSも流すようにする。これによりバルブ243b、243c間に設けたガス溜め247にDCSを溜める。このとき、処理室201内に流しているガスはNHをプラズマ励起することにより得られた活性種であり、DCSは存在しない。したがって、NHは気相反応を起こすことはなく、プラズマにより励起され活性種となったNHはウエハ200上の下地膜と表面反応する。
[ステップ2]
ステップ2では、ガス供給管232aのバルブ243aを閉めて、NHの供給を止めた後、Nの不活性ガスで反応管203内をパージするが、その間も引続きガス溜め247へ供給を継続する。ガス溜め247に所定圧、所定量のDCSが溜まったら上流側のバルブ243bも閉めて、ガス溜め247にDCSを閉じ込めておく。また、ガス排気管231のバルブ243dは開いたままにし真空ポンプ246により、処理室201を十分に排気し、残留NHを処理室201から排除する。
[ステップ3]
ステップ3では、処理室201の排気が終わったらガス排気管231のバルブ243dを閉じて排気を止める。ガス供給管232bの下流側のバルブ243cを開く。これによりガス溜め247に溜められたDCSが処理室201に一気に供給される。このときガス排気管231のバルブ243dが閉じられているので、処理室201内の圧力は急激に上昇して約931Pa(7Torr)まで昇圧される。DCSを供給するための時間は2〜4秒に設定し、その後上昇した圧力雰囲気中に晒す時間を2〜4秒に設定し、合計6秒とした。このときのウエハ温度はNHの供給時と同じく、300〜600℃である。DCSの供給により、DCSが表面反応しN−H結合のHがSiと置換されて、ウエハ200上にSiN膜が成膜される。
[ステップ4]
ステップ3では、成膜後、バルブ243cを閉じ、バルブ243dを開けて処理室201を真空排気し、残留するDCSの成膜に寄与した後のガスを排除する。また、この時にはN等の不活性ガスを処理室201に供給すると、更に残留するDCSの成膜に寄与した後のガスを処理室201から排除する効果が高まる。またバルブ243bを開いてガス溜め247へのDCSの供給を開始する。
上記ステップ1〜4を1サイクルとし、このサイクルを複数回繰り返すことによりウエハ上に所定膜厚のSiN膜を成膜する。
なお、ALD装置では、ガスは下地膜表面に吸着する。このガスの吸着量は、ガスの圧力、及びガスの暴露時間に比例する。よって、希望する一定量のガスを、短時間で吸着させるためには、ガスの圧力を短時間で大きくする必要がある。この点で、本実施例では、バルブ243dを閉めたうえで、ガス溜め247内に溜めたDCSを瞬間的に供給しているので、処理室201内のDCSの圧力を急激に上げることができ、希望する一定量のガスを瞬間的に吸着させることができる。
また、本実施例では、ガス溜め247にDCSを溜めている間に、ALD法で必要なステップであるNHガスをプラズマ励起することにより活性種として供給、及び処理室201の排気をしているので、DCSを溜めるための特別なステップを必要としない。また、処理室201内を排気してNHガスを除去しているからDCSを流すので、両者はウエハ200に向かう途中で反応しない。供給されたDCSは、ウエハ200表面のみで有効に反応させることができる。
次に、図6を参照して、比較例として、可撓性のない電極276、277を使用した場合の反応管構造について説明する。
図6に示すように、下側から電極276を挿入する場合には、下部しか電極276を挿入することができず、プラズマがバッファ室237下の方にしか生成できないため、ウェーハ200の処理がボート217の上下で不均一になってしまう。
次に、図7、図8を参照して本発明が好適に適用される基板処理装置の一例である半導体製造装置についての概略を説明する。
筐体101内部の前面側には、図示しない外部搬送装置との間で基板収納容器としてのカセット100の授受を行う保持具授受部材としてのカセットステージ105が設けられ、カセットステージ105の後側には昇降手段としてのカセットエレベータ115が設けられ、カセットエレベータ115には搬送手段としてのカセット移載機114が取りつけられている。又、カセットエレベータ115の後側には、カセット100の載置手段としてのカセット棚109が設けられると共にカセットステージ105の上方にも予備カセット棚110が設けられている。予備カセット棚110の上方にはクリーンユニット118が設けられクリーンエアを筐体101の内部を流通させるように構成されている。
筐体101の後部上方には、処理炉202が設けられ、処理炉202の下方には基板としてのウエハ200を水平姿勢で多段に保持する基板保持手段としてのボート217を処理炉202に昇降させる昇降手段としてのボートエレベータ121が設けられ、ボートエレベータ121に取りつけられた昇降部材122の先端部には蓋体としてのシールキャップ219が取りつけられボート217を垂直に支持している。ボートエレベータ121とカセット棚109との間には昇降手段としての移載エレベータ113が設けられ、移載エレベータ113には搬送手段としてのウエハ移載機112が取りつけられている。又、ボートエレベータ121の横には、開閉機構を持ち処理炉202の下面を塞ぐ遮蔽部材としての炉口シャッタ116が設けられている。
ウエハ200が装填されたカセット100は、図示しない外部搬送装置からカセットステージ105にウエハ200が上向き姿勢で搬入され、ウエハ200が水平姿勢となるようカセットステージ105で90°回転させられる。更に、カセット100は、カセットエレベータ115の昇降動作、横行動作及びカセット移載機114の進退動作、回転動作の協働によりカセットステージ105からカセット棚109又は予備カセット棚110に搬送される。
カセット棚109にはウエハ移載機112の搬送対象となるカセット100が収納される移載棚123があり、ウエハ200が移載に供されるカセット100はカセットエレベータ115、カセット移載機114により移載棚123に移載される。
カセット100が移載棚123に移載されると、ウエハ移載機112の進退動作、回転動作及び移載エレベータ113の昇降動作の協働により移載棚123から降下状態のボート217にウエハ200を移載する。
ボート217に所定枚数のウエハ200が移載されるとボートエレベータ121によりボート217が処理炉202に挿入され、シールキャップ219により処理炉202が気密に閉塞される。気密に閉塞された処理炉202内ではウエハ200が加熱されると共に処理ガスが処理炉202内に供給され、ウエハ200に処理がなされる。
ウエハ200への処理が完了すると、ウエハ200は上記した作動の逆の手順により、ボート217から移載棚123のカセット100に移載され、カセット100はカセット移載機114により移載棚123からカセットステージ105に移載され、図示しない外部搬送装置により筐体101の外部に搬出される。尚、炉口シャッタ116は、ボート217が降下状態の際に処理炉202の下面を塞ぎ、外気が処理炉202内に巻き込まれるのを防止している。
カセット移載機114等の搬送動作は、搬送制御手段124により制御される。
明細書、特許請求の範囲、図面および要約書を含む2004年2月27日提出の日本国特許出願2004−55446号の開示内容全体は、そのまま引用してここに組み込まれる。
種々の典型的な実施の形態を示しかつ説明してきたが、本発明はそれらの実施の形態に限定されない。従って、本発明の範囲は、次の請求の範囲によってのみ限定されるものである。
以上説明したように、本発明の好ましい実施形態によれば、プラズマを均一に発生させることができると共に、装置への装着が容易な構造の電極を備える基板処理装置が提供される。
その結果、本発明は、プラズマにより励起された処理ガスを利用して半導体ウエハに成膜を行う基板処理装置に特に好適に利用できる。

Claims (3)

  1. 少なくとも一つの基板を収容する処理室と、
    前記処理室内に処理ガスを供給するガス供給系と、
    前記処理室内の雰囲気を排気する排気系と、
    前記処理ガスを活性な状態とするため、保護管内に挿抜可能に収容された、少なくとも一対の電極と、を有し、
    前記電極は、少なくとも一箇所が屈曲した状態で前記保護管内に収容され、更に、前記電極は可撓性の部材で構成し
    前記電極は、線状の導電部材を編み込んで構成した部材を中空の円筒形状としたものであることを特徴とする基板処理装置。
  2. 少なくとも一つの基板を収容する処理室と、
    前記処理室内に処理ガスを供給するガス供給系と、
    前記処理室内の雰囲気を排気する排気系と、
    前記処理ガスを活性な状態とするため、保護管内に挿抜可能に収容された、少なくとも一対の電極と、を有し、
    前記電極は、少なくとも一箇所が屈曲した状態で前記保護管内に収容され、更に、前記電極は可撓性の部材で構成し
    前記電極は、線状の導電部材を束ねて構成した部材からなることを特徴とする基板処理装置。
  3. 少なくとも一つの基板を収容する処理室と、
    前記処理室内に処理ガスを供給するガス供給系と、
    前記処理室内の雰囲気を排気する排気系と、
    前記処理ガスを活性な状態とするため、保護管内に挿抜可能に収容された、少なくとも一対の電極と、を有し、
    前記電極は、少なくとも一箇所が屈曲した状態で前記保護管内に収容され、更に、前記電極は可撓性の部材で構成し
    前記電極は、線状の導電部材を編み込んで構成されており、
    前記電極に芯を設けたことを特徴とする基板処理装置。
JP2006510398A 2004-02-27 2005-02-16 基板処理装置 Active JP4354987B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2004055446 2004-02-27
JP2004055446 2004-02-27
PCT/JP2005/002306 WO2005083766A1 (ja) 2004-02-27 2005-02-16 基板処理装置

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2009141686A Division JP5137903B2 (ja) 2004-02-27 2009-06-12 基板処理装置、半導体装置の製造方法及び電極

Publications (2)

Publication Number Publication Date
JPWO2005083766A1 JPWO2005083766A1 (ja) 2007-11-29
JP4354987B2 true JP4354987B2 (ja) 2009-10-28

Family

ID=34908865

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2006510398A Active JP4354987B2 (ja) 2004-02-27 2005-02-16 基板処理装置
JP2009141686A Active JP5137903B2 (ja) 2004-02-27 2009-06-12 基板処理装置、半導体装置の製造方法及び電極

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2009141686A Active JP5137903B2 (ja) 2004-02-27 2009-06-12 基板処理装置、半導体装置の製造方法及び電極

Country Status (5)

Country Link
US (2) US7958842B2 (ja)
JP (2) JP4354987B2 (ja)
KR (1) KR100817644B1 (ja)
TW (1) TWI254989B (ja)
WO (1) WO2005083766A1 (ja)

Families Citing this family (292)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2005083766A1 (ja) * 2004-02-27 2005-09-09 Hitachi Kokusai Electric Inc. 基板処理装置
US8251012B2 (en) * 2005-03-01 2012-08-28 Hitachi Kokusai Electric Inc. Substrate processing apparatus and semiconductor device producing method
JP4983063B2 (ja) * 2006-03-28 2012-07-25 東京エレクトロン株式会社 プラズマ処理装置
US20070240644A1 (en) * 2006-03-24 2007-10-18 Hiroyuki Matsuura Vertical plasma processing apparatus for semiconductor process
WO2007129568A1 (ja) * 2006-05-01 2007-11-15 Hitachi Kokusai Electric Inc. 基板処理装置
CN103173739B (zh) * 2006-07-31 2015-09-30 东京毅力科创株式会社 基板处理装置、程序、存储介质和决定是否需要调节的方法
JP5082595B2 (ja) * 2007-05-31 2012-11-28 東京エレクトロン株式会社 成膜装置
JP5098882B2 (ja) * 2007-08-31 2012-12-12 東京エレクトロン株式会社 プラズマ処理装置
KR20090087190A (ko) * 2008-02-12 2009-08-17 삼성전자주식회사 반도체 제조설비 그를 이용한 반도체 제조방법
JP4520512B2 (ja) * 2008-02-13 2010-08-04 キヤノンアネルバ株式会社 加熱装置
JP5198299B2 (ja) 2008-04-01 2013-05-15 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及び基板処理方法
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5805461B2 (ja) * 2010-10-29 2015-11-04 株式会社日立国際電気 基板処理装置および半導体装置の製造方法
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
JP5794194B2 (ja) * 2012-04-19 2015-10-14 東京エレクトロン株式会社 基板処理装置
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
TWI489517B (zh) * 2013-05-07 2015-06-21 Univ Nat Taiwan 表面處理裝置及方法
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
KR102043876B1 (ko) * 2016-02-09 2019-11-12 가부시키가이샤 코쿠사이 엘렉트릭 기판 처리 장치 및 반도체 장치의 제조 방법
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TWI838458B (zh) 2019-02-20 2024-04-11 荷蘭商Asm Ip私人控股有限公司 用於3d nand應用中之插塞填充沉積之設備及方法
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP6999596B2 (ja) * 2019-03-25 2022-01-18 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法及びプログラム
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
JP7130014B2 (ja) * 2019-05-28 2022-09-02 東京エレクトロン株式会社 プラズマ処理装置
CN112017936B (zh) * 2019-05-28 2024-05-31 东京毅力科创株式会社 等离子体处理装置
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
JP6937806B2 (ja) * 2019-09-25 2021-09-22 株式会社Kokusai Electric 基板処理装置、及び半導体の製造方法
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202142733A (zh) 2020-01-06 2021-11-16 荷蘭商Asm Ip私人控股有限公司 反應器系統、抬升銷、及處理方法
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
TWI798760B (zh) * 2020-08-26 2023-04-11 日商國際電氣股份有限公司 基板處理裝置、半導體裝置之製造方法、基板保持具及程式
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5816078A (ja) * 1981-07-17 1983-01-29 Toshiba Corp プラズマエツチング装置
JPH02159027A (ja) * 1988-12-13 1990-06-19 Tel Sagami Ltd プラズマ処理装置
JP2714580B2 (ja) * 1988-12-27 1998-02-16 東京エレクトロン株式会社 化学的気相成長方法及び化学的気相成長装置
JPH05160042A (ja) 1991-12-09 1993-06-25 Matsushita Electric Ind Co Ltd プラズマ生成装置および半導体薄膜のプラズマ処理方法
US6490144B1 (en) * 1999-11-29 2002-12-03 Applied Materials, Inc. Support for supporting a substrate in a process chamber
JP4602532B2 (ja) * 2000-11-10 2010-12-22 東京エレクトロン株式会社 プラズマ処理装置
JP3979849B2 (ja) * 2001-01-11 2007-09-19 株式会社日立国際電気 プラズマ処理装置および半導体装置の製造方法
JP4138269B2 (ja) * 2001-04-26 2008-08-27 株式会社日立国際電気 半導体製造装置
US20030164143A1 (en) * 2002-01-10 2003-09-04 Hitachi Kokusai Electric Inc. Batch-type remote plasma processing apparatus
US6864418B2 (en) * 2002-12-18 2005-03-08 Nanoset, Llc Nanomagnetically shielded substrate
KR100829327B1 (ko) 2002-04-05 2008-05-13 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치 및 반응 용기
JP3957549B2 (ja) 2002-04-05 2007-08-15 株式会社日立国際電気 基板処埋装置
JP4020306B2 (ja) 2002-10-07 2007-12-12 株式会社日立国際電気 基板処埋装置
WO2005083766A1 (ja) * 2004-02-27 2005-09-09 Hitachi Kokusai Electric Inc. 基板処理装置

Also Published As

Publication number Publication date
US20080153308A1 (en) 2008-06-26
TWI254989B (en) 2006-05-11
KR20060082862A (ko) 2006-07-19
JP5137903B2 (ja) 2013-02-06
US8518182B2 (en) 2013-08-27
KR100817644B1 (ko) 2008-03-27
TW200601455A (en) 2006-01-01
WO2005083766A1 (ja) 2005-09-09
JPWO2005083766A1 (ja) 2007-11-29
US7958842B2 (en) 2011-06-14
US20110209664A1 (en) 2011-09-01
JP2009212528A (ja) 2009-09-17

Similar Documents

Publication Publication Date Title
JP4354987B2 (ja) 基板処理装置
JP4828599B2 (ja) 基板処理装置
JP5388963B2 (ja) 基板処理方法、膜ストレス制御方法、基板処理装置および半導体デバイスの製造方法
JP4361932B2 (ja) 基板処理装置および半導体装置の製造方法
JP4516969B2 (ja) 基板処理装置および半導体デバイスの製造方法
JP4566787B2 (ja) 基板処理装置および半導体装置の製造方法
JP4938805B2 (ja) 基板処理装置
JP4267434B2 (ja) 基板処理装置
JP2005243737A (ja) 基板処理装置
JP4434807B2 (ja) 半導体装置の製造方法
JP4509697B2 (ja) 基板処理装置
KR101101163B1 (ko) 기판 처리 장치
JP2006269532A (ja) 半導体デバイスの製造方法
JP2005167027A (ja) 基板処理装置
JP2009253013A (ja) 基板処理装置
JP5409938B2 (ja) 基板処理装置、半導体装置の製造方法および電極
JP2006066593A (ja) 基板処理装置
KR101101164B1 (ko) 기판 처리 장치
JP2005251775A (ja) 基板処理装置
JP2006286765A (ja) 基板処理装置
JP2006013204A (ja) 基板処理装置
JP2006261441A (ja) 基板処理装置
JP2012119500A (ja) 基板処理装置

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090414

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090612

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20090707

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20090730

R150 Certificate of patent or registration of utility model

Ref document number: 4354987

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120807

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130807

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140807

Year of fee payment: 5

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313111

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350