JP4149002B2 - 静電チャックおよび物体の温度をプラズマ処理中制御する方法 - Google Patents

静電チャックおよび物体の温度をプラズマ処理中制御する方法 Download PDF

Info

Publication number
JP4149002B2
JP4149002B2 JP51678898A JP51678898A JP4149002B2 JP 4149002 B2 JP4149002 B2 JP 4149002B2 JP 51678898 A JP51678898 A JP 51678898A JP 51678898 A JP51678898 A JP 51678898A JP 4149002 B2 JP4149002 B2 JP 4149002B2
Authority
JP
Japan
Prior art keywords
electrode
cap
electrostatic chuck
lower electrode
electrode cap
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP51678898A
Other languages
English (en)
Other versions
JP2001502116A (ja
Inventor
ブライアン マックミリン
マイケル バーンズ
ブッチ バーニー
ヒューオング ソグイェン
Original Assignee
ラム リサーチ コーポレイション
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ラム リサーチ コーポレイション filed Critical ラム リサーチ コーポレイション
Publication of JP2001502116A publication Critical patent/JP2001502116A/ja
Application granted granted Critical
Publication of JP4149002B2 publication Critical patent/JP4149002B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T279/00Chucks or sockets
    • Y10T279/23Chucks or sockets with magnetic or electrostatic means

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Chemical Vapour Deposition (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)
  • Physical Vapour Deposition (AREA)

Description

発明の背景
1.発明の属する技術分野
本発明は、工作物を保持するための静電チャック(electrostatic chuck; ESC)に関する。特に、本発明は、集積回路の製造中に半導体ウエハの温度を保持し、制御するための能動的温度制御を行う静電チャックに関する。
2.従来の技術
多くのいろいろなチャックが処理中に半導体ウエハを保持するために広く用いられる。機械的チャックは支持面に対してウエハを押圧するために、アームやクランプを用いてウエハを固定する。クランプする力は、本来的に均一でなく、その結果、ウエハに一様でないストレスを生じ、それにより、変形や、ウエハと支持装置間の間の熱接触が一様でなくなってしまうことがありうる。真空チャックは、ウエハの背面の下の空間を真空にすることによってウエハを固定し、それによって処理チャンバとウエハの背面間の圧力差のためにクランプ力を発生する。真空チャックは、機械的チャックより一様なクランプ力を与えるが、多くの半導体の処理のために必要な低圧環境において、適当なクランプ力を発生するには、圧力差が不充分である。
静電チャックは、真空チャックのクランプ力をより一様にする利点と、機械的チャックの真空環境における使用の便利さを併せ持っている。静電チャック(ESC)において、電圧差がウエハと金属電極、即ち電極対間に与えられる。ウエハと電極は中間に配置された誘電対層によって分離されている。従って、発生する静電クランプ力の大きさは、印加電圧、ウエハと電極間の間隔、及び中間に配置された層の誘電定数に依存する。他のチャック装置に比べてそれらの利点として、ESCsは、半導体処理産業における幅広い利用を可能にしている。
多くの半導体ウエハの処理応用において、処理中にウエハの温度を制御することが望ましい。上昇され、制御されたウエハ温度に適した処理は、プラズマ増強された化学気層堆積(CVD)、エッチング、物理気層堆積(PVD)等を含む。
チャックを加熱するための手段を内蔵する静電チャックは、従来技術に開示されている。加熱されたESCの例は、AbeのU. S. Patent 4,384,918に記載されている。Abe特許は、絶縁シートに埋め込まれた電極を有するバイポーラのESCを開示する。2つの電極間に与えられた電圧は、シートの上面上に配置された半導体ウエハを静電的にチャックする。この絶縁シートは電気的ヒータと共に構成される支持基体上に配置される。ウエハは、電極を含む絶縁シートを通して加熱された基体からの熱伝導によって加熱される。代わりに、基体はウオータジャケットによって冷却される。
’918特許における装置は、基体が加熱されるか、或いは異なる形状で冷却されることができる。しかし、加熱及び冷却を効率よく制御することができない。ウエハと絶縁シート間、及び前年シートと加熱された基体間の思わしくない、不均一な伝達、及び加熱と冷却の構成を合体するための手段のないことが達成すべき温度制御を難しくしている。
別の加熱したESC(静電チャック)が、Loganに付与された米国特許第5、155、652号に開示されている。これは、スタック式に積んだ複合ESCアセンブリを用いる、処理中におけるウエハの温度サイクリングの方法を開示している。電気的に絶縁性で熱伝導性の複数の層に、導電性のパターンを持つ複数の層が挟まれている。この層アセンブリは、冷却チャンネルを持つ熱吸収ベースに接合されている。導電層の1つは、バイポーラーのESCクランプ電圧のための2つの電極を提供している。これらの層は、伝熱性熱吸収ベースに取付けられており、そのベースは、冷却液のチャンネルと、排気したりヘリウム等のガスを充満したりするチャンバとを備えている。
上記Logan特許の装置では、ウエハは、抵抗性加熱素子にエネルギーを与えて、ウエハを熱吸収ベースから絶縁するようにベース中のチャンバを排気することによって、加熱される。これとは別に、ウエハは、層アセンブリの次の層に伝熱するように、加熱素子のエネルギーをオフにして、ヘリウム等の伝熱性ガスを熱吸収ベースのチャンバに充満させることによって、冷却させることができる。
Loganの装置は、温度サイクリングが可能であるが、加熱機能と冷却機能とはウエハを所望の温度に維持するように一緒に作用することはない。更に、スタック式の複合アセンブリは、製造するのが複雑であり、ウエハと電極との間に挿置された窒化ほう素の分極の影響を受けやすい。これは、振動につながり、基層のチャック動作及びチャック外し動作における制御の喪失にさえなる。Loganの装置は、大きな熱フラックスがウエーハの熱暴走を阻止するために除去されねばならない高いバイアス電力応用分野には適していない。というのは、温度冷却チャンバは熱吸収ベースとの良好な熱伝達を阻止しているからである。
ノザワに付与された米国特許第5、221、403号は、温度制御ベース部材とウエーハを支持する取り外し可能な第2部材とを有するモノポーラ(単極性)ESC装置を開示している。ベース部材は、所定の温度に保持された循環液のためのチャンネルを備えている。伝熱性ガスが、層中の最小空間を満たすことによって第1部材と第2部材との間に熱伝達を提供する。この米国特許において、伝熱性ガスの目的は、ウエハとベース部材とを、冷却液の温度の選択によって予め定められた同じ温度に維持することにある。従って、達成可能な温度は、用いる液の温度範囲に制限される。更に、ノザワのデザインは、高温/高バイアスの応用分野には適していない。これは、高いチャック温度(すなわち、約100〜400℃)に達するためには、高温の循環流体が必要になり、これが、安全面での危険となり、あるいは、クリーンルームとの両立ができない。更に重要なことは、循環液の高温が、処理中のESCから除去され得る熱の量を制限し、熱暴走を導くかもしれないことである。
現在市販のどのESCも、プラズマをベースとする処理におけるウエハの適正な温度制御を提供することはできない。ウエハを所定の上昇処理温度に維持できる装置が必要とされている。加熱機能と冷却機能とは、ウエハが精密に保持される、アクセス可能な温度の広い範囲を提供するように制御可能でなければならない。
発明の概要
一般に、本発明の目的は、改良された温度制御を備えたESCを提供することである。
本発明の別の目的は、加熱制御及び冷却制御の両方を備えたESCを提供することである。
本発明の別の目的は、所定の温度を維持するためにフィードバック制御を備えた能動的に温度制御されたESCを提供することである。
上記目的及び他の目的について以下説明し明らかにするが、それによれば、本発明は、半導体ウエハのような物体を静電的に保持するモノポーラ静電チャックに関し、このモノポーラ静電チャックは、
誘電性上面を有する金属電極キャップを備え、該電極キャップは、該電極キャップを冷却するために冷却液を循環させる第1組のチャンネルと、ウエハの背面を冷却するために熱伝導性ガスを流すことができるように電極キャップを通して延びる第2組のチャンネルとを有し、
冷却液と熱伝導性ガスを供給するために電極キャップとともに導管を形成する複数組のチャンネルを有する金属製下部電極を備え、該電極の上部表面は、電極キャップの下部表面と直接接触しているとともに、電極キャップの下部表面と下部電極の上部表面との間に耐熱性Oリングシールで機械的に固着されており、
電極キャップと下部電極の両方にDC及び/またはRF電圧を加える電圧供給手段を備え、それにより、電極キャップと電極キャップの上面に配置された物体との間に静電引力が発生され、
ESCを加熱する加熱手段を備え、
ウエハの温度を制御するフィードバック手段を備えている。
一般に、電極キャップは、硬い陽極処理被覆を有するアルミニウムのような適当な絶縁体で被覆された金属電極で構成されてもよい。絶縁体被覆は、アルミナ(約0.002インチの陽極処理層の厚さを有する)または、窒化アルミニウムまたは他のセラミック材料のような他の適当な絶縁媒体であってもよい。キャップはまた、蒸着、スプレー、接着または他の同様な方法により配置された窒化アルミニウムのようなセラミックで被覆された金属プレートであってもよい。電極キャップは、ダイヤモンド被覆モリブデンまたはセラミックが金属プレートに接着されたもので構成されてもよい。特に、電極キャップは、約5〜50μmの厚さのダイヤモンド被覆を有するモリブデンで構成されてもよい。
別の実施例では、電極キャップは、二つの構成部品、すなわち、キャップ上部とキャップ下部で構成され、損傷したESC表面の交換を容易にするとともに製造コストを低くする。一般に、電極キャップの上部は、硬い陽極処理被覆を有するアルミニウムのような適当な絶縁体で被覆された金属電極で構成されてもよい。絶縁体被覆は、アルミナまたは窒化アルミニウムまたは他のセラミック材料のような他の適当な絶縁媒体であってもよい。キャップの上部はまた、蒸着、スプレー、接着または他の同様な方法により配置された窒化アルミニウムのようなセラミックで被覆された金属プレートであってもよい。電極キャップの上部は、ダイヤモンド被覆モリブデンまたはセラミックが金属プレートに接着されたもので構成されてもよく、また、ねじ付きファスナーでキャップの下部に取りつけられOリングでシールされてもよい。ヘリウムのような熱伝導性ガスがキャップの上部とキャップの下部との間に導入されて、層間の熱伝導を改良してもよい。
【図面の簡単な説明】
本発明の目的及び利点を更に理解するために、添付図面と組み合わせて以下の詳細な説明参照すべきである。
図1は、本発明の実施形態による静電チャックの概略断面図である。
図2は、本発明の別の実施形態による2(又は3)ピース電極キャップの概略断面図である。
図3は、本発明の更に別の実施形態による埋め込まれた螺旋ケーブルヒータを備える2又は3ピース電極キャップの概略断面図である。
図4は、本発明の更に別の実施形態による埋め込まれた環状螺旋ケーブルヒータを備える2又は3ピース電極キャップの概略断面図である。
好ましい実施形態の詳細な説明
本発明の実施形態を図1乃至4を参照して説明する。
図1は、本発明によるESC100の概略的断面図である。ESCの本体は、電極キャップ1と下部電極2とを含む。下部電極2は、例えば、陽極処理アルミニウムからなってよい。下部電極2の頂部表面は、電極キャップ1の底部表面と接触している。電極キャップ1と電極2との組立体は、ESCを処理チャンバから絶縁するために、電気的及び熱的絶縁リング3の頂部に配置される。セラミックリング3は、例えばAl23からなってよい。
一般的には、電極キャップ1は、ハード陽極コーティングを伴うアルミニウムのような適当な絶縁体で被覆された金属電極からなる。絶縁被覆は、アルミニウム、窒化アルミニウム、又は他のセラミック材料のような他のどんな適当な絶縁媒体であってよい。例えば、電極キャップ1は、陽極処理アルミニウム(Al23)絶縁層を備えるアルミニウム金属電極であってよい。6061−T6又は5052−H32のようなアルミニウム合金が適当に用いられうる。電極キャップ1の頂部表面の陽極被覆は、0.0254乃至0.127mm(0.001乃至0.005インチ)の厚さを備える、絶縁層1cを設ける。被覆は、多孔性でなく密封され、少なくとも500ボルト/ミル(1ミル=0.001インチ=0.0254mm)の電圧破壊耐性を提供する。絶縁層1cの頂部表面の平滑性及び平坦性の仕上げは、それぞれ約5.08μm(約20マイクロインチ)及び0.0254mm(0.001インチ)より小さくなるべきである。別の実施形態では、電極キャップ1は、モリブデンからなり、キャップの絶縁層1cは、約5乃至50μmの厚さを備えるダイアモンド薄膜である。
電極キャップ1の角は、絶縁層1cの応力集中を最小にし、鋭い角による電界の増強を防ぐために、約0.508乃至2.54mm(約0.02乃至0.10インチ)、好ましくは0.508乃至1.524mm(0.02乃至0.06インチ)の半径にすべきである(即ち、上端の角を図1に示すように丸くする)。表面及び裏面を備える半導体ウェハのような、チャックされるアーティクル4を、チャック表面1cの頂部表面と接触するウェハ4の裏面とを、チャック表面で配置させる。チャック表面1cの半径は、チャック表面をプラズマに曝さないようにするために数ミリだけウェハの半径よりも小さくすべきである。
ネジ付き機械固定具8が、電極キャップ1を下部電極2に取り付ける。図1に示すように、機械固定具8を含む電極キャップ1のリップ部分は、チャッキング表面1cを超えて延び、処理中ウエハ4の前面がプラズマに対して露出されないように保護する必要がある。図1に示すように、電極キャップ1のリップ部分上に保護リング15を配置することにより、この保護を行うことができる。保護リング15は、Al23等のセラミックで作ることが出来る。好適な実施例では、冷却ガスの電極キャップと下部電極との間の界面を通る漏れを最小にするため、Oリングシール9を設けることが出来る。
ヘリウム、アルゴン等の熱伝導性ガスをウエハ4の後面への経路を提供し、水冷却を容易にするため、チャンネル5、5a、5bが設けられる。熱伝導性ガスは、中央チャンネル5を通って、誘電層1cを通って延びるチャンネル5a、5bへ行き、ウエハ4の後面へ行く。それゆえ、伝導性ガスはチャンネルを通って供給され、ウエハの後面に存在する。図1の断面図では、2つのチャンネル5aが示されるが、一般的には4から8のチャンネルが使用される。同様に簡単にするため1つのチャンネル5bのみを示すが、一般的には4から8のチャンネル5bが使用される。熱伝導性冷却ガスは、チャッキング表面1cの上面とウエハー4の後面の間の微小な空間を満たす。ガスの均一な分配を容易にして、熱移転を改善するため、チャッキング表面1cに溝(図示せず)を設けることも出来る。
循環液体の経路を供給し、電極キャップ1を積極的に冷却するため、チャンネル6と6aが設けられる。冷却液は、水、又はエチレングリコール、シリコンオイル、フルオリナート(液体テフロン)、又は水/グリコール混合物等の好適な液体であってもよい。冷却速度は、異なる冷却液を使用することにより、冷却液の流量を変えることにより、又は冷却液の初期温度を変えることにより、制御することが出来る。冷却液の温度は、20〜250℃であるが、20〜150℃の範囲が好ましく、20〜100℃の範囲がさらに好ましい。
電気結線(図示せず)が、ウエハの処理中、電極キャップ1と下部電極2の両方にRF電力を供給する。RF電力は、一般的にDCバイアス−1000V未満で約0〜3000Wである。他の1組の電気結線(図示せず)が、電極キャップ1と下部電極2の両方に、約150〜500V,好ましくは約300Vのクランプ電圧を供給する。
温度センサー7が、積極的に電極キャップ1の温度をモニターする。温度センサーは、例えば電極キャップ1と熱接触して置かれた熱電対である。1つ又はそれ以上の抵抗カートリッジ電気ヒーター10が、下部電極2内に取り付けられ、電極を約100〜350℃の温度に加熱する。陽極酸化されたアルミニウムキャップのため、キャップ温度は一般に200℃以下(通常100〜150℃)に保持され、陽極処理された層とベース金属の熱膨張係数が異なることによる陽極酸化膜のクラックを防止する。ダイアモンド又はセラミックでコーティングされた設計では、キャップ温度はより高くすることが出来るが、一般に350℃未満である。好適な実施例では、2つのカートリッジヒーターがオン/オフモードで作動することができ、冷却液体、フルオリナートが固定流量で循環することができ、またオン/オフモードで作動することができる。
所望のウエハ温度を維持するため、循環冷却材は電極キャップ1内のチャンネル6aを通って流れESCを冷却し、電力が抵抗ヒータ10に供給されESCを加熱する。循環冷却材の流通は、ヒータがESCを加熱するためにオンの間は止められ、ヒータがESCを冷却するためにオフの間は行われる。二者択一的に、循環冷却剤を絶えず流すことも可能である。フィードバック制御ループを介して、ヒータ入力及び循環冷却剤の流通は調節され、チャックが所望の温度に維持されるようになっている。
フィードバック制御システムは電極キャップ1の即時の温度測定値を使用し、要求される冷却材の流量及びヒータ入力を決定し、電極キャップを所望の温度に維持する。例えば、商業上利用できる温度コントローラは温度センサ7を読み取ることができる。チャックの温度が所定温度より高い場合には、温度コントローラはソレノイドスイッチを操作し、冷却剤液体の流れをESC内のチャネルに導き、加熱エレメントへの電力を切り離す。ウエハ温度が所定温度より低い場合には、温度コントローラはソレノイドスイッチを操作し、冷却材液体の流れをESCを迂回する経路にそらし、加熱エレメントへ電力を通すことができる。二者択一的には、温度コントローラは電子流量コントローラを操作し、冷却材液体の流量割合を減少又は増加させ、従って、冷却割合を変化させる。温度コントローラは又、可変電力供給を操作し、加熱エレメントへの入力電力を増加又は減少させ、加熱割合を減少又は増加させる。
所定の処理条件(例えば、圧力、電源電力、バイアス電力等)に対して、適切なESC温度及び後方の圧力を選択し、所望のウエハ温度に到達及び維持することができる。例として、誘電性ギャップがSiO2の堆積で充填される高密度プラズマに対して、約375℃のウエハ温度を望んでもよい。このESCの設計では、最初の過渡現象に続いて(約30秒)、フッ化温度プローブ(LuxtronTM)により決定されるように、2000Wのバイアス電力でいつまでも約375℃の所望のウエハ温度を維持することができる。この例に一致する代表的なESCパラメータは次の通りである。2個の400Wのカートリッジヒータ;0.6ガル/minの流量で55℃でグリコールと水が半々の液体冷却材;2トルの後方ヘリウム圧力;120℃の電極キャップ温度で−300Vのクランピング電圧;2500Wの電源電力及び2000Wのバイアス電力。同じウエハ温度を達する低いバイアス電力に対して、より高いESC温度を使用するかもしれない。より低いウエハ温度を得るため、ヘリウム圧力を増加させ又は電極キャップ温度を減少させるかもしれない。
別の実施例では、電極キャップは2個含むことができる。図2はキャップ頂部1aとキャップ底部1bとを有する2個の電極キャップの概略断面図である。2個の電極キャップの使用は損傷したESC表面の変更を容易にし、機械加工コストを減少させる。一般に、電極キャップ頂部1aは堅い陽極酸化被膜を有するアルミニウムのように、適当な絶縁体で被覆された金属電極からなっている。絶縁体被覆はアルミナ又はアルミニウム窒化物又は他のセラミック材料のような他の適当な絶縁媒体とすることができる。キャップ頂部1aは又、堆積、噴霧、ボンディング又は他の同等の処理を通してその上に配置されるアルムニウム窒化物のようなセラミックで被覆された金属プレートであってもよい。キャップ頂部1aは又、アルミニウム窒化物のように、金属プレートに接続される、ダイヤモンド被覆されたモリブデン、又はセラミックから作られてもよい。(キャップ頂部とキャップ底部とを有する電極キャップは、キャップ頂部が金属プレートに接続されるセラミックであり、3個の電極キャップと考えることができる。しかし、簡単のため、ここでは2個のキャップとして引用されている。)キャップ頂部1aは細長の留め金具11を有すると共に高温使用に適しているOリング16(KalrezTMのOリング等)でシールされた真空のキャップ底部1bに取り付けられている。簡単のため、1個の留め金具11だけが図2の断面図に示されているが、通常は4以上の留め金具が使用される。
ヘリウムの様な熱伝導性冷却ガスが、チャネル5a及び5cによってクランプ面1cに与えられる。典型的には、4乃至8つのチャネル5aが使用される。チャネル5cをキャップ頂部1a及びキャップ底部1bの何れかに機械加工することができる。一つのみのチャネル5cが図2に示されているが、典型的に4乃至8のチャネルが使用される。更に、溝(図示せず)を誘電体層1cの頂部表面に与え、図1と関連して説明された冷却媒体ガス分配を容易にすることができる。
キャップ頂部をキャップ底部に機械的にクランプすると、キャップ構成要素間に適当な熱伝達が生じるが、ヘリウムの様な熱伝導ガスを、キャップ底部1bの上方の表面とキャップ頂部1aの下方の表面との間に導入することによって改良を施すことができる。簡単のためには、水冷却に使用されたのと同じヘリウム供給を、キャップ構成要素1a及び1b間のヘリウム供給に使用することができるが、異なるガス圧が必要とされる場合は、別の供給を使用することができる。
図2の2つの小片電極キャップを、冷却チャネル、温度センサ等の様な、図1を参照して記述される電極キャップの別の要素を追加して組み込むことができる。簡単のために、これらの追加的特徴は図2には示されていない。図1を参照して上述された能動温度制御が同じ方法で図2の電極キャップを用いて使用される。
図3及び4は、本発明の追加的実施の形態を示している。図3において、2つ又は3つの小片電極キャップが示されている。図3及び4中のキャップは、電極キャップ底部1bのより上方の表面に取り付けられた分散型抵抗ヒータを含む。これらのヒータは電極キャップ頂部1a又は電極キャップ底部1b内に設置することができる。抵抗ヒータは図3に示される様なスパイラル巻きケーブルヒータ17とすることができるし、また、図4に示される様に、薄い環状ストリップ又はマイカ箔ヒータ18とすることができる。ケーブルヒータ17、例えば、ニクロムワイヤヒータが、好ましいが、その理由は、キャップ頂部1aとキャップ底部1bとの間に相対的に大きな界面を許容し、熱伝達を改善するからである。例えば、ヒータは、1/16インチ径、65インチ長とすることができ、ステンレススチールシースを有していて、約500Wを120Vで与えることができる。ケーブルはスパイラル機械加工されたキャップ底部1b内に押し込まれて挿入され、キャップ底部1b上に平坦な上面を生じる。好ましくは、ケーブルヒータを鋳造キャップ底部の型内に埋め込むことができる。
代替的に、図4内のマイカ箔ヒータ18は、全ての側面において、マイカ絶縁によって包み込まれたエッチチングされた箔から構成される。これらのマイカ箔ヒータは、典型的には1mm厚よりも薄い。260℃で動作して、100W/平行インチ程度を容易に与えることができる。代替的に、これらのマイカヒータは、(典型的には、5mm厚未満である)スチールシースに包むことができ、50W/平方インチ迄の電力密度を提供することができる。別の環状ヒータは、例えば、窒化ボロンヒータを使用することも出来る。
図3及び4に記述される実施の形態は、冷却チャネル及び温度センサの様な図1及び2を参照して記述された電極キャップの別の要素を追加的に組み込むことが出来る。簡単のために、これらの追加的特徴は図3及び4に示されていない。更に、図3又は4の電極キャップと共に使用される場合、図1の下方電極2は、抵抗カートリッジヒータ10を含むことが出来ない場合がある。図1を参照して記述されたフィードバック制御の使用を通して達成される能動温度制御は、加熱電圧を、抵抗カートリッジ加熱要素10の代わりに、又はそれに加えて、ケーブル又は箔加熱要素に供給することができることを除いて同じ仕方で図3及び4の電極キャップを用いて使用される。
上述の詳細な説明は、本発明に従う能動温度制御を伴う静電チャックの種々の形態を記述しているが、上記記述は、説明のためのものであり、開示された発明を限定する意図はない。他の種々の変更が、請求の範囲に規定される本発明の精神及び範囲から離れることなしに行うことができることは明らかであろう。

Claims (50)

  1. 前面および背面を有した物体を静電的に吸引し保持するための静電チャックにおいて、
    頂面および底面を有し、第1のチャンネル手段および第2のチャンネル手段が設けられている電極キャップと、
    頂面および底面を有した下部電極とを備え、該下部電極の前記頂面は、前記電極キャップの前記底面に固定されており、該下部電極には、第3のチャンネル手段および第4のチャンネル手段が設けられており、該第3のチャンネル手段および第4のチャンネル手段は、前記下部電極を完全に貫通し、該下部電極の底面を該下部電極の頂面に接続し、前記下部電極の前記第3のチャンネル手段および前記電極キャップの前記第1のチャンネル手段は、前記下部電極の前記底面から前記物体の前記背面へ熱伝導性ガスを運ぶためのコンジットを形成し、前記電極キャップの前記第2のチャンネル手段および前記下部電極の前記第4のチャンネル手段は、前記下部電極の前記底面から前記電極キャップへ循環冷却液を運ぶコンジットを形成しており、
    さらに、前記下部電極に取り付けられた前記静電チャックを加熱するための加熱手段であって、該加熱手段の少なくとも一部分は、前記下部電極の頂面および底面に対して垂直方向において、前記循環冷却が前記電極キャップに入る箇所より下方に位置させられているような加熱手段と、
    前記チャックを所定の温度に維持するためのフィードバックコントロールと、を備えることを特徴とする静電チャック。
  2. 静電クランプ電圧を与えるように前記静電チャックにバイアスをかけるためのDCバイアス手段と、バイアス電力を前記物体へ加えるためのRFバイス手段とをさらに備える請求項1に記載の静電チャック。
  3. 前記フィードバックコントロールは、前記加熱手段を制御する手段および前記循環冷却液を制御する手段を含む請求項1記載の静電チャック。
  4. 前記加熱手段を制御する前記手段は、前記加熱手段に与えられる可変電圧電力供給源を含み、前記加熱手段に与えられる電力の量は、制御されうる請求項3記載の静電チャック。
  5. 前記循環冷却液を制御する前記手段は、前記電極キャップを通しての前記循環冷却液の流量を制御しうる液体流量コントローラを含む請求項3記載の静電チャック。
  6. 前記加熱手段は、複数の抵抗円筒カートリッジヒータである請求項1記載の静電チャック。
  7. 前記加熱手段は、抵抗ケーブルヒータである請求項1記載の静電チャック。
  8. 前記加熱手段は、環状ストリップヒータである請求項1記載の静電チャック。
  9. 前記電極キャップは、アルミニウムからなり、前記電極キャップの前記頂面には、酸化アルミニウムからなる誘電性層が設けられている請求項1記載の静電チャック。
  10. 前記電極キャップは、モリブデンからなり、前記電極キャップの前記頂面には、ダイアモンドからなる誘電性層が設けられている請求項1記載の静電チャック。
  11. 前記ダイアモンド誘電性層の厚さは、5−50μmである請求項10記載の静電チャック。
  12. 前記電極キャップは金属製であり、前記電極キャップの前記頂面には、セラミックからなる誘電性層が設けられている請求項1記載の静電チャック。
  13. 前記セラミックは、窒化アルミニウムである請求項12記載の静電チャック。
  14. 前記熱伝導性ガスは、ヘリウム、アルゴン、チッソまたは酸素である請求項1記載の静電チャック。
  15. 前記循環冷は、脱イオン化水、エチレングリコール、フルオリナート、シリコンオイル、または脱イオン化水およびエチレングリコールの混合物である請求項1記載の静電チャック。
  16. 前面および背面を有する物体を静電的に引きつけて保持する静電チャックにおいて、
    第1のチャンネル手段と第2のチャンネル手段とが設けられ、頂面および底面を有する電極キャップと、
    第3のチャネル手段と第4のチャネル手段とが設けられ、頂面および底面を有する下部電極であって、該下部電極の頂面は電極キャップの底面に固定され、前記第3のチャネル手段と第4のチャネル手段は下部電極の全体を通って、前記下部電極の底面を前記下部電極の頂面に接続し、前記下部電極の第3のチャネル手段と前記電極キャップの第1のチャネル手段は、熱伝導性ガスを前記下部電極の底面から前記物体の背面に運ぶコンジットを形成し、前記電極キャップの第2のチャネル手段と前記下部電極の第4のチャネル手段は、循環冷却液を前記下部電極の底面から前記電極キャップに運ぶコンジットを形成するような下部電極と、
    少なくとも一部が、前記下部電極の頂面および底面に対して垂直方向において、循環冷却液が電極キャップに入る場所より下方に位置させられている、前記下部電極に搭載された複数の抵抗カートリッジヒータと
    前記抵抗カートリッジヒータを制御する手段と前記循環冷却液を制御する手段とを含む、チャックを所定の温度に維持するためのフィードバックコントロールとを備え、
    抵抗カートリッジヒータを制御する前記手段は、前記抵抗カートリッジヒータに与えられる電力供給源を含み、抵抗カートリッジヒータを制御する前記手段は前記抵抗カートリッジヒータに与えられる電力をオンもしくはオフに変えることができ、循冷却液を制御する前記手段は、ソレノイド作動バルブを含み、循環冷却液を制御する前記手段は、電極キャップを通る循環冷却液の流れを通常の流れから細流に、もしくは、流れないように調整できることを特徴とする静電チャック。
  17. 電極キャップはアルミニウムから成り、電極キャップの頂面には酸化アルミニウムから成る絶縁層が施されている請求項16に記載の静電チャック。
  18. 電極キャップはモリブデンから成り、電極キャップの頂面にはダイアモンドから成る絶縁層が施されている請求項16に記載の静電チャック。
  19. 前面および背面を有する物体を静電的に引きつけて保持する静電チャックにおいて、
    第1のチャンネル手段と第2のチャンネル手段とが設けられ、頂面および底面を有するキャップ上部及び頂面および底面を有するキャップ下部を含む電極キャップであって、キャップ下部の頂面はキャップ上部の底面に固定されているような電極キャップと、
    第3のチャンネル手段と第4のチャンネル手段とが設けられ、頂面および底面を有する下部電極であって、下部電極の頂面は電極キャップの底面に固定され、第3のチャンネル手段と第4のチャンネル手段は下部電極の全体を通って、下部電極の底面を下部電極の頂面に接続し、下部電極の第3のチャンネル手段と電極キャップの第1の手段は、熱伝導性ガスを下部電極の底面から物体の背面に運ぶコンジットを形成し、電極キャップの第2のチャンネル手段と下部電極の第4のチャンネル手段は、循環冷却液を下部電極の底面から電極キャップに運ぶコンジットを形成するような下部電極と、
    少なくとも一部が、前記下部電極の頂面および底面に対して垂直方向において、循環冷却液が電極キャップに入る場所より下方に位置させられている、下部電極に搭載された静電チャックを加熱するための加熱手段とを備えることを特徴とする静電チャック。
  20. 静電チャックにバイアスをかけて、静電クランプ電圧を与えるためのDCバイアス手段と、バイアス電力を物体に印加するためのRFバイアス手段とを更に備える請求項19に記載の静電チャック。
  21. 前記静電チャックを所定温度に維持するフィードバックコントロールを更に備える請求項19記載の静電チャック。
  22. 前記フィードバックコントロールは、前記加熱手段を制御する手段と、前記循環冷却液を制御する手段を含む請求項21記載の静電チャック。
  23. 前記加熱手段を制御する前記手段は、前記加熱手段へ付与される可変電圧電力供給源を含んでおり、これにより前記加熱手段に与えられる電力量が制御され得る、請求項22記載の静電チャック。
  24. 前記循環冷却液を制御する前記手段は、液体流量コントローラを含んでおり、これにより前記電極キャップを通じる前記循環冷却液の流量が制御され得る、請求項22記載の静電チャック。
  25. 前記加熱手段は複数の抵抗円筒カートリッジヒータである請求項19記載の静電チャック。
  26. 前記加熱手段は抵抗ケーブルヒータである請求項19記載の静電チャック。
  27. 前記加熱手段は環状ストリップヒータである請求項19記載の静電チャック。
  28. 前記キャップ上部はアルミニウムから成り、前記電極キャップの頂面には酸化アルミニウムから成る誘電層が設けられている請求項19記載の静電チャック。
  29. 前記キャップ上部はモリブデンから成り、前記電極キャップの頂面にはダイアモンドから成る誘電層が設けられている請求項19記載の静電チャック。
  30. 前記ダイアモンドから成る誘電層の厚みは、5〜50μmである請求項29記載の静電チャック。
  31. 前記キャップ上部は金属であり、前記電極キャップの頂面にはセラミックから成る誘電層が設けられている請求項19記載の静電チャック。
  32. 前記セラミックは窒化アルミニウムである請求項19記載の静電チャック。
  33. 前記熱伝導性ガスは、ヘリウム、アルゴン、窒素、若しくは酸素である請求項19記載の静電チャック。
  34. 前記循環冷却液は、脱イオン水、エチレングリコール、フルオリナート、若しくは脱イオン水とエチレングリコールの混合物である請求項19記載の静電チャック。
  35. 前面と背面を有する物体を静電的に引き付け、保持する静電チャックにおいて、
    頂面および底面を有するキャップ上部と頂面および底面を有するキャップ下部とを含む電極キャップであって、前記キャップ下部の前記頂面は、前記キャップ上部の前記底面に固定されており、前記電極キャップには、第1のチャンネル手段と第2のチャンネル手段が設けられている、電極キャップと、
    頂面および底面を有する下部電極であって、前記下部電極の頂面は、前記電極キャップの底面に固定されており、前記下部電極には、第3のチャンネル手段と第4のチャンネル手段が設けられており、前記第3のチャンネル手段と第4のチャンネル手段は、前記下部電極を全体的に通じて、前記下部電極の底面と前記下方電極の頂面を接続しており、ここで前記下部電極の前記第3のチャンネル手段と前記電極キャップの前記第1のチャンネル手段は、前記下部電極の前記底面から前記物体の前記背面へ熱伝導性ガスを運ぶるためにコンジットを形成しており、また、前記電極キャップの前記第2のチャンネル手段と前記下部電極の前記第4のチャンネル手段は、前記下部電極の前記底面から前記電極キャップへ循環冷却液を運ぶためにコンジットを形成している、下部電極と、
    前記下部電極にて取り付けられる複数の抵抗カートリッジヒータであって、前記複数の抵抗カートリッジヒータの少なくとも一部は、垂直方向において、前記循環冷却液が前記電極キャップに入る場所よりも下方に位置させられている、複数の抵抗カートリッジヒータと
    前記抵抗カートリッジヒータを制御する手段と、前記循環冷却液を制御する手段とを含んでいて、前記静電チャックを所定温度に維持するフィードバックコントロールとを備え、
    抵抗カートリッジヒータを制御する前記手段は、前記抵抗カートリッジヒータへ付与される電力供給源を含んでおり、抵抗カートリッジヒータを制御する前記手段は、前記抵抗カートリッジヒータへ付与される電力をオン状態とし若しくはオフ状態にすることができ、循冷却液を制御する前記手段は、ソレノイド作動弁を含み、循環冷却液を制御する前記手段は、前記電極キャップを通じる前記循環冷却液の流れ標準流から細流若しくは無流へ調節することができることを特徴とする静電チャック。
  36. キャップ上部はアルミニムから構成され、そして電極キャップの頂面にアルミニム酸化物から成る誘電層を設けた請求項35に記載の静電チャック。
  37. キャップ上部はモリブデンから構成され、そして電極キャップの頂面にはダイアモンドからなる誘電層が設けられる請求項35に記載の静電チャック。
  38. キャップ上部は金属であり、そして電極キャップの頂面には窒化アルミニムから成る誘電層を設けた請求項35に記載の静電チャック。
  39. 前面および背面を有する物体を静電気により引き付けて保持する静電チャックにおいて、頂面および底面を有する電極キャップ、頂面および底面を有する下部電極、静電チャックを加熱する加熱手段、前記の電極キャップの温度を測定する温度センサ、そして所定温度にチャックを維持するためのフィードバックコントロールを備え、前記の電極キャップには第1のチャンネル手段と第2のチャンネル手段とが設けられており、前記の下部電極の頂面は前記の電極キャップの底面へ固定され、前記の下部電極には第3のチャンネル手段と第4のチャンネル手段とが設けられており、これらの第3と第4のチャンネル手段は前記の下部電極を貫通し、前記の下部電極の底面を前記の下部電極の上面に接続し、前記の下部電極の第3のチャンネル手段と前記の電極キャップの第1のチャンネル手段とが形成するコンジットは熱伝導性ガスを前記の下部電極の底面から前記の物体の背面へ運び、そして前記の電極キャップの第2のチャンネル手段が形成するコンジットは循環冷却液を前記の下部電極の底面から前記の電極キャップへ運び、前記の加熱手段は前記の下部電極の底面に取り付けられ、前記のフィードバックコントロールは加熱手段を制御する手段と電極キャップを通る前記の循環冷却液の流れを制御する手段とを含んでいる事を特徴とする静電チャック。
  40. 前記の加熱手段は複数の抵抗カートリッジヒータである請求項39に記載の静電チャック。
  41. 前面と背面とを有する物体の温度をプラズマ処理中制御する方法において、
    頂面および底面を有する電極キャップ、頂面および底面を有する下部電極、静電チャックを加熱する加熱手段、前記の電極キャップの温度を感知する温度センサ、そして所定温度にチャックを維持するためのフィードバックコントロールを備え、前記の電極キャップには第1のチャンネル手段と第2のチャンネル手段とが設けられており、前記の下部電極の頂面は前記の電極キャップの底面へ固定され、前記の下部電極には第3のチャンネル手段と第4のチャンネル手段とが設けられており、これらの第3と第4のチャンネル手段は前記の下部電極を貫通し、前記の下部電極の底面を前記の下部電極の上面に接続し、前記の下部電極の第3のチャンネル手段と前記の電極キャップの第1のチャンネル手段とが形成するコンジットは熱伝導性ガスを前記の下部電極の底面から前記の物体の背面へ運び、そして前記の電極キャップの第2のチャンネル手段が形成するコンジットは循環冷却液を前記の下部電極の底面から前記の電極キャップへ運ぶようにした静電チャックを用意して、前記の電極キャップの上に前記の物体を配置し、
    キャップの測定温度が所定範囲以下であるときはいつも前記の加熱手段をオンとし、そして前記の循環冷却液をオフとして前記の静電チャックを加熱し、そして
    キャップの測定温度が前記の所定範囲以上であるときはいつも前記の加熱手段をオフとし、そして前記の循環冷却液をオンとして前記の静電チャックを冷却する
    ことを特徴とする方法。
  42. 前記電極キャップが、陽極酸化アルミニウムによって被覆されている場合、キャップ温度の前記所定範囲は、200℃以下であり、前記電極キャップが、ダイアモンド又はセラミックによって被覆されている場合、キャップ温度の前記所定範囲は、350℃未満である請求項41に記載の方法。
  43. 物体の温度が375℃に保持されている請求項42に記載の方法。
  44. 物体の温度が375℃に保持されている請求項41に記載の方法。
  45. 物体が半導体ウエハである請求項41に記載の方法。
  46. 物体が半導体ウエハである請求項1に記載の静電チャック。
  47. 物体が半導体ウエハである請求項16に記載の静電チャック。
  48. 物体が半導体ウエハである請求項19に記載の静電チャック。
  49. 物体が半導体ウエハである請求項35に記載の静電チャック。
  50. 物体が半導体ウエハある請求項39に記載の静電チャック。
JP51678898A 1996-09-30 1997-09-30 静電チャックおよび物体の温度をプラズマ処理中制御する方法 Expired - Fee Related JP4149002B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US08/724,005 US5835334A (en) 1996-09-30 1996-09-30 Variable high temperature chuck for high density plasma chemical vapor deposition
US08/724,005 1996-09-30
PCT/US1997/017576 WO1998014999A1 (en) 1996-09-30 1997-09-30 Variable high temperature chuck for high density plasma chemical vapor deposition

Publications (2)

Publication Number Publication Date
JP2001502116A JP2001502116A (ja) 2001-02-13
JP4149002B2 true JP4149002B2 (ja) 2008-09-10

Family

ID=24908563

Family Applications (1)

Application Number Title Priority Date Filing Date
JP51678898A Expired - Fee Related JP4149002B2 (ja) 1996-09-30 1997-09-30 静電チャックおよび物体の温度をプラズマ処理中制御する方法

Country Status (8)

Country Link
US (1) US5835334A (ja)
EP (1) EP0938747B1 (ja)
JP (1) JP4149002B2 (ja)
KR (1) KR100372281B1 (ja)
AT (1) ATE449419T1 (ja)
AU (1) AU4507297A (ja)
DE (1) DE69739660D1 (ja)
WO (1) WO1998014999A1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2014534614A (ja) * 2011-09-30 2014-12-18 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 温度制御付き静電チャック

Families Citing this family (173)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6184158B1 (en) * 1996-12-23 2001-02-06 Lam Research Corporation Inductively coupled plasma CVD
US5956609A (en) * 1997-08-11 1999-09-21 Taiwan Semiconductor Manufacturing Company, Ltd. Method for reducing stress and improving step-coverage of tungsten interconnects and plugs
US6328096B1 (en) * 1997-12-31 2001-12-11 Temptronic Corporation Workpiece chuck
US6359264B1 (en) * 1998-03-11 2002-03-19 Applied Materials, Inc. Thermal cycling module
US6433314B1 (en) 1998-04-08 2002-08-13 Applied Materials, Inc. Direct temperature control for a component of a substrate processing chamber
US6200911B1 (en) * 1998-04-21 2001-03-13 Applied Materials, Inc. Method and apparatus for modifying the profile of narrow, high-aspect-ratio gaps using differential plasma power
JP3453069B2 (ja) * 1998-08-20 2003-10-06 東京エレクトロン株式会社 基板温調装置
ATE295616T1 (de) * 1998-09-22 2005-05-15 Ballard Power Systems Kühlsubsystem mit frostschutzmittel
JP3892609B2 (ja) 1999-02-16 2007-03-14 株式会社東芝 ホットプレートおよび半導体装置の製造方法
US6169652B1 (en) 1999-03-12 2001-01-02 Euv, L.L.C. Electrostatically screened, voltage-controlled electrostatic chuck
KR100476845B1 (ko) * 1999-04-06 2005-03-17 동경 엘렉트론 주식회사 전극, 적재대, 플라즈마 처리 장치 및 전극과 적재대의제조 방법
US6367413B1 (en) 1999-06-15 2002-04-09 Tokyo Electron Limited Apparatus for monitoring substrate biasing during plasma processing of a substrate
US6431112B1 (en) * 1999-06-15 2002-08-13 Tokyo Electron Limited Apparatus and method for plasma processing of a substrate utilizing an electrostatic chuck
US6395095B1 (en) * 1999-06-15 2002-05-28 Tokyo Electron Limited Process apparatus and method for improved plasma processing of a substrate
JP2001068538A (ja) * 1999-06-21 2001-03-16 Tokyo Electron Ltd 電極構造、載置台構造、プラズマ処理装置及び処理装置
KR100574924B1 (ko) * 1999-08-18 2006-04-28 삼성전자주식회사 세라믹 링을 구비하는 정전척 및 그 제조방법
US6278089B1 (en) * 1999-11-02 2001-08-21 Applied Materials, Inc. Heater for use in substrate processing
US6377437B1 (en) 1999-12-22 2002-04-23 Lam Research Corporation High temperature electrostatic chuck
JP3565496B2 (ja) * 2000-04-13 2004-09-15 イビデン株式会社 セラミックヒータ、静電チャックおよびウエハプローバ
US6606234B1 (en) 2000-09-05 2003-08-12 Saint-Gobain Ceramics & Plastics, Inc. Electrostatic chuck and method for forming an electrostatic chuck having porous regions for fluid flow
AU2002232844A1 (en) * 2000-12-06 2002-06-18 Angstron Systems, Inc. System and method for modulated ion-induced atomic layer deposition (mii-ald)
JP4311600B2 (ja) * 2001-01-30 2009-08-12 日本碍子株式会社 静電チャック用接合構造体及びその製造方法
US20020144786A1 (en) * 2001-04-05 2002-10-10 Angstron Systems, Inc. Substrate temperature control in an ALD reactor
EP1254606A1 (en) * 2001-05-04 2002-11-06 Philip Morris Products S.A. Apparatus and method for delaminating parcels of tobacco
KR100397047B1 (ko) * 2001-05-08 2003-09-02 삼성전자주식회사 정전척의 냉각장치 및 방법
JP4720019B2 (ja) * 2001-05-18 2011-07-13 東京エレクトロン株式会社 冷却機構及び処理装置
US6577113B2 (en) 2001-06-06 2003-06-10 Tokyo Electron Limited Apparatus and method for measuring substrate biasing during plasma processing of a substrate
US6669783B2 (en) 2001-06-28 2003-12-30 Lam Research Corporation High temperature electrostatic chuck
TWI246873B (en) * 2001-07-10 2006-01-01 Tokyo Electron Ltd Plasma processing device
JP2003060019A (ja) * 2001-08-13 2003-02-28 Hitachi Ltd ウエハステージ
DE10156407A1 (de) * 2001-11-16 2003-06-05 Bosch Gmbh Robert Haltevorrichtung, insbesondere zum Fixieren eines Halbleiterwafers in einer Plasmaätzvorrichtung, und Verfahren zur Wärmezufuhr oder Wärmeabfuhr von einem Substrat
TW561515B (en) * 2001-11-30 2003-11-11 Tokyo Electron Ltd Processing device, and gas discharge suppressing member
KR20030047341A (ko) * 2001-12-10 2003-06-18 삼성전자주식회사 이온주입장치의 정전척
US6632325B2 (en) * 2002-02-07 2003-10-14 Applied Materials, Inc. Article for use in a semiconductor processing chamber and method of fabricating same
US6677167B2 (en) * 2002-03-04 2004-01-13 Hitachi High-Technologies Corporation Wafer processing apparatus and a wafer stage and a wafer processing method
US6646233B2 (en) * 2002-03-05 2003-11-11 Hitachi High-Technologies Corporation Wafer stage for wafer processing apparatus and wafer processing method
DE10216786C5 (de) * 2002-04-15 2009-10-15 Ers Electronic Gmbh Verfahren und Vorrichtung zur Konditionierung von Halbleiterwafern und/oder Hybriden
KR100456093B1 (ko) * 2002-04-19 2004-11-08 국방과학연구소 열류 게이지 및 그 제조방법
KR100457833B1 (ko) * 2002-05-24 2004-11-18 주성엔지니어링(주) 플라즈마 식각 장치
US7156951B1 (en) * 2002-06-21 2007-01-02 Lam Research Corporation Multiple zone gas distribution apparatus for thermal control of semiconductor wafer
US20040052969A1 (en) * 2002-09-16 2004-03-18 Applied Materials, Inc. Methods for operating a chemical vapor deposition chamber using a heated gas distribution plate
US6946033B2 (en) * 2002-09-16 2005-09-20 Applied Materials Inc. Heated gas distribution plate for a processing chamber
US7347901B2 (en) * 2002-11-29 2008-03-25 Tokyo Electron Limited Thermally zoned substrate holder assembly
US7033443B2 (en) * 2003-03-28 2006-04-25 Axcelis Technologies, Inc. Gas-cooled clamp for RTP
US20040187787A1 (en) * 2003-03-31 2004-09-30 Dawson Keith E. Substrate support having temperature controlled substrate support surface
US7993460B2 (en) * 2003-06-30 2011-08-09 Lam Research Corporation Substrate support having dynamic temperature control
EP1530088B1 (en) * 2003-11-05 2007-08-08 ASML Netherlands B.V. Lithographic apparatus
KR100508754B1 (ko) * 2003-12-22 2005-08-17 삼성전자주식회사 온도 컨트롤러 및 이를 갖는 식각 장치
DE102004024649B4 (de) * 2004-05-18 2007-02-01 Thallner, Erich, Dipl.-Ing. Justiereinrichtung und Vorrichtung zum Justieren eines Wafers
US7731798B2 (en) * 2004-12-01 2010-06-08 Ultratech, Inc. Heated chuck for laser thermal processing
JP4694249B2 (ja) * 2005-04-20 2011-06-08 株式会社日立ハイテクノロジーズ 真空処理装置及び試料の真空処理方法
JP5004436B2 (ja) * 2005-05-23 2012-08-22 東京エレクトロン株式会社 静電吸着電極および処理装置
US20070283891A1 (en) * 2006-03-29 2007-12-13 Nobuyuki Okayama Table for supporting substrate, and vacuum-processing equipment
US8226769B2 (en) * 2006-04-27 2012-07-24 Applied Materials, Inc. Substrate support with electrostatic chuck having dual temperature zones
KR100798813B1 (ko) * 2006-07-25 2008-01-28 삼성전자주식회사 정전척 어셈블리 및 정전척 온도 제어방법
JP4937724B2 (ja) * 2006-12-15 2012-05-23 東京エレクトロン株式会社 基板載置台、基板載置台の製造方法、基板処理装置、流体供給機構
US8491752B2 (en) * 2006-12-15 2013-07-23 Tokyo Electron Limited Substrate mounting table and method for manufacturing same, substrate processing apparatus, and fluid supply mechanism
JP4450106B1 (ja) * 2008-03-11 2010-04-14 東京エレクトロン株式会社 載置台構造及び処理装置
US8900404B2 (en) * 2008-06-10 2014-12-02 Lam Research Corporation Plasma processing systems with mechanisms for controlling temperatures of components
US9543181B2 (en) * 2008-07-30 2017-01-10 Taiwan Semiconductor Manufacturing Company, Ltd. Replaceable electrostatic chuck sidewall shield
US10297550B2 (en) 2010-02-05 2019-05-21 Taiwan Semiconductor Manufacturing Company, Ltd. 3D IC architecture with interposer and interconnect structure for bonding dies
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
EP2686736B1 (en) 2011-03-17 2014-12-17 ASML Netherlands BV Electrostatic clamp, lithographic apparatus, and device manufacturing method
NL2008630A (en) 2011-04-27 2012-10-30 Asml Netherlands Bv Substrate holder, lithographic apparatus, device manufacturing method, and method of manufacturing a substrate holder.
US9673037B2 (en) 2011-05-31 2017-06-06 Law Research Corporation Substrate freeze dry apparatus and method
EP2764408B1 (en) 2011-10-06 2019-08-21 ASML Netherlands B.V. Chuck, lithography apparatus and method of using a chuck
US10256123B2 (en) 2011-10-27 2019-04-09 Applied Materials, Inc. Component temperature control using a combination of proportional control valves and pulsed valves
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8898928B2 (en) 2012-10-11 2014-12-02 Lam Research Corporation Delamination drying apparatus and method
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9496157B2 (en) * 2013-11-14 2016-11-15 Taiwan Semiconductor Manufacturing Co., Ltd. Ultraviolet curing apparatus having top liner and bottom liner made of low-coefficient of thermal expansion material
US9622375B2 (en) 2013-12-31 2017-04-11 Applied Materials, Inc. Electrostatic chuck with external flow adjustments for improved temperature distribution
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
CN106575634A (zh) 2014-08-15 2017-04-19 应用材料公司 在等离子体增强化学气相沉积***中于高温下使用压缩应力或拉伸应力处理晶片的方法和装置
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9960060B2 (en) * 2014-10-10 2018-05-01 Varian Semiconductor Equipment Associates, Inc. Platen assembly
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10288361B2 (en) * 2015-03-17 2019-05-14 Hatco Corporation Hot and cold shelf assembly with replaceable heating elements
TWI703671B (zh) * 2015-08-06 2020-09-01 美商應用材料股份有限公司 螺接式晶圓夾具熱管理系統及用於晶圓處理系統的方法
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9805963B2 (en) * 2015-10-05 2017-10-31 Lam Research Corporation Electrostatic chuck with thermal choke
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10784139B2 (en) * 2016-12-16 2020-09-22 Applied Materials, Inc. Rotatable electrostatic chuck having backside gas supply
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
CN206432233U (zh) * 2017-01-19 2017-08-22 江苏鲁汶仪器有限公司 刻蚀机的下电极载片台
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
CN110785837A (zh) * 2017-06-23 2020-02-11 沃特洛电气制造公司 高温热板基座
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11227746B2 (en) * 2018-03-23 2022-01-18 Applied Materials, Inc. Isolated backside helium delivery system
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
JP7152926B2 (ja) * 2018-10-05 2022-10-13 日本特殊陶業株式会社 保持装置
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
WO2020219304A1 (en) * 2019-04-22 2020-10-29 Lam Research Corporation Electrostatic chuck with spatially tunable rf coupling to a wafer
JP7301021B2 (ja) * 2020-05-01 2023-06-30 東京エレクトロン株式会社 基板処理装置、載置台及び温度制御方法

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4384918A (en) * 1980-09-30 1983-05-24 Fujitsu Limited Method and apparatus for dry etching and electrostatic chucking device used therein
JPS6372877A (ja) * 1986-09-12 1988-04-02 Tokuda Seisakusho Ltd 真空処理装置
JPH01152639A (ja) * 1987-12-10 1989-06-15 Canon Inc 吸着保持装置
US5111032A (en) * 1989-03-13 1992-05-05 Raychem Corporation Method of making an electrical device comprising a conductive polymer
US5078851A (en) * 1989-07-26 1992-01-07 Kouji Nishihata Low-temperature plasma processor
JP2737010B2 (ja) * 1989-08-01 1998-04-08 キヤノン株式会社 露光装置
US4980566A (en) * 1989-08-02 1990-12-25 The United States Of America As Represented By The Secretary Of Commerce Ultrashort pulse multichannel infrared spectrometer apparatus and method for obtaining ultrafast time resolution spectral data
US5221403A (en) * 1990-07-20 1993-06-22 Tokyo Electron Limited Support table for plate-like body and processing apparatus using the table
US5055964A (en) * 1990-09-07 1991-10-08 International Business Machines Corporation Electrostatic chuck having tapered electrodes
JPH04196528A (ja) * 1990-11-28 1992-07-16 Toshiba Corp マグネトロンエッチング装置
US5280156A (en) * 1990-12-25 1994-01-18 Ngk Insulators, Ltd. Wafer heating apparatus and with ceramic substrate and dielectric layer having electrostatic chucking means
US5166856A (en) * 1991-01-31 1992-11-24 International Business Machines Corporation Electrostatic chuck with diamond coating
US5155652A (en) * 1991-05-02 1992-10-13 International Business Machines Corporation Temperature cycling ceramic electrostatic chuck
US5477975A (en) * 1993-10-15 1995-12-26 Applied Materials Inc Plasma etch apparatus with heated scavenging surfaces
JP2752807B2 (ja) * 1991-07-17 1998-05-18 株式会社日立製作所 プラズマ処理装置
KR100238626B1 (ko) * 1992-07-28 2000-02-01 히가시 데쓰로 플라즈마 처리장치
US5567267A (en) * 1992-11-20 1996-10-22 Tokyo Electron Limited Method of controlling temperature of susceptor
US5413360A (en) * 1992-12-01 1995-05-09 Kyocera Corporation Electrostatic chuck
KR100238629B1 (ko) * 1992-12-17 2000-01-15 히가시 데쓰로 정전척을 가지는 재치대 및 이것을 이용한 플라즈마 처리장치
US5436790A (en) * 1993-01-15 1995-07-25 Eaton Corporation Wafer sensing and clamping monitor
KR100290748B1 (ko) * 1993-01-29 2001-06-01 히가시 데쓰로 플라즈마 처리장치
KR100260587B1 (ko) * 1993-06-01 2000-08-01 히가시 데쓰로 정전척 및 그의 제조방법
US5591269A (en) * 1993-06-24 1997-01-07 Tokyo Electron Limited Vacuum processing apparatus
TW262566B (ja) * 1993-07-02 1995-11-11 Tokyo Electron Co Ltd
JP2647799B2 (ja) * 1994-02-04 1997-08-27 日本碍子株式会社 セラミックスヒーター及びその製造方法
US5581874A (en) * 1994-03-28 1996-12-10 Tokyo Electron Limited Method of forming a bonding portion
JPH07302785A (ja) * 1994-05-09 1995-11-14 Fujitsu Ltd 基板処理装置及び基板温度制御方法
JPH0813027A (ja) * 1994-06-24 1996-01-16 Mitsubishi Electric Corp 誘導加熱装置
US5609720A (en) * 1995-09-29 1997-03-11 Lam Research Corporation Thermal control of semiconductor wafer during reactive ion etching

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2014534614A (ja) * 2011-09-30 2014-12-18 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 温度制御付き静電チャック

Also Published As

Publication number Publication date
EP0938747A1 (en) 1999-09-01
EP0938747B1 (en) 2009-11-18
WO1998014999A1 (en) 1998-04-09
KR100372281B1 (ko) 2003-02-19
DE69739660D1 (de) 2009-12-31
AU4507297A (en) 1998-04-24
ATE449419T1 (de) 2009-12-15
JP2001502116A (ja) 2001-02-13
US5835334A (en) 1998-11-10
KR20000048751A (ko) 2000-07-25

Similar Documents

Publication Publication Date Title
JP4149002B2 (ja) 静電チャックおよび物体の温度をプラズマ処理中制御する方法
TWI702685B (zh) 極端均勻加熱基板支撐組件
JP4256482B2 (ja) 高温の静電チャックから下側の低温体に伝熱するための装置及び方法
JP6717985B2 (ja) ガス孔に開口縮小プラグを有する大電力静電チャック
EP0512936B1 (en) Temperature cycling ceramic electrostatic chuck
JP5388704B2 (ja) ワーク支持体の表面を横切る空間温度分布を制御する方法および装置
US8536494B2 (en) Method and apparatus for controlling the spatial temperature distribution across the surface of a workpiece support
TWI780597B (zh) 具有獨立隔離的加熱器區域的晶圓載體
JP4505169B2 (ja) 多重領域抵抗ヒータ
JP5006200B2 (ja) 半導体処理の均一性を改善するための熱伝達システム
JP4881319B2 (ja) 基板を空間的かつ時間的に温度制御するための装置
US5191506A (en) Ceramic electrostatic chuck
TWI576951B (zh) 用以徑向調整橫越基片表面之溫度曲線的靜電夾頭系統及製程
US5810933A (en) Wafer cooling device
EP1073096B1 (en) Semiconductor workpiece processing apparatus
JP2008522446A (ja) 空間温度分布の制御方法及び装置
TW201027661A (en) Electrostatic chuck assembly
JPH06244143A (ja) 処理装置
US20170372934A1 (en) Wafer holding apparatus and baseplate structure
US5901030A (en) Electrostatic chuck employing thermoelectric cooling
JPH07106317A (ja) 試料台

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20040813

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20070703

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20071002

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20080610

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20080625

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110704

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110704

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120704

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120704

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130704

Year of fee payment: 5

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees