JP4086673B2 - 半導体装置及びその製造方法 - Google Patents

半導体装置及びその製造方法 Download PDF

Info

Publication number
JP4086673B2
JP4086673B2 JP2003026783A JP2003026783A JP4086673B2 JP 4086673 B2 JP4086673 B2 JP 4086673B2 JP 2003026783 A JP2003026783 A JP 2003026783A JP 2003026783 A JP2003026783 A JP 2003026783A JP 4086673 B2 JP4086673 B2 JP 4086673B2
Authority
JP
Japan
Prior art keywords
film
wiring
carbon concentration
interlayer insulating
insulating film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2003026783A
Other languages
English (en)
Other versions
JP2004241464A (ja
Inventor
達矢 宇佐美
昇 森田
光市 大音
和彦 遠藤
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
NEC Electronics Corp
NEC Corp
Original Assignee
NEC Electronics Corp
NEC Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by NEC Electronics Corp, NEC Corp filed Critical NEC Electronics Corp
Priority to JP2003026783A priority Critical patent/JP4086673B2/ja
Priority to TW093102009A priority patent/TWI278965B/zh
Priority to US10/768,676 priority patent/US7391115B2/en
Priority to CN2004100036368A priority patent/CN1519925B/zh
Priority to KR1020040007357A priority patent/KR100652243B1/ko
Publication of JP2004241464A publication Critical patent/JP2004241464A/ja
Priority to US12/098,190 priority patent/US7910474B2/en
Application granted granted Critical
Publication of JP4086673B2 publication Critical patent/JP4086673B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5222Capacitive arrangements or effects of, or between wiring layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Description

【0001】
【発明の属する技術分野】
本発明は、半導体装置及びその製造方法に関し、特に、ダマシン法により形成された多層配線を備える半導体装置及びその製造方法に関する。
【0002】
【従来の技術】
近年、半導体装置の高集積化及びチップサイズの縮小化に伴い、配線の微細化及び多層配線化が進められており、多層配線構造を形成する方法として、配線溝又はビア孔にCuを埋め込み、CMP(Chemical Mechanical Polishing)法により平坦化して配線又はビアを形成する、いわゆるダマシンプロセスが一般的に行われている。このダマシンプロセスでは、配線パターンの高密度化が可能であるが、配線パターンが近接すると配線間の寄生容量による信号遅延の問題が発生する。そこで、信号遅延を改善するために配線容量の低減が重要な課題となる。
【0003】
配線容量を低減する方法として、層間絶縁膜に、従来から使われているSiO系の絶縁膜に代えて誘電率の低い材料を用いる方法が検討されている。ここで、層間絶縁膜として低誘電率膜を用いた従来のダマシンプロセスについて図面を参照して説明する。図11及び図12は、従来のシングルダマシンプロセスの手順を示す工程断面図である。
【0004】
まず、図11(a)に示すように、基板1上に第1バリア膜2と第1層間絶縁膜3とを順次堆積した後、第1層間絶縁膜3上に反射防止膜、フォトレジストを順次塗布し、露光、現像によってレジストパターン(図示せず)を形成し、このレジストパターンをマスクとして、公知のドライエッチング技術を用いて、第1配線溝を形成する。次に、酸素アッシングによりレジストパターン及び反射防止膜を除去した後、第1バリアメタル4及びCuを堆積し、CMP法を用いて第1層間絶縁膜3上の第1バリアメタル4及びCuを除去して下層配線5を形成する。
【0005】
次に、図11(b)に示すように、下層配線5上に、Cuの拡散を防止し、ビアホールのエッチングストッパとなるSiCNからなる第2バリア膜6、SiOC、ハイドロゲンシルセスキオキサン(hydrogen Silsesquioxane:以下HSQという)、メチルシルセスキオキサン(methyl Silsesquioxane:以下MSQという)等の低誘電率膜からなる第2層間絶縁膜7を順次堆積する。そして、第2層間絶縁膜7上に反射防止膜、フォトレジストを順次塗布し、露光、現像によってビア孔7aを形成するためのレジストパターン(図示せず)を形成し、このレジストパターンをマスクとして、公知のドライエッチング技術を用いて、第2層間絶縁膜7をエッチングする。そして、酸素アッシングによりレジストパターン及び反射防止膜を除去した後、エッチバックにより第2バリア膜6をエッチングし、第2層間絶縁膜7及び第2バリア膜6を貫通するビア孔7aを形成する。
【0006】
次に、図11(c)に示すように、配線材料の下地となる第2バリアメタル8及びCu9aを堆積した後、図11(d)に示すように、CMP法を用いて第2層間絶縁膜7上の第2バリアメタル8及びCu9aを除去して、下層配線5と接続されるビア9を形成する。
【0007】
その後、同様に第3バリア膜10及び第3層間絶縁膜11を堆積し、公知のフォトリソグラフィー技術及びドライエッチング技術を用いて第2配線溝11aを形成し(図12(a)参照)、第3バリアメタル12及びCu13aを堆積し(図12(b)参照)、CMP法を用いて第3層間絶縁膜11上の第3バリアメタル12及びCu13aを除去して上層配線13を形成する(図12(c)参照)。そして、この工程を繰り返すことにより所望の多層配線構造の半導体装置を製造する。
【0008】
このようなダマシンプロセスにおいて、バリア膜は、下層の配線やビアから上層の層間絶縁膜へのCuの拡散を防止する機能と共に、その上層の層間絶縁膜にビア孔又は配線溝を形成する際のエッチングストッパとしての機能が必要であるが、例えば、第2バリア膜6のエッチングストッパとしての機能が不十分な場合、図11(b)の工程で第2層間絶縁膜7をエッチングする際に、第2バリア膜6でエッチングが止まらずに下層配線5が露出してしまい、その結果、レジストパターンを除去するための酸素アッシングにおいて、下層配線5の表面が酸化してしまい、下層配線5とビア9との接続不良が生じる。上記問題を回避するためには、バリア膜として上層の層間絶縁膜とのエッチングの選択比が大きいことが重要であり、このような観点から通常、SiC、SiN、SiCN等の材料が用いられる。
【0009】
また、SiNを用いたバリア膜の成膜に関して、例えば、特開2002−9150号公報には、SiNの成膜温度は400℃程度であり、基板温度の上昇に伴ってCuの凝集が起こりやすくなり、Cu表面のモホロジーが劣化するという問題が提起されている。Cuの凝集を抑制する方法の一つとして成膜温度の低温化があるが、成膜温度を低温化するとSiNの膜質が密度の低い粗な絶縁膜となり、SiO等の層間絶縁膜とのエッチング選択比が得られなく。そこで、上記公報では、バリア膜(Cu拡散防止絶縁膜)をCVD法により350℃未満の低温で成膜した第1絶縁膜と、CVD法により350℃以上450℃以下の範囲の恒温で成膜した第2絶縁膜の積層構造として、配線側の膜の成膜温度を低温化することでCuの凝集を抑制し、層間絶縁膜側の膜の成膜温度を高温化することでエッチング選択比の低下を防止している。
【0010】
また、層間絶縁膜として低誘電率膜を用いる場合には、バリア膜の誘電率も低くして配線間の寄生容量を低減することも求められる。ここで、SiN系のバリア膜の比誘電率は高く下地のSiOF等のフッ素含有膜をプラズマエッチングする際にエッチング時に発生したフッ素ラジカルによってSiN系膜が損傷する等の問題があり、一方、SiC系のバリア膜はエッチング選択比が良好であり比誘電率も5付近のものもあるがCuの拡散性が高いという問題がある。そこで、特開2002−83869号公報では、溝又は穴を有する低誘電率の層間絶縁膜(第1の絶縁層)上に形成されるSiとCとNとを主たる含有元素とする第2絶縁層を、Si原子の数に対するC原子の数の比を0.2〜0.8とし、かつ、Si原子の数に対するN原子の数の比を0.15〜1.0とする構成、また、特開2002−83870号公報では、第2絶縁層を炭素−水素結合含有基(CHn基)を10210〜1022(個/cm3)含む構成とすることにより、低い誘電率と高いエッチング選択比を実現している。
【0011】
【特許文献1】
特開2002−9150号公報(第3−4頁、第2図)
【特許文献2】
特開2002−83869号公報(第4−7頁、第1図)
【特許文献3】
特開2002−83870号公報(第4−7頁、第1図)
【0012】
【発明が解決しようとする課題】
しかしながら、特開2002−9150号公報記載の技術では、バリア膜に求める機能として、Cuの拡散防止機能とエッチングストッパとしての機能しか考慮しておらず、誘電率については考慮していない。従って、層間絶縁膜として低誘電率膜を用いたとしてもバリア膜によって誘電率が上昇してしまい、配線容量の低減を十分に図ることができないという問題がある。
【0013】
また、特開2002−83869号公報や特開2002−83870号公報では、層間絶縁膜の上層に設けるエッチングストッパ膜として、下地膜との高いエッチング選択比と低い比誘電率、低い金属拡散性が得られると記載されているが、SiCN系の絶縁膜では、Cの含有量が大きくなるとエッチング選択比が低下し、また、Cの含有量が小さくなると誘電率が高くなり配線容量の低減が図れなくなってしまう。従ってこの公報記載の構造では、エッチング選択比の向上と誘電率の低減の双方を同時に達成することはできない。
【0014】
更に、バリア膜としては、上記機能の他にも、配線材料であるCuとの密着性を良好に保つことが重要であり、Cuとバリア膜との密着性が良好でないと、配線表面のCuが移動し易くなりエレクトロマイグレーション耐性が劣化するという問題が生じる。しかしながら上記公報では、Cuとバリア膜との密着性については考慮されていない。
【0015】
このように、CMP法を用いてCu配線を形成するダマシン法では、Cu配線とその上層の層間絶縁膜との間に形成するバリア膜としては、1.層間絶縁膜とのエッチング選択比が大きいこと、2.Cuの拡散を有効に防止できること、3.誘電率が低いこと、4.Cu配線との密着性に優れていることの4つの要求を持たすことが重要であり、このような要求を満足するバリア膜の提案が望まれている。
【0016】
本発明は、上記問題点に鑑みてなされたものであって、その主たる目的は、層間絶縁膜とのエッチング選択比が大きく、Cuの拡散防止機能に優れ、誘電率が低く、かつ、Cu配線との密着性に優れているバリア膜を有する半導体装置及びその製造方法を提供することにある。
【0017】
【問題を解決するための手段】
上記目的を達成するため、本発明の半導体装置は、ダマシン法で形成された配線又はビアを備える半導体装置において、前記配線又は前記ビアと、その上層の層間絶縁膜との間に、シリコンと炭素とを含み、炭素の含有量が相異なる複数の膜を積層したバリア膜が配設された構造を含み、前記バリア膜は、前記配線又は前記ビア側に炭素の含有量が少ない低炭素濃度膜、前記層間絶縁膜側に前記低炭素濃度膜よりも炭素の含有量が多い高炭素濃度膜を含むものである。
【0018】
また、本発明の半導体装置は、ダマシン法で形成された配線又はビアを備える半導体装置において、前記配線又は前記ビアと、その上層の層間絶縁膜との間に、シリコンと炭素と窒素とを含み、炭素の含有量が相異なる複数の膜を積層したバリア膜が配設された構造を含み、前記バリア膜は、前記配線又は前記ビア側に炭素の含有量が少ない低炭素濃度膜、前記層間絶縁膜側に前記低炭素濃度膜よりも炭素の含有量が多い高炭素濃度膜を含むものである。
【0019】
本発明においては、前記バリア膜の赤外吸収スペクトルにおいて、810cm−1近傍にピークを有する赤外吸収帯の赤外吸収面積をI1、1250cm−1近傍にピークを有する赤外吸収帯の赤外吸収面積をI2としたとき、低炭素濃度のバリア膜のI2/I1の値が略0.004〜0.0067、高炭素濃度膜バリア膜のI2/I1の値が略0.067〜0.014であることが好ましい。
【0020】
また、本発明においては、前記バリア膜上に形成される前記層間絶縁膜が、シリコンと炭素と酸素を主たる構成元素とする低誘電率膜の場合、エッチング選択比の観点より効果的である。
【0021】
また、本発明の半導体装置の製造方法は、ダマシン法により配線又はビアを形成する半導体装置の製造方法において、前記配線又は前記ビアと、その上層の層間絶縁膜との間に、シリコンと炭素とを含み、炭素の含有量が相異なる複数の膜を積層したバリア膜を配設する工程を含み、少なくとも、前記配線又は前記ビア側に炭素の含有量が少ない低炭素濃度膜を形成後、前記層間絶縁膜側に前記低炭素濃度膜よりも炭素の含有量が多い高炭素濃度膜を形成するものである。
【0022】
また、本発明の半導体装置の製造方法は、ダマシン法により配線又はビアを形成する半導体装置の製造方法において、前記配線又は前記ビアと、その上層の層間絶縁膜との間に、シリコンと炭素と窒素とを含み、炭素の含有量が相異なる複数の膜を積層したバリア膜を配設する工程を含み、少なくとも、前記配線又は前記ビア側に炭素の含有量が少ない低炭素濃度膜を形成後、前記層間絶縁膜側に前記低炭素濃度膜よりも炭素の含有量が多い高炭素濃度膜を形成するものである。
【0023】
また、本発明の半導体装置の製造方法は、配線又はビアが形成された基板上に、少なくとも、シリコンと炭素と窒素とを含み炭素の含有量が少ない低炭素濃度膜と、シリコンと炭素と窒素とを含み前記低炭素濃度膜よりも炭素含有量の多い高炭素濃度膜と、をこの順に積層したバリア膜を形成する工程と、前記バリア膜上に、シリコンと炭素と酸素を主たる構成元素とする層間絶縁膜を形成する工程と、前記層間絶縁膜上に形成したレジストパターンをマスクとし、前記低炭素濃度膜をエッチングストッパとして、前記層間絶縁膜と前記高炭素濃度膜とをドライエッチングにより除去する工程と、酸素ガスを含むアッシングにより前記レジストパターンを除去する工程と、エッチバックにより前記低炭素濃度膜を除去してビア孔又は配線溝を形成する工程と、前記ビア孔又は前記配線溝にバリアメタルと配線材料とを埋設して、ビア又は配線を形成する工程と、を少なくとも有するものである。
【0024】
また、本発明の半導体装置の製造方法は、第1の配線が形成された基板上に、少なくとも、シリコンと炭素と窒素とを含み炭素の含有量が少ない低炭素濃度膜と、シリコンと炭素と窒素とを含み前記低炭素濃度膜よりも炭素含有量の多い高炭素濃度膜と、をこの順に積層した第1のバリア膜を形成する工程と、前記第1のバリア膜上に、シリコンと炭素と酸素を主たる構成元素とする第1の層間絶縁膜と第2のバリア膜と第2の層間絶縁膜とを形成する工程と、前記第2の層間絶縁膜上に形成した第1のレジストパターンをマスクとし、前記低炭素濃度膜をエッチングストッパとして、前記第2の層間絶縁膜と前記第2のバリア膜と前記第1の層間絶縁膜と前記高炭素濃度膜とをドライエッチングにより除去する工程と、酸素ガスを含むアッシングにより前記第1のレジストパターンを除去する工程と、前記第2の層間絶縁膜上に形成した第2のレジストパターンをマスクとし、前記第2のバリア膜をエッチングストッパとして、前記第2の層間絶縁膜をドライエッチングにより除去する工程と、酸素ガスを含むアッシングにより前記第2のレジストパターンを除去する工程と、エッチバックにより前記低炭素濃度膜を除去してビア孔を含む配線溝を形成する工程と、前記配線溝にバリアメタルと配線材料とを埋設して第2の配線を形成する工程と、を少なくとも有するものである。
【0025】
本発明においては、プラズマCVD法を用い、前記バリア膜又は前記第1のバリア膜を、同一チャンバー内で成膜ガスの圧力を変えて連続して形成することが好ましく、前記成膜ガスとして、トリメチルシラン、テトラメチルシラン、又は、トリメチルビニルシランのいずれかを用いることができる。特に、SiCN系の材料を用いる場合は、トリメチルシランとNHとHeとを成膜ガスとするプラズマCVD法を用い、前記低炭素濃度膜をガス圧略330〜530Paで成膜し、前記高炭素濃度膜をガス圧略530〜730Paで成膜することが好ましい。
【0026】
このように、本発明では、配線又はビアとその上層の層間絶縁膜との間に配設するバリア膜を、炭素の含有量の異なる複数の膜の積層構造とし、特に、下層に炭素の含有量の少ない低炭素濃度膜を設け、上層に炭素の含有量の多い高炭素濃度膜を設けることにより、低炭素濃度膜でCuの拡散防止と高いエッチング選択比とCu配線との良好な密着性を確保し、高炭素濃度膜で誘電率の低減を図ることができ、バリア膜に求められる全ての要求を満足することができる。
【0027】
【発明の実施の形態】
従来技術で説明したように、多層配線における配線間容量の低減を図るために、層間絶縁膜としてSiOC、HSQ、MSQ等の低誘電率膜が用いられるようになってきており、配線又はビアとその上層の層間絶縁膜との間に配設されるバリア膜として、Cuの拡散を防止できること、層間絶縁膜とのエッチング選択比が大きいこと、誘電率が低いこと、配線又はビアとの密着性に優れていることの4つの要求を同時に満足することが重要である。
【0028】
しかしながら、SiCN系のバリア膜を、低温で成膜した第1絶縁膜と高温で成膜した第2絶縁膜との積層構造とする従来例(特開2002−9150号公報)では誘電率や密着性に関して考慮しておらず、Si原子の数に対するC原子の数の比を0.2〜0.8としり、かつ、Si原子の数に対するN原子の数の比を0.15〜1.0とする従来例(特開2002−83869号公報)や炭素−水素結合含有基を1021〜1022個含ませる従来例(特開2002−83870号公報)では密着性に関して考慮しておらず、いずれの構造も上記4つの要求の全てを満たすものではない。
【0029】
そこで、本願発明者は、少なくともシリコンと炭素を構成要素とするSiC系又はSiCN系の絶縁膜における炭素の含有量が、エッチング選択比、Cuの拡散防止、誘電率、Cuとの密着性に密接に関連することに着目し、これらの関連性について実験したところ、バリア膜中の炭素の含有量が増えると誘電率が低下し、逆に炭素の含有量が減るとエッチング選択性、Cuの拡散防止性及びCuとの密着性が向上することを見出した。
【0030】
そして、上記実験結果に基づいて、図1に示すように、配線又はビアとその上層に形成される層間絶縁膜との間に配設されるバリア膜(図では下層配線5とSiOC等の低誘電率膜からなる第2層間絶縁膜7との間に配設される第2バリア膜6)を、炭素の含有量が異なる複数の膜の積層構造(図では、炭素の含有量の少ない低炭素濃度膜6aと炭素の含有量の多い高炭素濃度膜6bの2層構造)とすることにより、低炭素濃度膜6aで高いエッチング選択性とCuの拡散防止とCuとの密着性向上を図り、高炭素濃度膜6bで第2バリア膜6全体の誘電率の低減を図ることができることを確認した。
【0031】
【実施例】
上記した本発明の実施の形態についてさらに詳細に説明すべく、本発明の実施例について図面を参照して説明する。
【0032】
[実施例1]
まず、本発明の第1の実施例に係る半導体装置及びその製造方法ついて、図2乃至図8を参照して説明する。図2乃至図4は、本発明のバリア膜を含む半導体装置の製造方法を示す工程断面図であり、作図の都合上、分図したものである。また、図5は、本発明に係るバリア膜の他の構成を示す断面図であり、図6乃至図8は、本発明のバリア膜の効果を説明するための図である。
【0033】
以下、図2乃至図4を参照して本発明のバリア膜を含む半導体装置の製造方法について説明する。なお、本実施例では、シングルダマシンプロセスについて説明し、ビアを形成する第2層間絶縁膜を低誘電率膜とし、下層配線と第2層間絶縁膜との間に配設する第2バリア膜を炭素含有量の異なる積層構造としているが、本発明は以下の実施例に限定されるものではなく、任意の層間絶縁膜に低誘電率膜を用いることができ、バリア膜の少なくとも1つに本発明の積層構造が適用されていればよい。また、配線材料としてCuを用い、CMP法により配線又はビアを形成する場合について説明するが、配線材料としてCu合金やタングステンなどを用い、エッチバック法により配線又はビアを形成する方法に適用することもできる。
【0034】
まず、図2(a)に示すように、MOSトランジスタ等の素子が形成された基板1上に、CVD法、プラズマCVD法等を用いて、第1バリア膜2と第1層間絶縁膜3とを順次形成し、その上に、露光の反射を抑制するための反射防止膜14aを50nm程度、化学増幅型レジストを600nm程度塗布し、KrFフォトリソグラフィーによる露光、現像を行い、第1配線溝3aを形成するためのレジストパターン15aを形成する。なお、第1バリア膜2と第1層間絶縁膜3とはエッチングの選択比が得られる材料の組み合わせであればよく、SiO、SiN、SiON、SiC、SiCN等の中から適宜選択することができる。
【0035】
続いて、図2(b)に示すように、公知のドライエッチングを用いて第1層間絶縁膜3をエッチングした後、酸素プラズマアッシングによりレジストパターン15aと反射防止膜14aとを除去し、エッチバックにより第1バリア膜2をエッチングして、第1層間絶縁膜3及び第1バリア膜2を貫通する第1配線溝3aを形成する。
【0036】
次に、図2(c)に示すように、スパッタ法を用いて、Ti、TiN、Ta、TaN、WN等の単層膜、又はそれらを組み合わせた2層以上の積層膜からなる第1バリアメタル4を20nm程度成膜し、続いて、配線材料となるCuのめっき成長を容易にするためのCuのシードメタル(図示せず)を100nm程度形成する。そして、電解めっき法によりCu5aを600nm程度形成して第1配線溝3a内をCu5aで埋設した後、図2(d)に示すように、CMP法を用いて第1層間絶縁膜3上のCu5a及び第1バリアメタル4を除去して第1層間絶縁膜3内に下層配線5を形成する。
【0037】
次に、図2(d)に示すように、CVD法、プラズマCVD法等を用いて、シリコンと炭素を構成要素に含むSiC系又はSiCN系の第2バリア膜6を20〜80nm程度の膜厚で形成する。この第2バリア膜6は、その上層に形成する第2層間絶縁膜7とのエッチング選択比が大きいこと、下層配線5から第2層間絶縁膜7へのCuの拡散を確実に防止できること、下層配線5と上層配線13の間の配線容量を低減することができる低い誘電率であること、下層配線5との密着性に優れることが求められる。そこで、まず、炭素含有量の少ない低炭素濃度膜6aを形成した後、同一チャンバー内で炭素含有量の多い高炭素濃度膜6bを形成し、低炭素濃度膜6aによってエッチング選択比とCuの拡散防止と下層配線との密着性を確保し、高炭素濃度膜6bによって誘電率化の低減を図っている。
【0038】
SiCN系の第2バリア膜6の製造方法としては、例えば、平行平板型プラズマCVD装置を用い、成膜ガスとして、トリメチルシラン(3MS)を100〜200sccm程度、NHを250〜400sccm程度、Heを250〜400sccm程度の流量で導入し、基板温度:300〜350℃程度、パワー:250〜400W程度で成膜することができる。
【0039】
ここで、成膜ガスとしてトリメチルシランとNHとHeの混合ガスを用いると、Si−CH結合、Si−CH結合、Si−C結合、Si−N結合、Si−H結合等の各種成分によって第2バリア膜6が形成されるが、ガス圧を変えることによってSi−CH結合のSi−C結合に対する比率が変化し、第2バリア膜6に含有される炭素の濃度を変えることができる。例えば、チャンバー内のガス圧を2.5〜4.0Torr(略330〜530Pa)程度にすると低炭素濃度膜6aが形成され、ガス圧を4.0〜5.5Torr(略530〜730Pa)程度にすると高炭素濃度膜6bが形成される。
【0040】
上記ガス圧で成膜した低炭素濃度膜6a及び高炭素濃度膜6bに含まれるSi−CH結合のSi−C結合に対する比率を図6に示す。図6より、低炭素濃度膜6aのSi−CH/Si−C比は略0.005であるのに対し、高炭素濃度膜6bの比は略0.0125であり、高炭素濃度膜6bではSi−CH結合の割合が多いことが分かる。
【0041】
また、低炭素濃度膜6aと高炭素濃度膜6bの膜組成はFTIRスペクトルで示すことができる。具体的には、Si−CH結合は1250cm−1近傍にピークを持ち、一方、Si−C結合は810cm−1近傍にピークを持つ。そこで、1300〜1220cm−1で囲まれた吸収帯の面積をI2とし、1220〜600cm−1で囲まれた吸収帯の面積をI1とすると、低炭素濃度膜6aはI2/I1=0.004〜0.0067、高炭素濃度膜6bは、I2/I1=0.0067〜0.014であり、高炭素濃度膜6bではSi−CH結合の割合が多いことが分かる。
【0042】
なお、低炭素濃度膜6a及び高炭素濃度膜6bの炭素の含有量及び膜厚は、その上層に形成される層間絶縁膜とのエッチング選択比、配線間容量の許容値等に応じて適宜設定されるものであり、その数値は特に限定されない。また、図では、低炭素濃度膜6aと高炭素濃度膜6bとを明確に区別しているが、第2バリア膜6の下部と上部とで炭素含有量が異なっていればよく、膜の厚さ方向(図の上下方向)で炭素含有量がなだらかに変化する構造であってもよい。
【0043】
次に、図3(a)に示すように、第2バリア膜6上にCVD、プラズマCVD法、塗布等を用いて第2層間絶縁膜7を150〜300nm程度の膜厚で形成する。例えば、第2層間絶縁膜7としてSiOCを用いる場合の製造方法としては、平行平板型プラズマCVD装置を用い、成膜ガスとして、トリメチルシラン(3MS)を500〜1500sccm程度、Oを300〜500sccm程度、Heを150〜400sccm程度の流量で導入し、基板温度:330〜400℃程度、パワー:600〜750W程度で成膜する。また、第2層間絶縁膜7としてSiO以外の材料を用いた場合は、その上にハードマスク用のSiO(ハードマスク膜16)を50〜200nm程度形成する。なお、第2層間絶縁膜7として、必ずしも低誘電率膜を用いる必要はないが、SiOCやHSQ、MSQ等、又はこれらの膜をポーラス化した膜などの低誘電率膜を用いる場合に本発明のバリア膜の効果が顕著に現れる。
【0044】
その後、第2層間絶縁膜7(又はキャップ絶縁膜16)の上に、露光の反射を抑制するための反射防止膜14bを50nm程度堆積した後、ビアホールパターンを形成するための化学増幅型レジストを600nm程度塗布し、KrFフォトリソグラフィーによる露光、現像を行い、レジストパターン15bを形成し、図3(b)に示すように、公知のドライエッチングにより、低炭素濃度膜6aをエッチングストッパとして、反射防止膜14b、第2層間絶縁膜10、高炭素濃度膜6bを順次エッチングする。
【0045】
その後、酸素プラズマアッシングによりレジストパターン15bと反射防止膜14bとを除去した後、図3(c)に示すように、エッチバックにより低炭素濃度膜6aをエッチングして、第2層間絶縁膜10、高炭素濃度膜6b、低炭素濃度膜6aを貫通するビア孔7aを形成する。
【0046】
ここで、従来の半導体装置の製造方法では、第2層間絶縁膜(SiOC)7と第2バリア膜6とのエッチング選択比が十分に得られず、第2層間絶縁膜7のドライエッチングの際に下層配線5が露出し、また、酸素アッシングによって第2バリア膜6中の有機成分がCOガスとして脱離してしまい、酸素アッシング工程で下層配線5が酸化され、下層配線5上に形成するビア9との接続不良が発生するという問題があった。特に、第2層間絶縁膜7としてSiOC等の低誘電率膜を用いる場合、低誘電率膜自体が酸素プラズマで損傷を受けてしまうことから、アッシング時にはバイアスを印加して方向性を持たせているため、第2バリア膜6がエッチングされやすく上記問題が顕著に現れていた。
【0047】
これに対して、本実施例の第2バリア膜6の構造では、下層に低炭素濃度膜6bが形成されており、低炭素濃度膜6aと第2層間絶縁膜7とのエッチング選択比が十分に大きく、かつ、炭素の含有量が少なく酸素アッシングに対する耐性が高いために、ビア孔7aのドライエッチングを低炭素濃度膜6aで確実に停止させることができ、その結果、下層配線5の露出を防止し、アッシング工程での下層配線5の酸化を防止することができる。
【0048】
また、低炭素濃度膜6aのCuの拡散防止性能は高く、Cu配線との密着性も良好なため、Cu原子の移動により生じるエレクトロマイグレーションを確実に防止することができると共に、上層の高炭素濃度膜6bの誘電率が低い(本実施例の製造条件の場合は、比誘電率4.3〜3.5程度)ため、第2バリア膜6全体の誘電率を低減することができ、低誘電率の層間絶縁膜を用いる場合であっても配線間容量の低減を図ることができる。
【0049】
次に、図3(d)に示すように、ハードマスク膜16を除去した後、配線材料の拡散を防止し、密着性の向上を図るためのTi、TiN、Ta、TaN、WN等の第2バリアメタル8を20nm程度の厚さで形成し、続いて、Cuのシードメタル(図示せず)を100nm程度の膜厚で形成する。その後、配線材料となるCu9aをめっき法により600nm程度の膜厚で形成してビア孔7a内をCu9aで埋設した後、図3(e)に示すように、CMP法を用いて余分なCu9a及び第2バリアメタル8を研磨して表面を平坦化することにより、下層配線5と接続されるビア9が形成される。
【0050】
その後、図4(a)乃至図4(c)に示すように、第3層間絶縁膜11に第2配線溝11aを形成し、その内部をCuで埋設することによりビア9と接続される上層配線13を形成し、上記工程を回数繰り返すことにより所望のダマシン構造の多層配線が形成される。
【0051】
このようにして形成される半導体装置の効果を確認するために以下の実験を行った。まず、第2バリア膜6として、炭素含有量の多い高炭素濃度膜6bのみを50nm形成した試料と、本実施例のように下層に炭素含有量の少ない低炭素濃度膜6aを10nm、上層に炭素含有量の多い高炭素濃度膜6bを40nmを形成した試料と、炭素含有量の少ない低炭素濃度膜6aのみを50nm形成した試料とを作製し、ビアチェーンの抵抗を測定した、その結果を図7に示す。
【0052】
図7は、ビアチェーン(配線間をビアで順次接続した経路)の歩留まりを示す図である。図7から分かるように、高炭素濃度膜6bのみを用いた試料(図の右側)は本実施例の2層構造の試料(図の中央)及び低炭素濃度膜6aのみを用いた試料(図の左側)に比べてビアチェーンの歩留まりが低く、バリア膜がエッチングストッパとして十分に機能せず、その後のアッシングでCu配線表面が酸化されるため、ビアと配線との接続部分で接触不良が生じやすいことを示している。このように、バリア膜の一部に炭素含有量の少ない膜を含めることにより、エッチングストッパとしての機能を向上できることが分かる。
【0053】
また、上記3種類の資料(WN0.4、WN0.6、WN0.7)を用いてエレクトロマイグレーション(EM)耐性を測定した。その結果を図8に示す。図8はEM寿命を示す図であり、横軸はウエハ水準を示し、縦軸は、T50(言い換えればMTF: Mean time of Failure)をあらわしている。図8から分かるように、高炭素濃度膜6bのみを用いた資料(WN0.4)はT50が短くなっているのに対し、本実施例の2層構造の資料(WN0.6)および、低炭素濃度膜6aのみを用いた資料(WN0.7)ではともにT50の時間が長くなっている。このことから、Cu配線側に低炭素濃度膜6aを設けることにより、Cuとの密着性を高め、また、Cu拡散を有効に防止し、信頼性の高い半導体装置が得られることが分かる。
【0054】
以上の実験結果より、炭素含有量の多い高炭素濃度膜6bのみでバリア膜を構成した場合は、層間絶縁膜とのエッチング選択比が不十分なために酸素アッシング工程で配線表面が酸化され、配線とビアの接触不良が生じやすく、また、配線とバリア膜との密着性が悪いためにEM耐性が劣化している。これに対し、バリア膜の一部(特に、配線側)に炭素含有量の少ない低炭素濃度膜6aを設けた本実施例の構造では、エッチング選択比が大きくなり、その結果配線表面の酸化が抑制されてビアの抵抗、ビアチェーンの歩留まりが向上し、かつ、配線との密着性が改善され、EM寿命が向上している。更に、本実施例の構造では、炭素含有量の少ない低炭素濃度膜6aのみを用いた試料(WN0.4)と同等の効果が得られることから、バリア膜の一部に低炭素濃度膜6aを設ければ十分であり、低炭素濃度膜6aのみでは誘電率が高くなってしまうことから、本実施例の積層構造によってのみ、高いエッチング選択比と高い拡散防止機能と誘電率の低減と密着性向上の4つの要求を同時に達成できることが分かる。
【0055】
なお、上記説明では、第2バリア膜6の構造として、下層(下層配線5側)に低炭素濃度膜6aを形成し、上層(第2層間絶縁膜7側)に高炭素濃度膜6bを形成する場合について示したが、図5(a)に示すように、下層に高炭素濃度膜6bを上層に低炭素濃度膜6aを形成することもできる。この場合、下層配線5と接触する部分は高炭素濃度膜6bであるため、Cuとの密着性を向上させることはできないが、Cuの拡散を防止する効果、第2バリア膜6全体の誘電率を低減する効果、第2層間絶縁膜7のエッチングストッパとしての効果は同様に得ることができる。また、図5(b)に示すように、下層の低炭素濃度膜6a(又は高炭素濃度膜6b)と上層の高炭素濃度膜6b(又は低炭素濃度膜6a)との間に第3の膜6c(両者の中間の炭素含有量の膜でも良いし、高炭素濃度膜6bよりも炭素含有量が大きい(又は低炭素濃度膜6aよりも炭素含有量が小さい)膜でも良く、他の組成、構造の膜でもよい。)を配設する構造とすることもできる。
【0056】
また、上記説明では、3MS(トリメチルシラン)を用いてSiCN膜を作成する場合について示したが、4MS(テトラメチルシラン)やTMVS(トリメチルビニルシラン)を用いても同様な効果が得られ、特に分子量の大きなTMVSの場合は、3MSに比べ約0.5程度の低誘電率化を実現することができる。また、第2バリア膜6の構造として、SiCNの2層構造を用いたが、SiC/SiCN(上層がSiC、下層がSiCN)または、SiCN/SiCを用いてもよい。
【0057】
[実施例2]
次に、本発明の第2の実施例に係る半導体装置及びその製造方法について、図9及び図10を参照して説明する。図9及び図10は、第2の実施例に係る半導体装置の製造方法を示す工程断面図であり、作図の都合上、分図したものである。なお、本実施例は、本発明の積層構造のバリア膜をビアファーストデュアルダマシンプロセスに適用したものであり、他の部分の構造、製造方法等は第1の実施例と同様である。以下、その具体的な手順について説明する。
【0058】
まず、第1の実施例と同様に、MOSトランジスタ等の素子が形成された基板1上に、第1バリア膜2と第1層間絶縁膜3とを順次形成し、その上に形成したレジストパターン15aをマスクとして、第1層間絶縁膜3をエッチングし、酸素プラズマアッシングによりレジストパターン15aと反射防止膜14aとを除去した後、エッチバックにより第1バリア膜2をエッチングして第1配線溝3aを形成する。次に、Ti、TiN、Ta、TaN、WN等の単層膜、又はそれらを組み合わせた2層以上の積層膜からなる第1バリアメタル4、Cuのシードメタル(図示せず)、電解めっき法によりCu5aを形成して第1配線溝3a内をCu5aで埋設した後、CMP法を用いて余分なCu5a及びバリアメタル4を除去して第1層間絶縁膜3内に下層配線5を形成する(図9(a)〜(d)参照)。
【0059】
次に、図9(e)に示すように、プラズマCVD法を用いて、トリメチルシラン、NH、Heを成膜ガスとし、第1の実施例と同様のガス流量、ガス圧力、基板温度、パワーで、低炭素濃度膜6a及び高炭素濃度膜6bからなる第2バリア膜6を20〜80nm程度の膜厚で形成する。
【0060】
次に、図10(a)に示すように、第2バリア膜6上に、プラズマCVD法を用いて、トリメチルシラン、O、Heを成膜ガスとし、第1の実施例と同様のガス流量、基板温度、パワーでSiOCからなる第2層間絶縁膜10を150〜300nm程度の膜厚で形成し、引き続き、第3バリア膜10、第3層間絶縁膜11を形成する。その後、第3層間絶縁膜11の上に、ビア孔7aを形成するためのレジストパターン(図示せず)を形成し、公知のドライエッチングにより、低炭素濃度膜6aをエッチングストッパとして、反射防止膜、第3層間絶縁膜11、第3バリア膜10、第2層間絶縁膜10、高炭素濃度膜6bを順次エッチングする。
【0061】
次に、酸素プラズマアッシングによりレジストパターンと反射防止膜とを除去した後、図10(b)に示すように、第2配線溝11aを形成するためのレジストパターン(図示せず)を形成し、公知のドライエッチングにより、第3バリア膜10をエッチングストッパとして、反射防止膜、第3層間絶縁膜11を順次エッチングする。そして、酸素プラズマアッシングによりレジストパターンと反射防止膜とを除去した後、エッチバックにより低炭素濃度膜6aをエッチングし、ビア孔7aと一体となった第2配線溝11aを形成する。
【0062】
次に、図10(c)に示すように、Ti、TiN、Ta、TaN、WN等の第3バリアメタル12、Cuのシードメタル(図示せず)、電解めっき法によりCuを形成してビア孔7a及び第2配線溝11a内をCu13aで埋設した後、図10(d)に示すように、CMP法を用いて余分なCu13a及び第3バリアメタル12を除去して、下層配線5と接続される上層配線13を形成する。その後、上記工程を繰り返すことにより所望のダマシン構造の多層配線が形成される。
【0063】
このような方法によっても、低炭素濃度膜6aと第2層間絶縁膜7とのエッチング選択比が十分に大きく、かつ、酸素アッシングに対する耐性が高いために、ビア孔7aのドライエッチングを低炭素濃度膜6aで確実に停止させることができ、その結果、下層配線5の露出を防止し、アッシング工程での下層配線5の酸化を防止することができる。また、低炭素濃度膜6aのCuの拡散防止性能は高く、Cu配線との密着性も良好なため、EM耐性を高めることができると共に、上層の高炭素濃度膜6bの誘電率が低いため、第2バリア膜6全体の誘電率を低減することができ、低誘電率の層間絶縁膜を用いる場合であっても配線間容量の低減を図ることができる。
【0064】
なお、第2の実施例では、デュアルダマシンプロセスの一形態であるビアファーストデュアルダマシンプロセスについて記載したが、第2配線間絶縁膜13上にハードマスクを形成して配線溝を形成するデュアルハードマスクプロセスやその他のデュアルダマシンプロセスについても同様に適用することができる。また、上記各実施例では、積層構造のバリア膜を、シリコンと炭素と窒素を主たる構成要素とするSiC系又はSiCN系のバリア膜として説明したが、本発明は上記実施例に限定されるものではなく、炭素の含有量を変化させることによって、エッチング選択比やCu配線との密着性、誘電率を変化させることができる材料を用いた任意のバリア膜に適用することができる。
【0065】
【発明の効果】
以上説明したように、本発明の半導体装置及びその製造方法によれば、下記記載の効果を奏する。
【0066】
本発明の第1の効果は、層間絶縁膜にビア孔又は配線溝を形成する際のドライエッチングで下層の配線又はビアが露出し、その後のアッシングにより表面が酸化されてビアの接続信頼性が低下するという問題や、層間絶縁膜と配線との密着性が悪く、またCuの拡散性能が不十分でエレクトロマイグレーション耐性が劣化するという問題や、バリア膜によって配線間の容量が増加するという問題を回避することができるということである。
【0067】
その理由は、配線又はビアとその上層の層間絶縁膜(特に低誘電率絶縁膜)との間に形成するSiC系又はSiCN系のバリア膜を、炭素濃度の異なる複数の膜の積層構造(好ましくは下層に低炭素濃度膜、上層に高炭素濃度膜の2層構造)とすることによって、低炭素濃度膜で層間絶縁膜とのエッチング選択比を大きくし、Cu配線又はビアとの密着性を高め、Cuの拡散を防止することができ、高炭素濃度膜で誘電率の低減を図ることができるからである。
【0068】
また、本発明の第2の効果は製造工程を複雑化することなく、上記効果が得られるバリア膜を形成できるということである。
【0069】
その理由は、構成元素が異なる膜を用いて積層構造のバリア膜を形成するのではなく、同一チャンバー内で成膜ガスの圧力を変化させることにより、同一の構成元素で炭素の含有量を変えて異なる性質の膜を形成しているため、バリア膜の製造工程を複雑にする必要がないからである。
【図面の簡単な説明】
【図1】本発明の第1の実施例に係るバリア膜を含む半導体装置の構造を示す断面図である。
【図2】本発明の第1の実施例に係るバリア膜を含む半導体装置の製造方法(シングルダマシンプロセス)を示す工程断面図である。
【図3】本発明の第1の実施例に係るバリア膜を含む半導体装置の製造方法(シングルダマシンプロセス)を示す工程断面図である。
【図4】本発明の第1の実施例に係るバリア膜を含む半導体装置の製造方法(シングルダマシンプロセス)を示す工程断面図である。
【図5】本発明の第1の実施例に係るバリア膜を含む半導体装置の他の構造を示す断面図である。
【図6】低炭素濃度膜と高炭素濃度膜の組成を示す図である。
【図7】本実施例の積層構造のバリア膜と、低炭素濃度膜のみ又は高炭素濃度膜のみのバリア膜のビアチェーンの歩留まりを示す図である。
【図8】本実施例の積層構造のバリア膜と、低炭素濃度膜のみ又は高炭素濃度膜のみのバリア膜のEM寿命を示す図である。
【図9】本発明の第2の実施例に係るバリア膜を含む半導体装置の製造方法(デュアルダマシンプロセス)を示す工程断面図である。
【図10】本発明の第2の実施例に係るバリア膜を含む半導体装置の製造方法(デュアルダマシンプロセス)を示す工程断面図である。
【図11】従来の半導体装置の製造方法を示す工程断面図である。
【図12】従来の半導体装置の製造方法を示す工程断面図である。
【符号の説明】
1 基板
2 第1バリア膜
3 第1配線間絶縁膜
3a 第1配線溝
4 第1バリアメタル
5 下層配線
5a Cu
6 第2バリア膜
6a 低炭素濃度膜
6b 高炭素濃度膜
6c 第3の膜
7 第2層間絶縁膜
7a ビア孔
8 第2バリアメタル
9 ビア
9a Cu
10 第3バリア膜
11 第3層間絶縁膜
11a 第2配線溝
12 第3バリアメタル
13 上層配線
13a Cu
14a、14b 反射防止膜
15a、15b レジストパターン
16 ハードマスク膜
17 酸化領域

Claims (12)

  1. ダマシン法で形成された配線又はビアを備える半導体装置において、
    前記配線又は前記ビアと、その上層の層間絶縁膜との間に、シリコンと炭素とを含み、炭素の含有量が相異なる複数の膜を積層したバリア膜が配設された構造を含み、
    前記バリア膜は、前記配線又は前記ビア側に炭素の含有量が少ない低炭素濃度膜、前記層間絶縁膜側に前記低炭素濃度膜よりも炭素の含有量が多い高炭素濃度膜を含むことを特徴とする半導体装置。
  2. ダマシン法で形成された配線又はビアを備える半導体装置において、
    前記配線又は前記ビアと、その上層の層間絶縁膜との間に、シリコンと炭素と窒素とを含み、炭素の含有量が相異なる複数の膜を積層したバリア膜が配設された構造を含み、
    前記バリア膜は、前記配線又は前記ビア側に炭素の含有量が少ない低炭素濃度膜、前記層間絶縁膜側に前記低炭素濃度膜よりも炭素の含有量が多い高炭素濃度膜を含むことを特徴とする半導体装置。
  3. 前記バリア膜の赤外吸収スペクトルにおいて、810cm −1 近傍にピークを有する赤外吸収帯の赤外吸収面積をI1、1250cm −1 近傍にピークを有する赤外吸収帯の赤外吸収面積をI2としたとき、
    低炭素濃度のバリア膜のI2/I1の値が略0.004〜0.0067、高炭素濃度膜バリア膜のI2/I1の値が略0.067〜0.014であることを特徴とする請求項1又は2に記載の半導体装置。
  4. 前記バリア膜上に形成される前記層間絶縁膜が、シリコンと炭素と酸素を主たる構成元素とする絶縁膜からなることを特徴とする請求項1乃至3のいずれか一に記載の半導体装置。
  5. ダマシン法により配線又はビアを形成する半導体装置の製造方法において、
    前記配線又は前記ビアと、その上層の層間絶縁膜との間に、シリコンと炭素とを含み、炭素の含有量が相異なる複数の膜を積層したバリア膜を配設する工程を含み、
    少なくとも、前記配線又は前記ビア側に炭素の含有量が少ない低炭素濃度膜を形成後、前記層間絶縁膜側に前記低炭素濃度膜よりも炭素の含有量が多い高炭素濃度膜を形成することを特徴とする半導体装置の製造方法。
  6. ダマシン法により配線又はビアを形成する半導体装置の製造方法において、
    前記配線又は前記ビアと、その上層の層間絶縁膜との間に、シリコンと炭素と窒素とを含み、炭素の含有量が相異なる複数の膜を積層したバリア膜を配設する工程を含み、
    少なくとも、前記配線又は前記ビア側に炭素の含有量が少ない低炭素濃度膜を形成後、前記層間絶縁膜側に前記低炭素濃度膜よりも炭素の含有量が多い高炭素濃度膜を形成することを特徴とする半導体装置の製造方法。
  7. 前記バリア膜上の前記層間絶縁膜を、シリコンと炭素と酸素を主たる構成元素とする絶縁膜を用いて形成することを特徴とする請求項5又は6に記載の半導体装置の製造方法。
  8. 配線又はビアが形成された基板上に、少なくとも、シリコンと炭素と窒素とを含み炭素の含有量が少ない低炭素濃度膜と、シリコンと炭素と窒素とを含み前記低炭素濃度膜よりも炭素含有量の多い高炭素濃度膜と、をこの順に積層したバリア膜を形成する工程と、前記バリア膜上に、シリコンと炭素と酸素を主たる構成元素とする層間絶縁膜を形成する工程と、前記層間絶縁膜上に形成したレジストパターンをマスクとし、前記低炭素濃度膜をエッチングストッパとして、前記層間絶縁膜と前記高炭素濃度膜とをドライエッチングに より除去する工程と、酸素ガスを含むアッシングにより前記レジストパターンを除去する工程と、エッチバックにより前記低炭素濃度膜を除去してビア孔又は配線溝を形成する工程と、前記ビア孔又は前記配線溝にバリアメタルと配線材料とを埋設して、ビア又は配線を形成する工程と、を少なくとも有することを特徴とする半導体装置の製造方法。
  9. 第1の配線が形成された基板上に、少なくとも、シリコンと炭素と窒素とを含み炭素の含有量が少ない低炭素濃度膜と、シリコンと炭素と窒素とを含み前記低炭素濃度膜よりも炭素含有量の多い高炭素濃度膜と、をこの順に積層した第1のバリア膜を形成する工程と、前記第1のバリア膜上に、シリコンと炭素と酸素を主たる構成元素とする第1の層間絶縁膜と第2のバリア膜と第2の層間絶縁膜とを形成する工程と、前記第2の層間絶縁膜上に形成した第1のレジストパターンをマスクとし、前記低炭素濃度膜をエッチングストッパとして、前記第2の層間絶縁膜と前記第2のバリア膜と前記第1の層間絶縁膜と前記高炭素濃度膜とをドライエッチングにより除去する工程と、酸素ガスを含むアッシングにより前記第1のレジストパターンを除去する工程と、前記第2の層間絶縁膜上に形成した第2のレジストパターンをマスクとし、前記第2のバリア膜をエッチングストッパとして、前記第2の層間絶縁膜をドライエッチングにより除去する工程と、酸素ガスを含むアッシングにより前記第2のレジストパターンを除去する工程と、エッチバックにより前記低炭素濃度膜を除去してビア孔を含む配線溝を形成する工程と、前記配線溝にバリアメタルと配線材料とを埋設して第2の配線を形成する工程と、を少なくとも有することを特徴とする半導体装置の製造方法。
  10. プラズマCVD法を用い、前記バリア膜又は前記第1のバリア膜を、同一チャンバー内で成膜ガスの圧力を変えて連続して形成することを特徴とする請求項5乃至9のいずれか一に記載の半導体装置の製造方法。
  11. 前記成膜ガスとして、トリメチルシラン、テトラメチルシラン、又は、トリメチルビニルシランのいずれかを用いることを特徴とする請求項10記載の半導体装置の製造方法。
  12. トリメチルシランとNH とHeとを成膜ガスとするプラズマCVD法を用い、前記低炭素濃度膜をガス圧略330〜530Paで成膜し、前記高炭素濃度膜をガス圧略530〜730Paで成膜することを特徴とする請求項5、6、8、9のいずれか一に記載の半導体装置の製造方法。
JP2003026783A 2003-02-04 2003-02-04 半導体装置及びその製造方法 Expired - Fee Related JP4086673B2 (ja)

Priority Applications (6)

Application Number Priority Date Filing Date Title
JP2003026783A JP4086673B2 (ja) 2003-02-04 2003-02-04 半導体装置及びその製造方法
TW093102009A TWI278965B (en) 2003-02-04 2004-01-29 Semiconductor device and manufacturing method thereof
US10/768,676 US7391115B2 (en) 2003-02-04 2004-02-02 Semiconductor device and manufacturing method thereof
CN2004100036368A CN1519925B (zh) 2003-02-04 2004-02-04 半导体器件及其制造方法
KR1020040007357A KR100652243B1 (ko) 2003-02-04 2004-02-04 반도체장치 및 그 제조방법
US12/098,190 US7910474B2 (en) 2003-02-04 2008-04-04 Method of manufacturing a semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2003026783A JP4086673B2 (ja) 2003-02-04 2003-02-04 半導体装置及びその製造方法

Publications (2)

Publication Number Publication Date
JP2004241464A JP2004241464A (ja) 2004-08-26
JP4086673B2 true JP4086673B2 (ja) 2008-05-14

Family

ID=32820798

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2003026783A Expired - Fee Related JP4086673B2 (ja) 2003-02-04 2003-02-04 半導体装置及びその製造方法

Country Status (5)

Country Link
US (2) US7391115B2 (ja)
JP (1) JP4086673B2 (ja)
KR (1) KR100652243B1 (ja)
CN (1) CN1519925B (ja)
TW (1) TWI278965B (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1521127A2 (en) 2003-10-01 2005-04-06 Ricoh Company, Ltd. Toner process of manufacturing toner, developer, toner container, process cartridge image forming apparatus and image forming process

Families Citing this family (49)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060009038A1 (en) 2004-07-12 2006-01-12 International Business Machines Corporation Processing for overcoming extreme topography
US20060138668A1 (en) * 2004-12-27 2006-06-29 Hung-Wen Su Passivation structure for semiconductor devices
JP5180426B2 (ja) * 2005-03-11 2013-04-10 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
JP4578332B2 (ja) * 2005-06-15 2010-11-10 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
JP5022900B2 (ja) * 2005-08-15 2012-09-12 ルネサスエレクトロニクス株式会社 半導体集積回路装置の製造方法
US7557447B2 (en) * 2006-02-06 2009-07-07 Nec Electronics Corporation Semiconductor device and method for manufacturing same
JP4675258B2 (ja) * 2006-02-22 2011-04-20 富士通セミコンダクター株式会社 半導体装置の製造方法および半導体装置
JP2007305739A (ja) * 2006-05-10 2007-11-22 Nec Electronics Corp 半導体装置
US8563431B2 (en) * 2006-08-25 2013-10-22 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor device
US7459388B2 (en) 2006-09-06 2008-12-02 Samsung Electronics Co., Ltd. Methods of forming dual-damascene interconnect structures using adhesion layers having high internal compressive stresses
JP4110192B1 (ja) * 2007-02-23 2008-07-02 キヤノン株式会社 光電変換装置及び光電変換装置を用いた撮像システム
US7645701B2 (en) * 2007-05-21 2010-01-12 International Business Machines Corporation Silicon-on-insulator structures for through via in silicon carriers
KR100900231B1 (ko) * 2007-06-21 2009-06-02 주식회사 하이닉스반도체 반도체 소자의 제조방법
JP2009088269A (ja) * 2007-09-28 2009-04-23 Toshiba Corp 半導体装置、およびその製造方法
US7456030B1 (en) * 2007-10-11 2008-11-25 National Semiconductor Corporation Electroforming technique for the formation of high frequency performance ferromagnetic films
JP4675393B2 (ja) * 2008-05-12 2011-04-20 パナソニック株式会社 半導体装置および半導体装置の製造方法
JP5530118B2 (ja) * 2009-04-08 2014-06-25 東京エレクトロン株式会社 酸化マンガン膜の形成方法、半導体装置の製造方法および半導体装置
US7928570B2 (en) * 2009-04-16 2011-04-19 International Business Machines Corporation Interconnect structure
US20100282758A1 (en) * 2009-05-08 2010-11-11 Gm Global Technology Operations, Inc. Interlocking Hollow Tanks
KR100937953B1 (ko) * 2009-07-02 2010-01-21 주식회사 아토 반도체 소자 및 그 제조 방법
CN102110639B (zh) * 2009-12-23 2013-12-04 中芯国际集成电路制造(上海)有限公司 制作扩散阻挡层的方法
US8349746B2 (en) * 2010-02-23 2013-01-08 Applied Materials, Inc. Microelectronic structure including a low k dielectric and a method of controlling carbon distribution in the structure
CN102468218B (zh) * 2010-10-29 2014-07-02 中芯国际集成电路制造(北京)有限公司 形成双镶嵌结构的方法、半导体器件
JP5408116B2 (ja) * 2010-12-17 2014-02-05 富士通セミコンダクター株式会社 半導体装置の製造方法
JP5755471B2 (ja) * 2011-03-10 2015-07-29 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
CN102760689B (zh) 2011-04-29 2015-03-04 中芯国际集成电路制造(上海)有限公司 半导体器件制造方法
CN102881632B (zh) * 2011-07-13 2014-12-17 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制造方法
US8525339B2 (en) 2011-07-27 2013-09-03 International Business Machines Corporation Hybrid copper interconnect structure and method of fabricating same
US8754508B2 (en) * 2012-08-29 2014-06-17 Taiwan Semiconductor Manufacturing Company, Ltd. Structure to increase resistance to electromigration
US9312203B2 (en) 2013-01-02 2016-04-12 Globalfoundries Inc. Dual damascene structure with liner
JP6037914B2 (ja) * 2013-03-29 2016-12-07 富士フイルム株式会社 保護膜のエッチング方法およびテンプレートの製造方法
WO2015068251A1 (ja) * 2013-11-08 2015-05-14 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
JP6318433B2 (ja) * 2013-11-28 2018-05-09 大陽日酸株式会社 シリコン窒化膜の形成方法及びシリコン窒化膜
US10163644B2 (en) 2014-02-07 2018-12-25 Taiwan Semiconductor Manufacturing Company Interconnect structure including a conductive feature and a barrier layer on sidewalls and a bottom surface of the conductive feature and method of forming the same
KR102161793B1 (ko) * 2014-07-18 2020-10-06 삼성전자주식회사 반도체 장치 및 그 제조 방법
CN105336673A (zh) * 2014-07-28 2016-02-17 中芯国际集成电路制造(上海)有限公司 互连结构及其形成方法
US9437484B2 (en) 2014-10-17 2016-09-06 Taiwan Semiconductor Manufacturing Company, Ltd. Etch stop layer in integrated circuits
US9576852B2 (en) * 2015-06-26 2017-02-21 GlobalFoundries, Inc. Integrated circuits with self aligned contacts and methods of manufacturing the same
KR102521554B1 (ko) * 2015-12-07 2023-04-13 삼성전자주식회사 배선 구조물, 배선 구조물 설계 방법, 및 배선 구조물 형성 방법
US10658296B2 (en) * 2016-09-30 2020-05-19 Taiwan Semiconductor Manufacturing Co., Ltd. Dielectric film for semiconductor fabrication
KR102356754B1 (ko) 2017-08-02 2022-01-27 삼성전자주식회사 반도체 장치
US10510657B2 (en) * 2017-09-26 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with interconnecting structure and method for manufacturing the same
KR102606765B1 (ko) * 2018-02-07 2023-11-27 삼성전자주식회사 비아 플러그를 갖는 반도체 소자 및 그 형성 방법
US10741442B2 (en) 2018-05-31 2020-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Barrier layer formation for conductive feature
US10672652B2 (en) * 2018-06-29 2020-06-02 Taiwan Semiconductor Manufacturing Co., Ltd. Gradient atomic layer deposition
KR102580659B1 (ko) * 2018-10-01 2023-09-20 삼성전자주식회사 반도체 장치 및 그 제조 방법
KR20210137276A (ko) * 2020-05-07 2021-11-17 삼성전자주식회사 반도체 소자
US20220108917A1 (en) * 2020-10-06 2022-04-07 Applied Materials, Inc. Low resistance and high reliability metallization module
CN115775768B (zh) * 2023-02-13 2023-07-04 长鑫存储技术有限公司 半导体结构及其制作方法

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6227151B1 (en) * 1997-08-01 2001-05-08 Ford Global Technologies, Inc. Gasoline internal combustion engine
US6436824B1 (en) * 1999-07-02 2002-08-20 Chartered Semiconductor Manufacturing Ltd. Low dielectric constant materials for copper damascene
US6337151B1 (en) 1999-08-18 2002-01-08 International Business Machines Corporation Graded composition diffusion barriers for chip wiring applications
US6593653B2 (en) * 1999-09-30 2003-07-15 Novellus Systems, Inc. Low leakage current silicon carbonitride prepared using methane, ammonia and silane for copper diffusion barrier, etchstop and passivation applications
US6784485B1 (en) * 2000-02-11 2004-08-31 International Business Machines Corporation Diffusion barrier layer and semiconductor device containing same
US6417092B1 (en) * 2000-04-05 2002-07-09 Novellus Systems, Inc. Low dielectric constant etch stop films
JP4425432B2 (ja) 2000-06-20 2010-03-03 Necエレクトロニクス株式会社 半導体装置の製造方法
JP4484345B2 (ja) 2000-09-11 2010-06-16 東京エレクトロン株式会社 半導体装置及びその製造方法
JP2002083870A (ja) 2000-09-11 2002-03-22 Tokyo Electron Ltd 半導体装置及びその製造方法
US6350675B1 (en) * 2000-10-12 2002-02-26 Chartered Semiconductor Manufacturing Ltd. Integration of silicon-rich material in the self-aligned via approach of dual damascene interconnects
US6768200B2 (en) * 2000-10-25 2004-07-27 International Business Machines Corporation Ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device
US7091137B2 (en) * 2001-12-14 2006-08-15 Applied Materials Bi-layer approach for a hermetic low dielectric constant layer for barrier applications
US20030134499A1 (en) * 2002-01-15 2003-07-17 International Business Machines Corporation Bilayer HDP CVD / PE CVD cap in advanced BEOL interconnect structures and method thereof
US6656840B2 (en) * 2002-04-29 2003-12-02 Applied Materials Inc. Method for forming silicon containing layers on a substrate
US6917108B2 (en) * 2002-11-14 2005-07-12 International Business Machines Corporation Reliable low-k interconnect structure with hybrid dielectric

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1521127A2 (en) 2003-10-01 2005-04-06 Ricoh Company, Ltd. Toner process of manufacturing toner, developer, toner container, process cartridge image forming apparatus and image forming process

Also Published As

Publication number Publication date
KR20040071631A (ko) 2004-08-12
TWI278965B (en) 2007-04-11
TW200425402A (en) 2004-11-16
KR100652243B1 (ko) 2006-12-01
US20040155342A1 (en) 2004-08-12
US7910474B2 (en) 2011-03-22
JP2004241464A (ja) 2004-08-26
CN1519925A (zh) 2004-08-11
US20080194102A1 (en) 2008-08-14
US7391115B2 (en) 2008-06-24
CN1519925B (zh) 2010-05-26

Similar Documents

Publication Publication Date Title
JP4086673B2 (ja) 半導体装置及びその製造方法
US8420528B2 (en) Manufacturing method of a semiconductor device having wirings
US7119441B2 (en) Semiconductor interconnect structure
US7622808B2 (en) Semiconductor device and having trench interconnection
US8822331B2 (en) Anchored damascene structures
US7834459B2 (en) Semiconductor device and semiconductor device manufacturing method
US7259090B2 (en) Copper damascene integration scheme for improved barrier layers
KR100366635B1 (ko) 반도체 소자의 금속 배선 및 그 제조방법
JP2004146800A (ja) 相互接続構造の製造方法およびその構造
US8455348B2 (en) Manufacturing method of semiconductor device
US20110316161A1 (en) Method of producing a dual damascene multilayer interconnection and multilayer interconnection structure
US20040201108A1 (en) Semiconductor device and method for manufacturing the same
JP2003045969A (ja) デュアルダマシン工程を利用した配線形成方法
JP2007281114A (ja) 半導体装置の製造方法および半導体装置
JP2006520106A (ja) バリアで内側が覆われた半導体コンポーネントを製造する方法
US20080054454A1 (en) Semiconductor device and method for manufacturing semiconductor device
US6962870B2 (en) Method of manufacturing semiconductor device and semiconductor device
US7250364B2 (en) Semiconductor devices with composite etch stop layers and methods of fabrication thereof
JP2006196642A (ja) 半導体装置およびその製造方法
JP2004172337A (ja) 半導体装置およびその製造方法
JP2005217223A (ja) 半導体装置の製造方法
JP2007116011A (ja) 半導体集積回路装置の製造方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20051007

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20071026

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20071031

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20071217

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20080130

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20080219

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110228

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Ref document number: 4086673

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110228

Year of fee payment: 3

S533 Written request for registration of change of name

Free format text: JAPANESE INTERMEDIATE CODE: R313533

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110228

Year of fee payment: 3

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120229

Year of fee payment: 4

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120229

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130228

Year of fee payment: 5

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140228

Year of fee payment: 6

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313117

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

LAPS Cancellation because of no payment of annual fees