JP3396135B2 - 複数レベルのセルフアライン相互接続メタライゼーションを有する半導体構造の作成方法 - Google Patents

複数レベルのセルフアライン相互接続メタライゼーションを有する半導体構造の作成方法

Info

Publication number
JP3396135B2
JP3396135B2 JP23558196A JP23558196A JP3396135B2 JP 3396135 B2 JP3396135 B2 JP 3396135B2 JP 23558196 A JP23558196 A JP 23558196A JP 23558196 A JP23558196 A JP 23558196A JP 3396135 B2 JP3396135 B2 JP 3396135B2
Authority
JP
Japan
Prior art keywords
stud
metal
mask
etching
insulator
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP23558196A
Other languages
English (en)
Other versions
JPH09116000A (ja
Inventor
ジョン・エドワード・クローニン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JPH09116000A publication Critical patent/JPH09116000A/ja
Application granted granted Critical
Publication of JP3396135B2 publication Critical patent/JP3396135B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、一般的には集積回
路の製作に関し、より具体的には複数レベルのセルフア
ライン相互接続メタライゼーションを有する半導体構造
に関する。また、本発明は、このようなタイプのデバイ
スを作成するための改良された方法にも関する。
【0002】
【従来の技術】半導体チップは、金属配線のパターンに
よってその接点が接続されたデバイスのアレイから構成
されている。超大規模集積回路(VLSI)チップで
は、金属配線パターンが多層化され、絶縁材の層によっ
て分離されている。各種レベルの金属配線パターン間の
相互接続は、絶縁層を貫通してエッチングされた穴(す
なわち、「バイア」ホール)によって行われている。通
常、チップ設計は、1つまたは2つの配線レベルで構成
されているが、3つの配線レベルを使用する方がずっと
顕著になっている。
【0003】回路の経済性とパフォーマンスの要件のた
め、追加の処理ステップが必要になるが、より多くの配
線レベルの追加は必ずしもコストが高くなるわけではな
い。一例として、多くの半導体プロセスでは、メタライ
ゼーションを完成するために15またはそれ以上のマス
ク・レベルを必要とする。したがって、チップ全体のパ
フォーマンスを損なわずにかなり高密度のVLSIを経
済的に達成できるように、相互接続のこの領域、すなわ
ち、ラインのバック・エンド(BEOL)でより高い効
率を達成しなければならない。
【0004】特定のデバイスの密度は、その「ピッチ」
で特徴が示されることが多く、「ピッチ」は、あるバイ
アを取り囲む領域、ならびにあるバイアから次のバイア
まで伸びている空間として定義される。「低」または
「最小」ピッチとは、バイアと隣接空間がかなり密集し
て配置されていることを意味し、デバイス内の微細形状
の密度をかなり高くすることができるので望ましいもの
である。しかし、最小ピッチでは、精密に配線し相互接
続する作業がより困難になる。
【0005】バイア内およびバイア間のメタライゼーシ
ョンに使用されている技法の1つは、「リフトオフ」と
呼ばれることが多い。この技法では、まず、エッチング
によってバイアが先細になり、続いてフォトレジストが
塗布されるが、フォトレジストは金属付着用の領域で露
光される。所望の領域でフォトレジストを現像した後、
アルミニウムのような金属がバイア内に蒸着される。レ
ジストをリフトオフすると、上にある金属が除去され、
それにより、所望のステンシル・パターンが形成され
る。配線パターン用として複数レベルのメタライゼーシ
ョンが必要なときは、連続付着した絶縁層間でこのプロ
セスを繰り返すことができる。
【0006】リフトオフ技法は多くのデバイスのメタラ
イゼーションに適しているが、密度がより高い状況では
その価値がある程度限定されていた。リフトオフでは、
蒸着プロセスでの金属の見通し付着とパターン化が必要
である。ピッチが約2.5ミクロン未満であるデバイス
の場合、見通し付着が実用的ではないことが多い。とい
うのは、付着源がウェハ、すなわち、フォトレジスト表
面から非常に離れていなければならないからである。さ
らに、リフトオフ技法の結果、不要な曲線的な微細形状
の輪郭が出来上がる可能性がある。また、この技法は、
通常、200℃〜300℃以下の温度に限定されるが、
この温度はほとんどのフォトレジスト材料が劣化しはじ
める温度である。
【0007】リフトオフ技法に代わるものとしては、反
応性イオン・エッチング(RIE)が知られている。こ
の技法では、輪郭付きバイアの上に金属のブランケット
層が塗布され、続いてその金属の上にフォトレジストが
塗布される。次に、露光し現像したフォトレジストをマ
スクとして使用し、金属がサブトラクティブ・エッチン
グされるようにする。フォトレジストが除去されると、
所望のパターンが得られる。RIEでは、ピッチの緊密
化、側壁の指向性の向上、および望ましい微細形状の輪
郭を考慮している。
【0008】しかし、特に2〜3ミクロン程度のピッチ
が必要な場合にRIEベースの技法に関連する欠点がい
くつかある。アルミニウム・メタライゼーションの場
合、エレクトロマイグレーション抵抗を保証するため、
通常、銅との合金化が必要である。しかし、アルミニウ
ム銅の合金は、RIE技法によるエッチングが難しい場
合が多い。残留金属が残ることが多く、その金属がエッ
チング液によって腐食する。このタイプの腐食は、その
後、半導体チップで電気短絡が発生する原因になる場合
が多い。
【0009】リフトオフおよびRIEベースの技法の欠
点の一部を克服するために開発されたプロセスは、「食
刻装飾」プロセスと呼ばれることもある。この技術の一
実施例は、M.チョウ(Chow)他の米国特許第47896
48号に記載されている。このプロセスでは、バイアお
よび相互接続線を画定するために重なりマスクの使用に
いくらか依存している。一実施例では、パターン化され
た導電材料の層の上に絶縁層が塗布され、続いてエッチ
・ストップ材料の付着が行われる。コンタクト・ホール
は、フォトリソグラフィによってエッチ・ストップ材料
内に画定される。次に、第2の平坦化絶縁層が付着さ
れ、パターン化され、エッチ・ストップ材料までエッチ
ングされ、エッチ・ストップ材料内の前に形成されたコ
ンタクト・ホールと一直線に並ぶ所望の配線チャネルが
画定される。コンタクト・ホールが露光される位置で
は、下にある第1のレベルのパターン化導電材料の覆い
を取るために、第1の絶縁層内までエッチングが続行さ
れる。第2および第1それぞれの絶縁層内までエッチン
グされたチャネルおよびバイアは、その後、メタライゼ
ーションで一杯まで充填される。表面上の過剰なメタラ
イゼーションは、化学機械研磨などの適当な技法によっ
て除去される。追加のメタライゼーション層について上
記のステップを繰り返すことができる。
【0010】食刻装飾プロセスの結果、たとえば、約
0.75ミクロンまで、非常に緊密に制御されたピッチ
を備えた構造が得られる。さらに、この構造は、高度に
平坦化されるので、非プレーナ構造に関連する問題の一
部、たとえば、隣接レベルのメタライゼーション間の絶
縁の希薄化による短絡などに耐えることができる。しか
も、この製作プロセスは、パターン化した導電線がスタ
ッド・バイアと同時に形成されるので、従来使用されて
いたものより単純である。
【0011】業界が半導体回路の高密度化に向かって移
行するにつれ、たとえば、ピッチをより緊密にするか、
またはより高レベルのメタライゼーションおよびバイア
を構築するために、追加の処理ステップが必要になる。
このような追加のステップは、前述の競争上の経済的プ
レッシャを考慮して、プロセス全体をできるだけ効率よ
くするために合理化する必要がある。
【0012】合理化した製造が成功している例は、J.ク
ローニン(Cronin)他に付与された米国特許第4962
058号の教示によって提示されている。同特許は、単
一層の導電材料から複数レベルのメタライゼーション構
造を形成するための改良された方法を開示している。上
記特許に記載されている配線構造は、スタッドアップ構
造とスタッドダウン構造によって表される外部接続を有
する。この発明では、先行技術のプロセスに比べ、ワイ
ヤ処理ステップの数が削減されている。
【0013】上記米国特許の方法は、絶縁層の上部に複
数の配線トラフを形成し、絶縁層の下部に少なくとも1
つのスタッドダウン・バイアとを形成するためのプレー
ナ絶縁層のマスキングおよびエッチングと、続いて行わ
れる構造の上に残留する過剰金属によってバイアとトラ
フを充填するための金属層の付着とを含む。次に、金属
表面をマスキングおよびエッチングし、少なくとも1つ
のスタッドアップならびに複数の相互接続線を画定す
る。(通常、RIEが必要であるが、この特定の例でこ
のような技法を使用すると、結果的に残留エッチ材料と
金属「ストリンガ」が存在することになる場合があ
る。)マスキング/エッチング・ステップは、マスク材
料と導電材料の層の上に所望レベルのスタッドアップ構
造が位置するように行われる。この場合も、すべてのス
タッドダウン、スタッドアップ、相互接続線は同一金属
層から形成されている。同特許の図4Dに示すような様
々なスタッドアップは、最上部の絶縁層の表面上に残留
し続ける。このようなスタッドアップが構造全体の表面
まで平坦化されるように、追加の絶縁材料を付着するた
めに追加の処理ステップを使用することもできる。
【0014】
【発明が解決しようとする課題】上記ならびに当技術分
野の現状調査により、高密度集積回路の分野で多くの改
良が行われていることは明白である。しかし、このよう
なタイプの回路の寸法がさらに小さくなるにつれて、よ
り一層の改良が必要である。具体的には、単一の金属層
から形成されたセルフアライン・スタッドアップ、スタ
ッドダウン、および相互接続線を含む半導体構造を効率
よく作成するための改良された技法が望ましい。この新
しい技法は、結果的に非常に緊密なピッチを有するデバ
イスを形成しながら、製作プロセスをできるだけ合理化
するものでなければならない。また、デバイスは、最小
電気短絡や「ネッキング」効果など、欠陥の数ができる
だけ少ないことを特徴とするものでなければならない。
しかも、使用するプロセスは、複数レベル構造でも厚い
配線構造と薄い配線構造の両方の形成を考慮すると同時
に、非常に精密な寸法のスタッドの形成を考慮したもの
でなければならない。
【0015】
【課題を解決するための手段】上記の必要性を考慮し、
改良された半導体構造が開示されている。その構造は、
少なくとも1つのスタッドアップと、それに接続された
相互接続線とを含み、スタッドアップと相互接続線は単
一の金属層から形成されている。この構造は、以下のス
テップを含む本発明の方法により作成される。
【0016】少なくとも1つのスタッドアップと、その
スタッドアップに接続された少なくとも1つの相互接続
線とを含み、スタッドアップと相互接続線がセルフアラ
インであり、単一の金属層から形成されている半導体構
造を作成するための改良された方法において、前記方法
が以下のステップを含む。すなわち、 a)半導体基板上に絶縁体領域を設けるステップと、 b)事前選択された深さを有する少なくとも1つの開口
部を画定するためにマスクを使用して絶縁体領域をパタ
ーン化してエッチングするステップと、 c)開口部を充填するために金属を付着し、それによ
り、相互接続線を形成するステップと、 d)金属充填開口部内に所望の寸法のスタッドアップ
を、前記スタッドアップの下端が相互接続線に接続さ
れ、前記スタッドアップの上端が絶縁体領域の上面でま
たはその付近で終端するようにパターン化して形成する
ステップである。
【0017】本発明の他の実施例は、同じく単一の金属
層から形成された少なくとも1つのスタッドダウンを含
む。相互接続線およびスタッドアップとともにスタッド
ダウンを形成する方法も以下に示す。
【0018】もう1つの任意の実施例では、スタッドア
ップの高さと相互接続線の幅を精密制御するために、エ
ンドポイント検出技法を使用する。
【0019】
【発明の実施の形態】提示する第1の実施例は、スタッ
ドアップ、相互接続線、スタッドダウンの形成を含むも
のになる。図1は、本発明によるはんだ応対構造の形成
の初期段階を示す断面図である。この構造は、通常、n
−FETまたはp−FET(電界効果トランジスタ)な
どの従来のトランジスタとして使用するために設計され
ている。一般に、絶縁層または領域11は、所定の導電
タイプのシリコン基板(図示せず)上に付着されてい
る。通常、化学蒸着(CVD)または同様の技法を使用
して絶縁体を付着するが、絶縁体は一般に、平坦化二酸
化ケイ素、ガラス材料(たとえば、リフロー・リンケイ
酸ガラス)、二酸化ケイ素と窒化ケイ素の合成物、また
はポリマー状のポリイミドを含む。絶縁層11は、事前
定義の一般導体レベル12の上に形成されている。この
導体レベルは、メタライゼーション層、たとえば、様々
な集積回路を相互接続する配線パターンの一部にするこ
とができる。あるいは、導体レベルは、任意のタイプの
能動デバイス、たとえば、トランジスタのソースまたは
ドレイン領域を表すこともできる。一般導体レベル12
から他の能動デバイスまたは多層構造の他のメタライゼ
ーション・レベルへの接続強化は、本発明の目的の1つ
である。
【0020】潜在的なエッチ・ストップ層14および1
6は、本発明の任意の要素である。実施例によっては、
エッチ・ストップを使用して、エッチング深さを制御
し、それにより、以下に記載するようにスタッドおよび
相互接続線の寸法を制御する。エッチ・ステップはCV
Dプロセス中に付着することができ、その組成は周囲の
絶縁層の組成によって決まる。たとえば、絶縁体が二酸
化ケイ素またはガラスである場合、エッチ・ストップは
通常、酸化アルミニウムなどの異なるタイプの酸化物か
ら形成される。約1.5ミクロン〜約2.0ミクロンの
範囲の厚さを有する絶縁層11の場合、それぞれのエッ
チ・ストップ層は通常、約1000オングストローム〜
約1500オングストロームの範囲の厚さを有する。
【0021】第1のマスク18は絶縁層11の表面上に
塗布され、続いて周知の手順により結像およびエッチン
グが行われる。これらの手順については、L.トムソン
(Thompson)等編Introduction to Microlithography
(ACS Symp. Series 219, Amer.Chem. Soc., 1983)な
どに記載されているが、その内容は参照により本明細書
に組み込まれる。第1のマスク18は、それ自体がエッ
チ・ストップ層になり得るが、接触「開口部」またはバ
イア20を画定するために使用され、その延長部が結
局、スタッドダウンを収容する。(当業者は、上記の参
照特許の一部に示すように、このような簡略図に例示し
たデバイスが通常、その3次元構造全体にわたって複数
のバイアを含むはずであると理解している。)
【0022】コンタクト・バイアの寸法は、その構造の
メタライゼーション・パターンの設計ならびに構造全体
の寸法など、様々な要因によって決まる。通常、コンタ
クト・バイアは、約1ミクロン〜約1.2ミクロンの範
囲の深さと、約1.5ミクロン〜約0.5ミクロンの幅
とを有する。コンタクト・バイアを形成する際には、通
常、所望の深さまたは潜在的なエッチストップ14ま
で、エッチングが行われる。
【0023】本発明の一部の実施例では、図1の要素2
4として示すエンドポイント検出領域または「トレン
チ」をパターン化してエッチングするためにも、第1の
マスクを使用する。このエンドポイント検出領域は、コ
ンタクト・バイア20とほぼ等しい深さと、接触開口部
より大きい幅を有するトラフである。通常、この幅は、
使用可能なフォトリソグラフィ技法によって画定可能な
最小イメージの約100倍程度の大きさの値になる。最
終的にエンドポイント検出領域は、後述するようにスタ
ッドアップの高さならびに相互接続線の幅を制御するた
めに使用する。これは、接触開口部の形成中に形成しな
ければならないわけではないが、処理効率のため、その
時点に形成された方が有利である。
【0024】接触開口部20と任意のエンドポイント検
出領域24の形成後、第2のマスク26が付着される。
図1に示すように、このマスクは通常、第1のマスク1
8の少なくとも一部の上に重なる。マスク同士の重なり
の正確な量は、スタッドと相互接続線の所望の寸法によ
ってある程度は決まる。
【0025】マスク26は、相互接続線バイア22を画
定するために(前に例示した技法により)結像しエッチ
ングされ、このバイアは最終的に相互接続線を収容す
る。図1から明らかなように、このステップは、第1の
マスク18の指定の領域とともに、絶縁層表面の指定の
領域のエッチングを含む。また、図1は、相互接続線バ
イア22の領域が接触バイア20の断面幅を含むことも
示している。
【0026】マスク26は、追加の処理ステップによる
劣化から任意のエンドポイント検出領域24を保護する
ように機能する。あとでプロセス中にマスクを除去する
と、後述するように、検出領域を使用することによって
スタッドアップおよび相互接続線の寸法を精密に制御す
ることができる。
【0027】次に、第2のマスク26を使って第1のマ
スク18をパターン化してエッチングし、図2に「X」
で示す所定の深さまで接触バイア20を延長する。所定
の深さは、所望の低レベル、たとえば、一般導体レベル
12にコンタクト・ホールが達するようにするためにそ
の後必要になる追加のエッチング量によってある程度は
決まる。したがって、このステップで達する接触バイア
深さは通常、潜在的なエッチ・ストップ16の下である
が一般導体レベル12より上の距離である。
【0028】次に、第1のマスク18と第2のマスク2
6により、絶縁層11の表面をエッチングする。このエ
ッチング・ステップは、図2に示すように、接触バイア
を一般導体レベル12まで延長するものである。延長し
た接触バイアは同図では要素20Aとして示されてい
る。同時に、相互接続線バイアの深さが一般導体レベル
より上の所定のレベルまで増加し、延長線バイア22A
を形成する。当然のことながら、延長線バイアの正確な
深さは、線そのものの所望の位置によって決まる。一実
施例では、潜在的なエッチ・ストップ16でエッチング
を終了することができ、そのエッチ・ストップは絶縁体
領域の形成中に指定のレベルに事前に付着されている。
【0029】この時点で第2のマスク26は、接触バイ
アと相互接続線バイアの形成に対してその機能をすでに
果たしているので、ここで除去しても構わない。
【0030】マスク26の除去後、接触バイアと相互接
続線バイアを同時に充填するために金属を付着する。接
触バイアを充填すると、スタッドダウン36が形成され
る。また、この金属は、エンドポイント検出領域24が
存在する場合にこの領域も充填することになる。メタラ
イゼーション技法は当技術分野で周知のものであり、S.
M.シェ(Sze)著、VLSI Technology, 2nd Edition(19
88 McGraw-Hill Publishing Company)などに記載され
ている。この参考文献の第9章は特にこの話題に関する
ものであるが、シェの文献の内容全体が一般的に関連の
あるものなので、参照により本明細書に組み込まれる。
【0031】また、この参考文献では、半導体構造内の
様々な導電微細形状に適した金属について論じている。
実例となる金属および合金としては、アルミニウム、
銅、ニッケル、モリブデン、タングステン、プラチナ、
二ケイ化タンタル、二ケイ化チタン、これらの物質によ
るその他の合金などがある。アルミニウム、アルミニウ
ム・シリコンなどのアルミニウム合金、銅、タングステ
ンは、相互接続のメタライゼーション用の物質として選
択されることが多い。
【0032】金属の付着は、化学蒸着(CVD)または
低圧化学蒸着(LPCVD)などの既知の技法で行うこ
とができる。CVDおよびLPCVD用の特定の機器お
よびプロセス・パラメータの選択は、あまり苦労せずに
半導体処理の当業者が決定することができる。金属の付
着(通常、半導体構造の表面全体の上にブランケット風
に行う)によって、延長接触バイア20Aと延長線開口
部22Aも充填される。
【0033】次に、半導体構造のメタライゼーション表
面が平坦化されるのが一般的である。当技術分野では、
様々な平坦化の方法が知られている。特に適当な技法の
1つは化学機械研磨(CMP)であり、これについては
米国特許第5292689号、米国特許第523486
8号、米国特許第4944836号、米国特許第491
0155号に記載されているが、いずれの特許も参照に
より本明細書に組み込まれる。簡単に説明すると、CM
Pは、表面を磨滅させるための研磨パッドとスラリーの
使用を含むものである。通常、CMPスラリーは、溶液
中に分散している、サイズを調整した小さい粒子、たと
えば、二酸化ケイ素粒子を含む。この溶液の液体部分
は、潤滑剤としてと同時に、研磨粒子の移動媒体として
も機能する。
【0034】平坦化の完了後、半導体表面の指定の領域
上に第3のマスク30を塗布し、図3に示すようにスタ
ッドアップを画定する。当然のことながら、マスク30
の位置は、スタッドアップの所望の位置によって決ま
る。
【0035】次に、露光した金属を適当な技法によって
エッチングし、スタッドアップ32を形成するが、これ
は周囲の不要な金属を除去した後に残る金属のうちの最
上部の垂直部分である。当技術分野では、多くのタイプ
のエッチングが知られており、たとえば、上記シェの文
献などに記載されている。本発明のほとんどの実施例に
ついて好ましい金属エッチング方法は、反応性イオン・
エッチング(RIE)であり、これは反応性スパッタ・
エッチング(RSE)と呼ばれることもある。RIEに
関する詳細も当技術分野ではだいたい周知のものであ
る。
【0036】必要なエッチングの量は、スタッドアップ
の所望の高さならびに相互接続線34の所望の厚さによ
って決まる。いずれの寸法も同じエッチング・ステップ
によって決まる。
【0037】金属のエッチングは、エッチング時間の制
御など、いくつかの技法によってある程度制御すること
ができる。しかし、好ましい実施例では、図3に示すエ
ンドポイント検出トレンチ24の使用によって、この段
階での精密なエッチングの程度がより容易に達成され
る。このトレンチ(前述のように、任意でプロセスのこ
れより前の段階で形成される)は、当初、絶縁層11と
第1のマスク18の上部表面側が開口している。その深
さは、スタッドアップ32の最終的な高さならびに相互
接続線34の厚さになるように事前に決定されている。
後者の寸法は図3には寸法「Y」として表されている。
次にトレンチ24は、金属が充填され、前述の金属付着
ステップ中に平坦化されている。
【0038】したがって、半導体表面のエッチングは、
エンドポイント検出トレンチから金属が「除去」される
まで、すなわち、トレンチの底部表面40に達するま
で、行うことができる。精密なエンドポイントは、反射
光(たとえば、レーザ・ビーム)により容易に決定でき
るものである。というのは、金属から絶縁材料へ瞬間的
にビームが通過することにより、反射信号の大きな変化
が発生するからである。これは、金属層そのものの所望
の深さまで、すなわち、最終的に線34を構成すること
になる金属の塊まで、どの程度深くエッチングするかを
決定する難しさとは対照的である。
【0039】図3を参照すると、エンドポイント検出ト
レンチ24から金属を完全に除去した点までエッチング
すると、同時にトレンチ領域42から金属が必要なだけ
除去される。したがって、特定の高さのスタッドアップ
32が形成され、所定の最終厚さの金属相互接続線34
が形成される。この時点でマスク30はもはや不要なの
で、除去しても構わない。
【0040】次に、半導体構造の表面の上に通常、もう
1つの絶縁体層(図示せず)が塗布され、第1のマスク
18まで平坦化される。適当な絶縁体層の例としては、
酸化ケイ素や、リンケイ酸またはボロリンケイ酸のよう
なガラス材料がある。前述のように、このような材料は
CVDまたはLPCVDによって付着することができ
る。平坦化は、CMPなどの通常の方法で実行すること
ができる。
【0041】したがって、本発明の他の態様は、(i)
基板の上に配置された絶縁体領域と、(ii)絶縁体領域
の内部にある一般導体レベルと、(iii)一般導体レベ
ルに接続された下端を有し、絶縁体領域の内部に位置す
る相互接続線に接続された上端を有する、少なくとも1
つのスタッドダウンと、(iv)相互接続線に接続された
下端を有し、絶縁体領域の上部表面でまたはその付近で
終端する上端を有する、少なくとも1つのスタッドアッ
プとを含む半導体構造に関するものであることは、明ら
かであるはずである。
【0042】スタッドアップ、スタッドダウン、相互接
続線はセルフアラインであり、単一の金属層から形成さ
れる。当業者は、本発明のこの態様がスタッドアップ、
スタッドダウン、相互接続線を含む1つの金属層だけに
限定されないことを理解している。それぞれのスタッド
アップおよびスタッドダウンが相互接続線に接続された
状態で、複数のスタッドアップおよびスタッドダウンを
同じプロセスで半導体構造の断面上に形成することも可
能である。しかも、複数のスタッドアップまたはスタッ
ドダウンを単一の相互接続線に接続することも可能であ
る。
【0043】さらに、半導体構造は、マスク18を覆う
上部絶縁層の上に、様々な追加レベルの電気デバイス、
スタッド、メタライゼーション・パターンを含むことも
できる。このような追加レベルのそれぞれは、前述のよ
うに、少なくとも1つのスタッドアップ/相互接続線/
スタッドダウン配置を含むことができる。
【0044】本発明の半導体デバイスは、非常に低いピ
ッチを特徴とし、それにより、高密度の電気機構が可能
になる。さらに、スタッドおよび相互接続線は、非常に
精密な寸法を有する。このデバイスは、電気短絡などに
より発生する欠陥の数が非常に少ないことも特徴とす
る。
【0045】エンドポイント検出トレンチの使用による
改良点に加え、上記のプロセスは顕著な利点をいくつか
発揮する。たとえば、スタッドアップは絶縁層内のバイ
アまたは「トラフ」に埋め込まれ、それにより、半導体
表面と面一になる。これは、半導体表面の上にスタッド
アップが形成される、J.クローニン他の上記米国特許第
4962058号に示されたプロセスとは対照的であ
る。また、米国特許4962058号はすべて単一の金
属層から形成されたスタッドアップ、相互接続線、スタ
ッドダウンを含むが、そこで構造を平坦化することは本
発明の場合よりかなり困難である場合もある。
【0046】さらに、本発明では、クローニン他の特許
よりエッチングすべき金属がかなり少なくてすみ、それ
により、「エッチ残留物」や金属「ストリンガ」の発生
が最小限になる。
【0047】このプロセスでは、厚い配線構造と薄い配
線構造の両方を便宜的に形成することもできる。すなわ
ち、トラフまたはバイア内の金属配線の少なくとも一部
が相互接続線およびスタッドアップの全厚さに等しい厚
さを有することができるような領域を形成するために、
第3のマスクを使用することもできる。このような構造
は、たとえば、同一平面または配線レベル上に厚い金属
線(低抵抗用)と薄い金属線(低キャパシタンス用)を
設けることが望ましい場合など、場合によっては有利で
ある。
【0048】前述のように、本発明の実施例の一部は、
少なくとも1つのスタッドアップと、スタッドアップの
下端側でそれに接続された少なくとも1つの相互接続線
とを含む半導体構造を対象とする。スタッドダウンは不
要である。スタッドアップと相互接続線はセルフアライ
ンであり、単一の金属層から形成され、他の実施例のよ
うに絶縁領域内のトラフに埋め込まれる。このような構
造を形成するためのステップについては、すべてに前述
されている。同じく前述したように、この構造は、任意
で追加レベルの電気デバイス、スタッド、メタライゼー
ション・パターンを含むこともできる。
【0049】ここに記載した構造が上記のエンドポイン
ト検出領域またはトレンチをも含むことができること
は、上記の説明により明らかであるはずである。事実、
本発明の他の実施例は、絶縁体領域の上に配置された金
属層内のバイアのエッチング深さを精密に制御するため
の一般的な方法に関し、 a)金属層バイア用の所望の開始点と平行かつ平面の位
置にある絶縁体領域内にエンドポイント検出トレンチを
形成するステップであって、前記エンドポイント・トレ
ンチが金属層バイア用の所望のエッチング深さと等しい
深さを有し、金属層を形成する金属で充填されるステッ
プと、 b)絶縁体領域の表面上で露光した金属のすべてを方向
性エッチングするステップであって、エンドポイント検
出トレンチから金属が一掃または「除去」され、金属層
から必要な量の金属を同時に除去することによって所望
のバイアが形成されるまで前記エッチングが実行される
ステップとを含む。通常、最初に検出トレンチを充填す
る金属は、バイアが形成される金属層と同時に付着され
る。この技法は、前述のように、半導体構造を形成する
際に非常に有用である。
【0050】開示のために本発明の好ましい実施例の一
部について説明してきたが、当業者には構造および処理
の詳細に関する各種変更が容易に明らかになるだろう。
一例として、上記の寸法例は、フォトリソグラフィなど
の継続的に改良された技法により同じ発明概念を今後か
なり小さい規模に応用可能であるという認識によって示
されたものであることに留意されたい。したがって、サ
イズ縮小に関するものなどの変更は、本発明の精神およ
び特許請求の範囲に含まれるものである。
【0051】上記の特許、出版物、および文献は、いず
れも参照により本明細書に組み込まれるものとする。
【0052】まとめとして、本発明の構成に関して以下
の事項を開示する。
【0053】(1)少なくとも1つのスタッドアップ
と、そのスタッドアップに接続された少なくとも1つの
相互接続線とを含み、スタッドアップと相互接続線がセ
ルフアラインであり、単一の金属層から形成されている
半導体構造を作成するための改良された方法において、
前記方法が、 a)半導体基板上に絶縁体領域を設けるステップと、 b)事前選択された深さを有する少なくとも1つの開口
部を画定するために、マスクを使用して絶縁体領域をパ
ターン化してエッチングするステップと、 c)開口部を充填するために金属を付着し、それによ
り、相互接続線を形成するステップと、 d)金属充填開口部内に所望の寸法のスタッドアップ
を、前記スタッドアップの下端が相互接続線に接続さ
れ、前記スタッドアップの上端が絶縁体領域の上面でま
たはその付近で終端するように、パターン化して形成す
るステップとを含むことを特徴とする方法。 (2)ステップ(c)でCVDまたは低圧CVDによっ
て金属が付着されることを特徴とする、上記(1)に記
載の方法。 (3)半導体の表面の指定の領域の上に塗布されたマス
クの使用によりスタッドアップがパターン化して形成さ
れ、前記表面がステップ(c)の付着中に少なくとも部
分的に金属によって覆われることを特徴とする、上記
(1)に記載の方法。 (4)スタッドアップの形成中に金属の不要な部分を除
去するために反応性イオン・エッチングを使用すること
を特徴とする、上記(3)に記載の方法。 (5)絶縁体領域が、二酸化ケイ素、リンケイ酸ガラ
ス、二酸化ケイ素と窒化ケイ素の合成物、およびポリイ
ミドからなるグループから選択された材料で形成される
ことを特徴とする、上記(1)に記載の方法。 (6)相互接続線が、アルミニウム、タングステン、
銅、アルミニウム・シリコン、およびそれらのいずれか
を含む合金からなるグループから選択された材料を含む
ことを特徴とする、上記(1)に記載の方法。 (7)ステップ(d)におけるスタッドアップの形成
が、ステップ(c)で付着された金属の指定の部分を除
去することによって行われることを特徴とする、上記
(1)に記載の方法。 (8)絶縁体領域の上部表面側で開口し、スタッドアッ
プの所望の高さと等しい深さを有するエンドポイント検
出トレンチを使用することによって、スタッドアップの
高さが決まり、前記検出トレンチがステップ(c)中に
金属で充填され、検出トレンチからすべての金属が除去
されたことを検出すると、スタッドアップを形成するた
めに実行される金属除去ステップのエンドポイントが通
知されることを特徴とする、上記(7)に記載の方法。 (9)エンドポイント検出トレンチがエッチング・ステ
ップ(b)中にエッチングによって形成されることを特
徴とする、上記(7)に記載の方法。 (10)(i)基板の上に配置された絶縁体領域と、
(ii)相互接続線に接続された下端を有し、絶縁体領域
の上部表面でまたはその付近で終端する上端を有する、
少なくとも1つのスタッドアップとを含み、スタッドア
ップおよび相互接続線が単一の金属層から形成されるこ
とを特徴とする半導体構造。 (11)絶縁体領域の上面側で開口し、スタッドアップ
の高さと等しい深さを有するエンドポイント検出トレン
チをさらに含むことを特徴とする、上記(10)に記載
の半導体構造。 (12)少なくとも1つのスタッドアップと、少なくと
も1つのスタッドダウンと、スタッドアップをスタッド
ダウンに接続する少なくとも1つの相互接続線とを含
み、スタッドアップ、スタッドダウン、相互接続線がセ
ルフアラインであり、単一の金属層から形成されている
半導体構造を作成するための改良された方法において、
前記方法が、 a)半導体基板上に絶縁体領域を設けるステップであっ
て、前記領域がその内部に一般導体レベルを含むステッ
プと、 b)事前選択された深さを有する少なくとも1つの接触
バイアを画定するために、第1のマスクによって絶縁体
領域をパターン化してエッチングするステップと、 c)接触バイアの断面幅を含む少なくとも1つの相互接
続線バイアを画定するために、第2のマスクによって絶
縁体領域と第1のマスクの露光表面とをパターン化して
エッチングするステップと、 d)接触バイアを指定の深さまで延長するために、第2
のマスクによって第1のマスクをパターン化してエッチ
ングするステップと、 e)接触バイアを一般導体レベルまで延長するために第
1および第2のマスクにより絶縁体領域の表面をエッチ
ングすると同時に、相互接続線バイアの深さを一般導体
レベルより上の指定のレベルまで増加し、続いて第2の
マスクを除去するステップと、 f)接続バイアと相互接続線バイアとを同時に充填する
ために金属を付着し、それにより、スタッドダウンと相
互接続線をそれぞれ形成するステップと、 g)金属充填接触領域内に所望の寸法のスタッドアップ
を、前記スタッドアップの下端が相互接続線に接続さ
れ、前記スタッドアップの上端が絶縁体領域の上部表面
でまたはその付近で終端するように、パターン化して形
成するステップとを含むことを特徴とする方法。 (13)第1のマスクがエッチ・ストップ層であること
を特徴とする、上記(12)に記載の方法。 (14)ステップ(e)の指定のレベルが第2のエッチ
・ストップ層によって表されることを特徴とする、上記
(12)に記載の方法。 (15)絶縁体領域の表面がステップ(f)後に平坦化
されることを特徴とする、上記(12)に記載の方法。 (16)絶縁体領域の指定の領域の上に塗布した第3の
マスクを使用してスタッドアップをパターン化して形成
することを特徴とする、上記(12)に記載の方法。 (17)スタッドアップの形成中に金属の不要な部分を
除去するために反応性イオン・エッチングを使用するこ
とを特徴とする、上記(12)に記載の方法。 (18)(i)基板の上に配置された絶縁体領域と、
(ii)絶縁体領域の内部にある一般導体レベルと、(ii
i)一般導体レベルに接続された下端を有し、絶縁体領
域の内部に位置する相互接続線に接続された上端を有す
る、少なくとも1つのスタッドダウンと、(iv)相互接
続線に接続された下端を有し、絶縁体領域の上部表面で
またはその付近で終端する上端を有する、少なくとも1
つのスタッドアップとを含み、スタッドアップ、スタッ
ドダウン、および相互接続線がセルフアラインであり、
単一の金属層から形成されることを特徴とする半導体構
造。 (19)絶縁体領域の上面側で開口し、スタッドアップ
の高さと等しい深さを有するエンドポイント検出トレン
チをさらに含むことを特徴とする、上記(18)に記載
の半導体構造。 (20)絶縁体領域の上に配置された金属層内のバイア
のエッチング深さを精密に制御する方法において、 a)金属層内のバイア用の所望の開始点と平行かつ平面
の位置にある絶縁体領域内に、金属層バイア用の所望の
エッチング深さと等しい深さを有し、金属層を形成する
金属で充填されたエンドポイント検出トレンチを形成す
るステップと、 b)絶縁体領域の表面上で露光した金属のすべてを方向
性エッチングするステップであって、エンドポイント検
出トレンチから金属が除去され、金属層から必要な量の
金属を同時に除去することによって所望のバイアが形成
されるまで前記エッチングが実行されるステップとを含
むことを特徴とする方法。
【図面の簡単な説明】
【図1】接触および線開口部と、エンドポイント検出領
域の形成のためのマスキングおよびエッチング・ステッ
プが行われている半導体構造の簡易断面図である。
【図2】線および接触開口部の下方延長を含む、図1の
構造に対する追加製造段階の断面図である。
【図3】スタッドおよび相互接続線の完成を示す、図2
の構造の断面図である。
【符号の説明】
11 絶縁層 12 一般導体レベル 14 エッチ・ストップ層 16 エッチ・ストップ層 18 第1のマスク 20 接触バイア 22 相互接続線バイア 24 エンドポイント検出領域 26 第2のマスク
フロントページの続き (56)参考文献 特開 平1−130529(JP,A) 特開 平3−154331(JP,A) 特開 平5−36842(JP,A) 特開 平6−13470(JP,A) 特開 平6−85070(JP,A) 特開 平6−132287(JP,A) 特開 平6−216342(JP,A) (58)調査した分野(Int.Cl.7,DB名) H01L 21/768

Claims (14)

    (57)【特許請求の範囲】
  1. 【請求項1】上部への接続を与える少なくとも1つのス
    タッドアップと、そのスタッドアップに接続された少な
    くとも1つの相互接続線とを有する配線層を含み、スタ
    ッドアップと相互接続線がセルフアラインであり、単一
    の金属層から形成され配線層を含む半導体構造を作成す
    るための改良された方法において、前記方法が、 a)半導体基板上に絶縁体領域を設けるステップと、 b)事前選択された深さを有する少なくとも1つの開口
    部を画定するために、マスクを使用して絶縁体領域をパ
    ターン化してエッチングするステップと、 c)開口部を充填するために金属を付着し、それによ
    り、相互接続線を形成するステップと、 d)金属充填開口部内に所望の寸法のスタッドアップ
    を、前記スタッドアップの下端が相互接続線に接続さ
    れ、前記スタッドアップの上端が絶縁体領域の上面でま
    たはその付近で終端するように、パターン化して形成す
    るステップとを含み、 絶縁体領域の上部表面側で開口し、前記スタッドアップ
    の所望の高さと等しい深さを有するエンドポイント検出
    トレンチを使用することによって、前記スタッドアップ
    の高さが決まり、前記検出トレンチがステップ(c)に
    おいて金属で充填され、 前記検出トレンチからすべての金属が除去されたことを
    検出すると、ステップ(d)において前記スタッドアッ
    プを形成するために実行される金属除去ステップのエン
    ドポイントが通知されることを特徴とする方法。
  2. 【請求項2】ステップ(c)でCVDまたは低圧CVD
    によって金属が付着されることを特徴とする、請求項1
    に記載の方法。
  3. 【請求項3】半導体の表面の指定の領域の上に塗布され
    たマスクの使用によりスタッドアップがパターン化して
    形成され、前記表面がステップ(c)の付着中に少なく
    とも部分的に金属によって覆われることを特徴とする、
    請求項1に記載の方法。
  4. 【請求項4】スタッドアップの形成中に金属の不要な部
    分を除去するために反応性イオン・エッチングを使用す
    ることを特徴とする、請求項3に記載の方法。
  5. 【請求項5】絶縁体領域が、二酸化ケイ素、リンケイ酸
    ガラス、二酸化ケイ素と窒化ケイ素の合成物、およびポ
    リイミドからなるグループから選択された材料で形成さ
    れることを特徴とする、請求項1に記載の方法。
  6. 【請求項6】相互接続線が、アルミニウム、タングステ
    ン、銅、アルミニウム・シリコン、およびそれらのいず
    れかを含む合金からなるグループから選択された材料を
    含むことを特徴とする、請求項1に記載の方法。
  7. 【請求項7】ステップ(d)におけるスタッドアップの
    形成が、ステップ(c)で付着された金属の指定の部分
    を除去することによって行われることを特徴とする、請
    求項1に記載の方法。
  8. 【請求項8】エンドポイント検出トレンチがエッチング
    ・ステップ(b)中にエッチングによって形成されるこ
    とを特徴とする、請求項1に記載の方法。
  9. 【請求項9】上部への接続を与える少なくとも1つのス
    タッドアップと、下部への接続を与える少なくとも1つ
    のスタッドダウンと、スタッドアップをスタッドダウン
    に接続する少なくとも1つの相互接続線とを有する配線
    層を含み、スタッドアップ、スタッドダウン、相互接続
    線がセルフアラインであり、単一の金属層から形成され
    た配線層を含む半導体構造を作成するための改良された
    方法において、前記方法が、 a)半導体基板上に絶縁体領域を設けるステップであっ
    て、前記領域がその内部に一般導体レベルを含むステッ
    プと、 b)事前選択された深さを有する少なくとも1つの接触
    バイアを画定するために、第1のマスクによって絶縁体
    領域をパターン化してエッチングするステップと、 c)接触バイアの断面幅を含む少なくとも1つの相互接
    続線バイアを画定するために、第2のマスクによって絶
    縁体領域と第1のマスクの露出表面とをパターン化して
    エッチングするステップと、 d)接触バイアを一般導体レベルまで延長するために第
    1および第2のマスクにより絶縁体領域の表面をエッチ
    ングすると同時に、相互接続線バイアの深さを一般導体
    レベルより上の指定のレベルまで増加し、続いて第2の
    マスクを除去するステップと、 e)接続バイアと相互接続線バイアとを同時に充填する
    ために金属を付着し、それにより、スタッドダウンと相
    互接続線をそれぞれ形成するステップと、 f)金属充填接触領域内に所望の寸法のスタッドアップ
    を、前記スタッドアップの下端が相互接続線に接続さ
    れ、前記スタッドアップの上端が絶縁体領域の上部表面
    でまたはその付近で終端するように、パターン化して形
    成するステップとを含み、 絶縁体領域の上部表面側で開口し、前記スタッドアップ
    の所望の高さと等しい深さを有するエンドポイント検出
    トレンチを使用することによって、前記スタッドアップ
    の高さが決まり、前記検出トレンチがステップ(e)に
    おいて金属で充填され、 前記検出トレンチからすべての金属が除去されたことを
    検出すると、ステップ(f)において前記スタッドアッ
    プを形成するために実行される金属除去ステップのエン
    ドポイントが通知されることを特徴とする方法。
  10. 【請求項10】第1のマスクがエッチ・ストップ層であ
    ることを特徴とする、請求項に記載の方法。
  11. 【請求項11】ステップ(d)の指定のレベルが第2の
    エッチ・ストップ層によって表されることを特徴とす
    る、請求項に記載の方法。
  12. 【請求項12】絶縁体領域の表面がステップ(e)後に
    平坦化されることを特徴とする、請求項に記載の方
    法。
  13. 【請求項13】絶縁体領域の指定の領域の上に塗布した
    第3のマスクを使用してスタッドアップをパターン化し
    て形成することを特徴とする、請求項に記載の方法。
  14. 【請求項14】スタッドアップの形成中に金属の不要な
    部分を除去するために反応性イオン・エッチングを使用
    することを特徴とする、請求項に記載の方法。
JP23558196A 1995-09-07 1996-09-05 複数レベルのセルフアライン相互接続メタライゼーションを有する半導体構造の作成方法 Expired - Fee Related JP3396135B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/524,558 US5539255A (en) 1995-09-07 1995-09-07 Semiconductor structure having self-aligned interconnection metallization formed from a single layer of metal
US08/524558 1995-09-07

Publications (2)

Publication Number Publication Date
JPH09116000A JPH09116000A (ja) 1997-05-02
JP3396135B2 true JP3396135B2 (ja) 2003-04-14

Family

ID=24089725

Family Applications (1)

Application Number Title Priority Date Filing Date
JP23558196A Expired - Fee Related JP3396135B2 (ja) 1995-09-07 1996-09-05 複数レベルのセルフアライン相互接続メタライゼーションを有する半導体構造の作成方法

Country Status (3)

Country Link
US (3) US5539255A (ja)
JP (1) JP3396135B2 (ja)
KR (1) KR100245970B1 (ja)

Families Citing this family (59)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5759911A (en) * 1995-08-22 1998-06-02 International Business Machines Corporation Self-aligned metallurgy
US5539255A (en) * 1995-09-07 1996-07-23 International Business Machines Corporation Semiconductor structure having self-aligned interconnection metallization formed from a single layer of metal
US5834845A (en) * 1995-09-21 1998-11-10 Advanced Micro Devices, Inc. Interconnect scheme for integrated circuits
US6309971B1 (en) 1996-08-01 2001-10-30 Cypress Semiconductor Corporation Hot metallization process
US5977638A (en) * 1996-11-21 1999-11-02 Cypress Semiconductor Corp. Edge metal for interconnect layers
US5882996A (en) * 1997-10-14 1999-03-16 Industrial Technology Research Institute Method of self-aligned dual damascene patterning using developer soluble arc interstitial layer
US6051369A (en) * 1998-01-08 2000-04-18 Kabushiki Kaisha Toshiba Lithography process using one or more anti-reflective coating films and fabrication process using the lithography process
TW392324B (en) * 1998-01-23 2000-06-01 United Microelectronics Corp Dual damascene process
US6300244B1 (en) * 1998-05-25 2001-10-09 Hitachi, Ltd. Semiconductor device and method of manufacturing the same
US6027994A (en) * 1998-06-22 2000-02-22 United Microelectronics Corp. Method to fabricate a dual metal-damascene structure in a substrate
US6268224B1 (en) 1998-06-30 2001-07-31 Lsi Logic Corporation Method and apparatus for detecting an ion-implanted polishing endpoint layer within a semiconductor wafer
US6071818A (en) * 1998-06-30 2000-06-06 Lsi Logic Corporation Endpoint detection method and apparatus which utilize an endpoint polishing layer of catalyst material
US6077783A (en) * 1998-06-30 2000-06-20 Lsi Logic Corporation Method and apparatus for detecting a polishing endpoint based upon heat conducted through a semiconductor wafer
US6241847B1 (en) 1998-06-30 2001-06-05 Lsi Logic Corporation Method and apparatus for detecting a polishing endpoint based upon infrared signals
US6074517A (en) * 1998-07-08 2000-06-13 Lsi Logic Corporation Method and apparatus for detecting an endpoint polishing layer by transmitting infrared light signals through a semiconductor wafer
US6285035B1 (en) 1998-07-08 2001-09-04 Lsi Logic Corporation Apparatus for detecting an endpoint polishing layer of a semiconductor wafer having a wafer carrier with independent concentric sub-carriers and associated method
US6080670A (en) * 1998-08-10 2000-06-27 Lsi Logic Corporation Method of detecting a polishing endpoint layer of a semiconductor wafer which includes a non-reactive reporting specie
US6638856B1 (en) 1998-09-11 2003-10-28 Cypress Semiconductor Corporation Method of depositing metal onto a substrate
US6071809A (en) * 1998-09-25 2000-06-06 Rockwell Semiconductor Systems, Inc. Methods for forming high-performing dual-damascene interconnect structures
US6225207B1 (en) * 1998-10-01 2001-05-01 Applied Materials, Inc. Techniques for triple and quadruple damascene fabrication
JP3657788B2 (ja) * 1998-10-14 2005-06-08 富士通株式会社 半導体装置及びその製造方法
US6201253B1 (en) 1998-10-22 2001-03-13 Lsi Logic Corporation Method and apparatus for detecting a planarized outer layer of a semiconductor wafer with a confocal optical system
US6121147A (en) * 1998-12-11 2000-09-19 Lsi Logic Corporation Apparatus and method of detecting a polishing endpoint layer of a semiconductor wafer which includes a metallic reporting substance
US6117779A (en) 1998-12-15 2000-09-12 Lsi Logic Corporation Endpoint detection method and apparatus which utilize a chelating agent to detect a polishing endpoint
US6316276B1 (en) 1998-12-17 2001-11-13 Lsi Lgoic Corporation Apparatus and method of planarizing a semiconductor wafer that includes a first reflective substance and a second reflective substance
US6936531B2 (en) 1998-12-21 2005-08-30 Megic Corporation Process of fabricating a chip structure
US6261873B1 (en) * 1999-04-29 2001-07-17 International Business Machines Corporation Pedestal fuse
US6281576B1 (en) * 1999-06-16 2001-08-28 International Business Machines Corporation Method of fabricating structure for chip micro-joining
US6352916B1 (en) * 1999-11-02 2002-03-05 Micron Technology, Inc. Method of forming plugs in multi-level interconnect structures by partially removing conductive material from a trench
US6372647B1 (en) * 1999-12-14 2002-04-16 International Business Machines Corporation Via masked line first dual damascene
JP2001185613A (ja) * 1999-12-24 2001-07-06 Mitsubishi Electric Corp 半導体装置およびその製造方法
US7751609B1 (en) 2000-04-20 2010-07-06 Lsi Logic Corporation Determination of film thickness during chemical mechanical polishing
US6352917B1 (en) * 2000-06-21 2002-03-05 Chartered Semiconductor Manufacturing Ltd. Reversed damascene process for multiple level metal interconnects
JP2002026122A (ja) * 2000-07-04 2002-01-25 Sony Corp 半導体装置の製造方法
US6650000B2 (en) * 2001-01-16 2003-11-18 International Business Machines Corporation Apparatus and method for forming a battery in an integrated circuit
US6667217B1 (en) 2001-03-01 2003-12-23 Taiwan Semiconductor Manufacturing Company Method of fabricating a damascene copper inductor structure using a sub-0.18 um CMOS process
US6518643B2 (en) 2001-03-23 2003-02-11 International Business Machines Corporation Tri-layer dielectric fuse cap for laser deletion
US6426558B1 (en) 2001-05-14 2002-07-30 International Business Machines Corporation Metallurgy for semiconductor devices
US6627055B2 (en) 2001-07-02 2003-09-30 Brush Wellman, Inc. Manufacture of fine-grained electroplating anodes
US7204934B1 (en) * 2001-10-31 2007-04-17 Lam Research Corporation Method for planarization etch with in-situ monitoring by interferometry prior to recess etch
US7932603B2 (en) 2001-12-13 2011-04-26 Megica Corporation Chip structure and process for forming the same
US6667533B2 (en) 2002-03-11 2003-12-23 International Business Machines Corporation Triple damascene fuse
US6815820B2 (en) * 2002-05-09 2004-11-09 Freescale Semiconductor, Inc. Method for forming a semiconductor interconnect with multiple thickness
KR100487948B1 (ko) * 2003-03-06 2005-05-06 삼성전자주식회사 이중 다마신 기술을 사용하여 비아콘택 구조체를 형성하는방법
KR100545192B1 (ko) * 2003-06-19 2006-01-24 동부아남반도체 주식회사 증착 중단 시기 검출 장치 및 이를 이용한 반도체 소자의구리 배선 형성 방법
US8471390B2 (en) 2006-05-12 2013-06-25 Vishay-Siliconix Power MOSFET contact metallization
JP5091445B2 (ja) * 2006-09-15 2012-12-05 株式会社東芝 半導体装置およびその製造方法
KR20110003191A (ko) * 2009-07-03 2011-01-11 삼성전자주식회사 소자 분리막 및 반도체 소자의 형성 방법
US9306056B2 (en) 2009-10-30 2016-04-05 Vishay-Siliconix Semiconductor device with trench-like feed-throughs
US8629063B2 (en) 2011-06-08 2014-01-14 International Business Machines Corporation Forming features on a substrate having varying feature densities
US10134631B2 (en) 2011-08-17 2018-11-20 International Business Machines Corporation Size-filtered multimetal structures
US20130043556A1 (en) * 2011-08-17 2013-02-21 International Business Machines Corporation Size-filtered multimetal structures
US8883638B2 (en) * 2012-01-18 2014-11-11 United Microelectronics Corp. Method for manufacturing damascene structure involving dummy via holes
US11101175B2 (en) 2018-11-21 2021-08-24 International Business Machines Corporation Tall trenches for via chamferless and self forming barrier
EP3671821A1 (en) * 2018-12-19 2020-06-24 IMEC vzw Interconnection system of an integrated circuit
US10770392B1 (en) 2019-04-25 2020-09-08 Globalfoundries Inc. Line end structures for semiconductor devices
US11139201B2 (en) 2019-11-04 2021-10-05 International Business Machines Corporation Top via with hybrid metallization
US11244897B2 (en) 2020-04-06 2022-02-08 International Business Machines Corporation Back end of line metallization
US11972973B1 (en) 2023-10-04 2024-04-30 Chun-Ming Lin Semiconductor structure and method of manufacturing a semiconductor structure

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4001871A (en) * 1968-06-17 1977-01-04 Nippon Electric Company, Ltd. Semiconductor device
GB8316476D0 (en) * 1983-06-16 1983-07-20 Plessey Co Plc Producing layered structure
US4789648A (en) * 1985-10-28 1988-12-06 International Business Machines Corporation Method for producing coplanar multi-level metal/insulator films on a substrate and for forming patterned conductive lines simultaneously with stud vias
JPS63237440A (ja) * 1987-03-25 1988-10-03 Mitsubishi Electric Corp 半導体装置の配線構造
US4996133A (en) * 1987-07-31 1991-02-26 Texas Instruments Incorporated Self-aligned tungsten-filled via process and via formed thereby
US4832789A (en) * 1988-04-08 1989-05-23 American Telephone And Telegrph Company, At&T Bell Laboratories Semiconductor devices having multi-level metal interconnects
US5136124A (en) * 1988-12-14 1992-08-04 International Business Machines Corporation Method of forming conductors within an insulating substrate
JPH02222148A (ja) * 1989-02-22 1990-09-04 Yamaha Corp 半導体装置
US4962058A (en) * 1989-04-14 1990-10-09 International Business Machines Corporation Process for fabricating multi-level integrated circuit wiring structure from a single metal deposit
JP2897248B2 (ja) * 1989-04-18 1999-05-31 富士通株式会社 半導体装置の製造方法
EP0425787A3 (en) * 1989-10-31 1993-04-14 International Business Machines Corporation Method for fabricating high circuit density, self-aligned metal lines to contact windows
US5189506A (en) * 1990-06-29 1993-02-23 International Business Machines Corporation Triple self-aligned metallurgy for semiconductor devices
US5122859A (en) * 1990-06-29 1992-06-16 Texas Instruments Incorporated Iterative self-aligned contact metallization process
US5173442A (en) * 1990-07-23 1992-12-22 Microelectronics And Computer Technology Corporation Methods of forming channels and vias in insulating layers
US5091339A (en) * 1990-07-23 1992-02-25 Microelectronics And Computer Technology Corporation Trenching techniques for forming vias and channels in multilayer electrical interconnects
FR2669466B1 (fr) * 1990-11-16 1997-11-07 Michel Haond Procede de gravure de couches de circuit integre a profondeur fixee et circuit integre correspondant.
US5258328A (en) * 1992-03-16 1993-11-02 Kabushiki Kaisha Toshiba Method of forming multilayered wiring structure of semiconductor device
DE4324638A1 (de) * 1992-07-28 1994-02-03 Micron Technology Inc Verfahren zum Herstellen elektrischer Kontakte für integrierte Schaltungen sowie mit derartigen Kontakten ausgebildete integrierte Schaltung
US5539255A (en) * 1995-09-07 1996-07-23 International Business Machines Corporation Semiconductor structure having self-aligned interconnection metallization formed from a single layer of metal
US5622899A (en) * 1996-04-22 1997-04-22 Taiwan Semiconductor Manufacturing Company Ltd. Method of fabricating semiconductor chips separated by scribe lines used for endpoint detection

Also Published As

Publication number Publication date
JPH09116000A (ja) 1997-05-02
KR100245970B1 (ko) 2000-03-02
KR970018088A (ko) 1997-04-30
US5539255A (en) 1996-07-23
US5663101A (en) 1997-09-02
US5960254A (en) 1999-09-28

Similar Documents

Publication Publication Date Title
JP3396135B2 (ja) 複数レベルのセルフアライン相互接続メタライゼーションを有する半導体構造の作成方法
US6323117B1 (en) Grooved wiring structure in semiconductor device and method for forming the same
US6103629A (en) Self-aligned interconnect using high selectivity metal pillars and a via exclusion mask
US5512514A (en) Self-aligned via and contact interconnect manufacturing method
US5693568A (en) Reverse damascene via structures
US6884710B2 (en) Semiconductor device having multi-layer copper line and method of forming same
US4917759A (en) Method for forming self-aligned vias in multi-level metal integrated circuits
US6156643A (en) Method of forming a dual damascene trench and borderless via structure
US4954423A (en) Planar metal interconnection for a VLSI device
US5593921A (en) Method of forming vias
US6218283B1 (en) Method of fabricating a multi-layered wiring system of a semiconductor device
US5384483A (en) Planarizing glass layer spaced from via holes
US6228767B1 (en) Non-linear circuit elements on integrated circuits
US5852328A (en) Semiconductor device and method of manufacturing the same
US5924006A (en) Trench surrounded metal pattern
KR100338850B1 (ko) 매입배선구조 및 그 형성방법
JPH0645330A (ja) 集積回路の平坦化方法
US6362092B1 (en) Planarization method on a damascene structure
US6218291B1 (en) Method for forming contact plugs and simultaneously planarizing a substrate surface in integrated circuits
JPS62229959A (ja) 超大規模集積回路の多層金属被膜構造物における層間絶縁体中の通路または接触穴の充填方法
US6096633A (en) Dual damascene process for forming local interconnect
US6413872B1 (en) Method op optimizing vias between conductive layers in an integrated circuit structure
US5847457A (en) Structure and method of forming vias
EP0317770A1 (en) Self aligned planar metal interconnection for a VLSI device
JP2003520449A (ja) ダマスク構造体とダマスク構造体を形成する方法

Legal Events

Date Code Title Description
LAPS Cancellation because of no payment of annual fees