JP2684868B2 - Dry etching method - Google Patents

Dry etching method

Info

Publication number
JP2684868B2
JP2684868B2 JP3132116A JP13211691A JP2684868B2 JP 2684868 B2 JP2684868 B2 JP 2684868B2 JP 3132116 A JP3132116 A JP 3132116A JP 13211691 A JP13211691 A JP 13211691A JP 2684868 B2 JP2684868 B2 JP 2684868B2
Authority
JP
Japan
Prior art keywords
etching
material layer
based material
radicals
wafer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP3132116A
Other languages
Japanese (ja)
Other versions
JPH04334022A (en
Inventor
哲也 辰巳
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Sony Corp
Original Assignee
Sony Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Sony Corp filed Critical Sony Corp
Priority to JP3132116A priority Critical patent/JP2684868B2/en
Publication of JPH04334022A publication Critical patent/JPH04334022A/en
Application granted granted Critical
Publication of JP2684868B2 publication Critical patent/JP2684868B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Landscapes

  • ing And Chemical Polishing (AREA)
  • Drying Of Semiconductors (AREA)

Description

【発明の詳細な説明】DETAILED DESCRIPTION OF THE INVENTION

【0001】[0001]

【産業上の利用分野】本発明は半導体装置の製造分野等
において適用されるドライエッチング方法に関し、特に
エッチング途中におけるラジカル性の低減と側壁保護の
強化を、堆積性ガスの使用量を増すことなく達成する方
法に関する。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a dry etching method applied in the field of semiconductor device manufacturing, etc., and more particularly, to reducing radicality and strengthening sidewall protection during etching without increasing the amount of deposition gas used. Regarding how to achieve.

【0002】[0002]

【従来の技術】近年のVLSI,ULSI等にみられる
ように半導体装置のデザイン・ルールが高度に微細化さ
れるに伴い、ドライエッチング技術においても高異方
性、高速性、高選択性、低汚染性、低ダメージ性といっ
た諸要求をいずれをも犠牲とすることなく達成する技術
が強く望まれている。なかでも、高異方性と高選択性と
いう相反する条件の両立は、最重要課題のひとつとされ
ている。それは、高異方性を達成するためにはある程度
高いイオン入射エネルギーが必要となるが、これは対下
地選択性の観点からは不利となるからである。そこで、
イオン入射エネルギーを若干弱める代わりに側壁保護を
併用し、高異方性を達成することが広く行われている。
この側壁保護は、気相中からのガス放電解離生成物、も
しくはエッチング反応生成物等をパターン側壁部に堆積
させることにより行われており、このようにして形成さ
れた側壁保護膜がラジカルの側方攻撃からパターンを保
護している。
2. Description of the Related Art As semiconductor device design rules have become highly miniaturized as seen in VLSI, ULSI and the like in recent years, dry etching technology also has high anisotropy, high speed, high selectivity and low dryness. There is a strong demand for a technology that achieves various requirements such as pollution resistance and low damage without sacrificing any of them. Among them, achieving both the contradictory conditions of high anisotropy and high selectivity is one of the most important issues. This is because a certain high ion incident energy is required to achieve high anisotropy, which is disadvantageous from the standpoint of selectivity to the underlayer. Therefore,
It is widely practiced to achieve high anisotropy by concurrently using sidewall protection instead of slightly weakening the ion incident energy.
This side wall protection is performed by depositing a gas discharge dissociation product from the gas phase, an etching reaction product, or the like on the pattern side wall portion. Protects the pattern from one-sided attacks.

【0003】ところで、エッチング・プロセスの種類に
よっては、プロセス途中で側壁保護物質の堆積量とラジ
カル生成量とのバランスを変更する必要が生ずる場合が
ある。その代表的な例は、(a)下地材料層との選択比
を大きくとる必要があるオーバーエッチング、および
(b)エッチング反応生成物の蒸気圧が異なる2種類以
上の材料層が積層されてなる多層膜のエッチングであ
る。
Incidentally, depending on the type of etching process, it may be necessary to change the balance between the deposition amount of the side wall protective material and the radical production amount during the process. Typical examples thereof include (a) over-etching which requires a large selection ratio with respect to the underlying material layer, and (b) two or more kinds of material layers having different vapor pressures of etching reaction products are laminated. This is etching of a multilayer film.

【0004】上記(a)のオーバーエッチング工程にお
ける選択比の増大は、近年のように下地材料層の層厚が
極めて薄くなっている状況下では極めて重要な課題であ
る。たとえば、ゲート電極加工のようなシリコン(S
i)系材料層のエッチングは、通常F* (フッ素ラジカ
ル)が主エッチング種となる反応系中で行われるが、F
* が相対的に過剰となるオーバーエッチング時には、薄
いSiO2 ゲート絶縁膜に対して高選択性を維持するこ
とが困難となる。これは、原子間結合エネルギーの値が
Si−O結合では111kcal/molであるのに対
し、Si−F結合では132kcal/molと大きい
ことからも理解される。また、コンタクト・ホール加工
のような酸化シリコン(SiO2 )系材料層のエッチン
グは、通常CF3 + 等のイオンが主エッチング種となる
反応系中で行われるが、かかる反応系内ではやはりF*
等のラジカルが生成する。たとえば、浅い接合の形成さ
れたSi基板を下地としてSiO2 層間絶縁膜のエッチ
ングを行う場合等には、このラジカルによる対下地選択
性の確保はより逼迫した問題となる。それは、Si−S
i結合の原子間結合エネルギーの値が54kcal/m
olであり、Si−F結合やSi−Cl結合(96kc
al/mol)のそれより遙かに小さいからである。つ
まり、Si系材料層は、イオン照射が無くてもラジカル
により自発的にエッチングされてしまうのである。いず
れの場合にも、エッチング工程に比べてオーバーエッチ
ング工程ではラジカルの生成量を減少させる必要があ
る。
Increasing the selection ratio in the above-described (a) over-etching step is an extremely important subject under the circumstances where the layer thickness of the base material layer is extremely thin as in recent years. For example, silicon (S
i) The etching of the material layer is usually performed in a reaction system in which F * (fluorine radical) is the main etching species.
During overetching in which * is relatively excessive, it becomes difficult to maintain high selectivity with respect to the thin SiO 2 gate insulating film. This is also understood from the fact that the value of the interatomic bond energy is 111 kcal / mol for the Si—O bond and 132 kcal / mol for the Si—F bond. Further, etching of a silicon oxide (SiO 2 ) based material layer such as contact hole processing is usually performed in a reaction system in which ions such as CF 3 + are the main etching species. *
Radicals such as are generated. For example, when the SiO 2 interlayer insulating film is etched using a Si substrate having a shallow junction as a base, securing the selectivity to the base by the radical becomes a more serious problem. It is Si-S
The value of the interatomic bond energy of i bond is 54 kcal / m
ol, Si-F bond or Si-Cl bond (96 kc
It is much smaller than that of (al / mol). That is, the Si-based material layer is spontaneously etched by radicals even without ion irradiation. In either case, it is necessary to reduce the amount of radicals generated in the over-etching step as compared with the etching step.

【0005】上記(b)の多層膜のエッチングの代表例
は、ポリサイド・ゲート電極加工である。ポリサイド膜
は、多結晶シリコン層と高融点金属シリサイド層とが順
次積層されたものであるが、エッチング反応により生成
するハロゲン化合物の蒸気圧の差に起因して上層側の高
融点金属シリサイド層よりも下層側の多結晶シリコン層
の方が速くエッチングされる。したがって、オーバーエ
ッチング時には、相対的に過剰となったラジカルの側方
マイグレーションにより、下層側の多結晶シリコン層に
逆テーパ化やアンダカット等の形状異常が生じ易い。も
ちろん、下地の薄いゲート絶縁膜に対しても選択性が下
がる。また、ジャスト・エッチングまでの工程において
も同様の不都合が生ずるケースが多いため、高融点金属
シリサイド層のエッチングが終了した段階で、側壁保護
の強化および/またはラジカルの低減を可能とする条件
に切り換えて多結晶シリコン層をエッチングする技術も
提案されている。
A typical example of the etching of the multilayer film of the above (b) is polycide gate electrode processing. The polycide film is formed by sequentially stacking a polycrystalline silicon layer and a refractory metal silicide layer, but the polycide film is higher than the refractory metal silicide layer on the upper layer side due to the difference in vapor pressure of halogen compounds generated by the etching reaction. Also, the lower polycrystalline silicon layer is etched faster. Therefore, at the time of over-etching, the lateral migration of the relatively excessive radicals tends to cause a shape abnormality such as reverse taper or undercut in the lower polycrystalline silicon layer. Of course, the selectivity is lowered even for a thin gate insulating film as a base. In addition, since similar problems often occur in the processes up to just etching, when the etching of the refractory metal silicide layer is completed, the conditions are changed to enable strengthening of sidewall protection and / or reduction of radicals. There is also proposed a technique for etching a polycrystalline silicon layer.

【0006】[0006]

【発明が解決しようとする課題】このように、エッチン
グ・プロセスの途中で側壁保護物質の堆積量とラジカル
生成量とのバランスを変更する必要が生ずる場合の多く
は、前段の工程に比べて後段の工程におけるラジカル生
成量を減らし、相対的に堆積が生じ易い条件を整えると
いうものである。従来は、かかる条件の変更を一般にエ
ッチング・ガスの組成を変化させることにより行ってき
た。たとえば、フロン113(C2 Cl3 3 )/SF
6 混合ガスを用いて多結晶シリコン層をエッチングする
ゲート電極加工では、オーバーエッチング時にSF6
対するフロン113の流量比を高め、十分に大きい対下
地選択性を確保することが行われている。これは、側壁
保護物質である炭素系ポリマーの堆積量を増加させると
同時に、ラジカル性を減少させるためである。しかしな
がら、フロン113は放電解離により気相中に堆積性物
質を生成させるため、上述のようにかかる堆積性ガスの
流量を増加させることはエッチング・チャンバ内のパー
ティクルを増加させる原因となる。今後、さらに半導体
装置のデザイン・ルールが微細化されると、パーティク
ル汚染の影響は一段と深刻化する虞れがある。また、ガ
スの組成をプロセスの途中で変更すると、放電条件の安
定化に時間を要し、制御性やスループットを低下させる
原因ともなる。この問題は、主としてフルオロカーボン
系ガスが用いられているSiO2 系材料層のエッチング
においても、同様に発生する。
As described above, in many cases, it is necessary to change the balance between the deposition amount of the side wall protective material and the radical generation amount during the etching process, as compared with the step of the preceding stage. In this step, the amount of radicals generated is reduced, and the conditions under which deposition is more likely to occur are adjusted. Conventionally, such conditions are generally changed by changing the composition of the etching gas. For example, Freon 113 (C 2 Cl 3 F 3 ) / SF
In the gate electrode processing in which the polycrystalline silicon layer is etched using the 6 mixed gas, the flow rate ratio of the CFC 113 to SF 6 is increased during overetching to secure a sufficiently large selectivity to the underlayer. This is to increase the deposition amount of the carbon-based polymer, which is the sidewall protective material, and at the same time, reduce the radical property. However, since the CFC 113 generates a deposition material in the gas phase by discharge dissociation, increasing the flow rate of the deposition gas as described above causes the number of particles in the etching chamber to increase. If the design rules of semiconductor devices are further miniaturized in the future, the influence of particle contamination may become more serious. In addition, if the composition of the gas is changed during the process, it takes time to stabilize the discharge conditions, which may cause deterioration of controllability and throughput. This problem also occurs in the etching of the SiO 2 based material layer, which mainly uses a fluorocarbon based gas.

【0007】[0007]

【0008】そこで本発明は、エッチング・プロセスの
途中で堆積性ガスの流量比を高めなくとも相対的に反応
系内のラジカル性を減少させ、側壁保護効果を高めるこ
とが可能なドライエッチング方法を提供することを目的
とする。
Therefore, the present invention provides a dry etching method capable of relatively reducing the radical property in the reaction system and enhancing the sidewall protection effect without increasing the flow rate ratio of the deposition gas during the etching process. The purpose is to provide.

【0009】[0009]

【課題を解決するための手段】本発明に係るドライエッ
チング方法は、上述したような課題を課題を解決し、上
述したような目的を達成するため、処理チャンバの内壁
部の少なくとも一部がラジカルの生成量をコントロール
するためのシリコン系材料層により被覆されてなり、か
つ上記シリコン系材料層の露出面積を可変となし得るシ
ャッタ部材を備えたECRプラズマ装置を使用し、上記
シャッタ部材の操作によりECRプラズマと上記ラジカ
ルの生成量をコントロールするためのシリコン系材料層
との接触面積を変化させながら被エッチング材料層をエ
ッチングするようにしたものである。
The dry etching method according to the present invention solves the above-mentioned problems and achieves the above-mentioned objects. Therefore, at least a part of the inner wall of the processing chamber is formed by radicals. By using an ECR plasma device which is covered with a silicon-based material layer for controlling the production amount of the above, and which is provided with a shutter member capable of varying the exposed area of the silicon-based material layer. The material layer to be etched is etched while changing the contact area between the ECR plasma and the silicon-based material layer for controlling the generation amount of the radicals.

【0010】[0010]

【作用】本発明者は、エッチング・ガスの組成を変更す
ることなくオーバーエッチング時のラジカルの影響を低
減させるためには、プラズマ・エッチング装置の選択、
構成、およびその使用方法に工夫を要するとの認識に立
って検討を進めた。本発明はその際の知見にもとづいて
おり、プラズマ・エッチング装置としてはECRプラズ
マ装置を選択し、処理チャンバの内壁部の少なくとも一
部に過剰なラジカルを消費し得るSi系材料層を設け、
かつその露出面積を可変とするためのシャッタ部材を設
けるという構成上の工夫を行い、さらに前記シャッタ部
材の操作によりECRプラズマと上記Si系材料層の接
触面積を変化させてラジカルの消費量を制御することを
ポイントとする。
In order to reduce the influence of radicals during overetching without changing the composition of the etching gas, the present inventor selects a plasma etching apparatus,
We proceeded with the study, recognizing that the structure and usage of the device need to be devised. The present invention is based on the findings at that time, and an ECR plasma device is selected as the plasma etching device, and a Si-based material layer capable of consuming excess radicals is provided on at least a part of the inner wall of the processing chamber.
In addition, a structural member is devised by providing a shutter member for varying the exposed area thereof, and further, by operating the shutter member, the contact area between the ECR plasma and the Si-based material layer is changed to control radical consumption. The point is to do.

【0011】上記Si系材料層は、対下地選択性の低下
の原因となるラジカルを捕捉して蒸気圧の高い反応生成
物を生成し、これをエッチング反応系外へ除去すること
ができる。たとえば、F* はSiFx 、Cl* はSiC
x ,H* はSiHx (いずれもxは1〜4の整数を表
す。)等の形でそれぞれ除去される。本発明では、EC
Rプラズマ中に含まれる化学種のうち、対下地選択性や
異方性を低下させる原因となる過剰ラジカルをエッチン
グ・プロセスの途中で減少させたい。そこで、ラジカル
が大量に生成しても差し支えない段階では、シャッタ部
材を操作することにより処理チャンバ内における上記S
i系材料層の露出面積をゼロもしくは相対的に小として
おく。これにより、ウェハは高密度のラジカルを含むE
CRプラズマPに曝され、高速にエッチングが進行す
る。一方、過剰ラジカルの影響が顕著となる段階では、
シャッタ部材の操作によりSi系材料層の露出面積を相
対的に大とする。これにより、ラジカルの一部をウェハ
へ到達させる前に消費し、過剰ラジカルの影響を低減さ
せることができる。
The Si-based material layer can capture radicals that cause a decrease in selectivity to the underlayer, generate a reaction product having a high vapor pressure, and remove the reaction product from the etching reaction system. For example, F * is SiF x , Cl * is SiC
l x and H * are removed in the form of SiH x (x is an integer of 1 to 4) or the like. In the present invention, EC
Of the chemical species contained in the R plasma, it is desired to reduce the excess radicals that cause a decrease in the selectivity to the underlayer and anisotropy during the etching process. Therefore, at a stage where a large amount of radicals may be generated, the shutter member is operated to operate the S in the processing chamber.
The exposed area of the i-based material layer is set to zero or relatively small. As a result, the wafer contains E containing high-density radicals.
Exposed to the CR plasma P, the etching progresses at high speed. On the other hand, when the effect of excess radicals becomes significant,
By operating the shutter member, the exposed area of the Si-based material layer is made relatively large. As a result, some of the radicals are consumed before reaching the wafer, and the influence of excess radicals can be reduced.

【0012】かかる構成によれば、オーバーエッチング
時やポリサイド膜の下層側の多結晶シリコン層をエッチ
ングする際に、エッチング・ガスの組成を変更せずに容
易にラジカルの影響を低減させることができる。つま
り、これらのエッチングを行う際にはECRプラズマト
Si系材料層との接触面積を大きくすれば良いのであ
る。この間、放電条件は何ら変更する必要がないため、
制御性やスループットが低下するものではない。
According to this structure, the influence of radicals can be easily reduced without changing the composition of the etching gas when over-etching or when etching the polycrystalline silicon layer below the polycide film. . That is, when performing these etchings, the contact area with the ECR plasmat Si-based material layer may be increased. During this time, there is no need to change the discharge conditions,
It does not reduce the controllability or throughput.

【0013】なお、過剰なラジカルをSi系化合物の形
で除去しようという発想は、本願出願人が先に特願平3
−20360号明細書において提案している。これは、
エッチング・ガス中にシラン系化合物ガスを添加するこ
とにより、エッチング・ガス系のラジカル生成量を最初
から低減させてしまうおうとする方法である。したがっ
て、S2 2 を用いてSi系材料層をエッチングする場
合には極めて有効な技術であるが、オーバーエッチング
時にはやはりシラン系化合物ガスの流量比を増大させる
ことが好ましくなる。これに対し、今回の発明は過剰ラ
ジカルを消費するSi系材料は処理チャンバの内壁部に
配設された固体状物質であり、オーバーエッチング時に
もエッチング・ガス組成の変更を必要としないという点
で、従来技術とは全く異なる特徴を有するものである。
The idea of removing excess radicals in the form of Si-based compounds was first proposed by the applicant of the present invention in Japanese Patent Application No.
No. 20360 specification. this is,
This is a method of adding a silane compound gas to the etching gas to reduce the amount of radicals generated in the etching gas from the beginning. Therefore, although this is an extremely effective technique when etching the Si-based material layer using S 2 F 2 , it is preferable to increase the flow rate ratio of the silane-based compound gas during over-etching. On the other hand, in the present invention, the Si-based material that consumes excess radicals is a solid substance disposed on the inner wall of the processing chamber, and it is not necessary to change the etching gas composition during overetching. However, it has completely different characteristics from the prior art.

【0014】[0014]

【実施例】以下、本発明の具体的な実施例について説明
する。
DESCRIPTION OF THE PREFERRED EMBODIMENTS Hereinafter, specific embodiments of the present invention will be described.

【0015】実施例1 本実施例は、本発明をゲート電極加工に適用し、S2
2 を用いて多結晶シリコン層のエッチングを行うに際
し、ジャスト・エッチング時とオーバーエッチング時と
でECRプラズマとSi系材料層の接触面積を変化させ
た例である。
Example 1 In this example, the present invention is applied to fabrication of a gate electrode, and S 2 F
2 is an example in which the contact area between the ECR plasma and the Si-based material layer is changed between just etching and overetching when the polycrystalline silicon layer is etched using 2 .

【0016】ここで、実際のエッチング・プロセスの説
明に先立ち、まず本発明を実施するにあたり使用したR
Fバイアス印加型ECRプラズマ・エッチング装置の一
構成例、およびその使用上の工夫について図1および図
2を参照しながら説明する。この装置は、2.45GH
zのマイクロ波を発生するマグネトロン1、上記マグネ
トロン1に図示されない整合器,マイクロ波電力計,ア
イソレータ等を介して接続され、上記マイクロ波を導く
矩形導波管2、上記矩形導波管2に石英ガラス板等から
なるマイクロ波導入窓3を介して接続され、かつウェハ
10を載置するウェハ載置電極11を収容し、ECR放
電により形成されるECRプラズマPを利用して該ウェ
ハ10に対して所定のエッチングを行うためのエッチン
グ・チャンバ4、上記エッチング・チャンバ4にエッチ
ング・ガスを図中矢印B方向に供給するためのガス供給
管5、プラズマ輻射熱による温度上昇を抑制するために
冷却水管6から図中矢印C1 ,C2 方向に導入される冷
却水を上記エッチング・チャンバ4の周囲に循環させる
ウォーター・ジャケット7、上記エッチング・チャンバ
4の底部に開口し、図示されない真空系統に接続される
ことにより上記エッチング・チャンバ4の内部を図中矢
印A方向に高真空排気するための排気孔8、上記エッチ
ング・チャンバ4を周回し、電子をサイクロイド運動さ
せるための磁界を形成するソレノイド・コイル9、上記
ウェハ載置電極11にRFバイアスを印加するために図
示されない整合回路等を介して接続されるRF電源13
等を基本的な構成要素とする。
Here, prior to the description of the actual etching process, the R used in carrying out the present invention was first used.
An example of the configuration of the F bias application type ECR plasma etching apparatus and the device for its use will be described with reference to FIGS. 1 and 2. This device is 2.45GH
A magnetron 1 for generating a microwave of z, a rectangular waveguide 2 and a rectangular waveguide 2 which are connected to the magnetron 1 through a matching device, a microwave power meter, an isolator, etc., which are not shown, and guide the microwave. A wafer mounting electrode 11 which is connected through a microwave introduction window 3 made of a quartz glass plate or the like and which mounts a wafer 10 is housed, and the ECR plasma P formed by ECR discharge is used to bring the wafer 10 to the wafer 10. In contrast, an etching chamber 4 for performing a predetermined etching, a gas supply pipe 5 for supplying an etching gas to the etching chamber 4 in a direction of an arrow B in the drawing, and cooling for suppressing a temperature rise due to plasma radiation heat. water Ja to the drawing cooling water introduced by the arrow C 1, C 2 direction from the water pipe 6 is circulated around the etching chamber 4 7, an exhaust hole 8 which is opened at the bottom of the etching chamber 4 and is connected to a vacuum system (not shown) to evacuate the inside of the etching chamber 4 to a high vacuum in the direction of arrow A in the drawing. A solenoid coil 9 that circulates in the etching chamber 4 and forms a magnetic field for causing a cycloidal movement of electrons, and an RF connected via a matching circuit (not shown) for applying an RF bias to the wafer mounting electrode 11. Power supply 13
Etc. as basic components.

【0017】ここで、本発明で使用されるECRプラズ
マ・エッチング装置には、従来の一般的な構成に加えて
以下のような工夫が施されている。まず、低温エッチン
グを可能とするために、上記ウェハ載置電極11には冷
却配管12が埋設されている。この冷却配管12には、
装置外部に配設される図示されないチラー等の冷却設備
から冷媒が導入され、図中矢印D1 ,D2 方向に循環さ
れるようになされている。ただし、ここで言う低温エッ
チングとは必ずしも0℃以下の冷却を要するものではな
く、プラズマ輻射熱による昇温を抑制してウェハ温度を
室温域に保持する場合も含めるものとする。
Here, the ECR plasma etching apparatus used in the present invention has the following measures in addition to the conventional general structure. First, a cooling pipe 12 is embedded in the wafer mounting electrode 11 in order to enable low temperature etching. In this cooling pipe 12,
Refrigerant is introduced from a cooling facility such as a chiller (not shown) disposed outside the apparatus and circulated in the directions of arrows D 1 and D 2 in the figure. However, the low temperature etching referred to here does not necessarily require cooling at 0 ° C. or lower, and also includes the case where the temperature rise due to plasma radiation heat is suppressed and the wafer temperature is kept in the room temperature range.

【0018】また、上記エッチング・チャンバ4の内壁
部のうちソレノイド・コイル9に包囲される部分にはS
i系材料層14を設けた。このSi系材料層14は、エ
ッチング・チャンバ4の内壁部を連続的に周回している
必要はなく、たとえばブロック状やウェハ状の固体を内
壁部に貼り付けた構成を有するものであっても良い。こ
の具体的な配設方法としては、エッチング・チャンバ4
の内壁部にCVD法等によりアモルファス・シリコン層
や多結晶シリコン層を直接に成膜すること、シリコン・
カーバイド材を適当な形状に加工して貼り付けること、
単結晶シリコンからなるダミー・ウェハを貼り付けるこ
と等が考えられる。本実施例および後述の各実施例で
は、単結晶シリコンのダミー・ウェハを使用した。
In the inner wall of the etching chamber 4, a portion surrounded by the solenoid coil 9 is S.
The i-based material layer 14 was provided. The Si-based material layer 14 does not need to continuously circulate around the inner wall portion of the etching chamber 4, and may have a structure in which, for example, a block-shaped or wafer-shaped solid is attached to the inner wall portion. good. The concrete arrangement method is as follows:
Directly deposit an amorphous silicon layer or a polycrystalline silicon layer on the inner wall of the
Processing and pasting carbide material into an appropriate shape,
It is conceivable to attach a dummy wafer made of single crystal silicon. A dummy wafer of single crystal silicon was used in this example and each of the examples described later.

【0019】さらに、上記Si系材料層14の内周側に
は、図示されない駆動手段により昇降可能とされた昇降
式シャッタ15を配設した。ここで、図1(a)は昇降
式シャッタ15によりSi系材料層14がほぼ完全に遮
蔽された状態を示し、図1(b)は上記昇降式シャッタ
15を図中矢印E方向に下降させてSi系材料層14の
全面が露出された状態を示す。上記昇降式シャッタ15
の配設状態をより明確に示すために、エッチング・チャ
ンバ4のうちソレノイド・コイル9に包囲される部分を
一部破断して示したものが図2であり、エッチング・チ
ャンバ4の側壁面、昇降式シャッタ15、ウェハ載置電
極11は全て同心的に配置されている。Si系材料層1
4の露出面積は、円筒形の昇降式シャッタ15の昇降距
離を制御することにより任意に調節できる。上記昇降式
シャッタ15は、ラジカルを消費せず、かつエッチング
反応系内に不要な汚染を惹起させない材料を適宜選択し
て構成することができ、たとえばステンレス鋼、あるい
はアルミナ等のセラミクス系材料を使用することができ
る。本実施例および後述の各実施例では、ステンレス鋼
からなる昇降式シャッタ15を採用した。
Further, an elevating shutter 15 which can be moved up and down by a driving means (not shown) is provided on the inner peripheral side of the Si-based material layer 14. Here, FIG. 1A shows a state in which the Si-based material layer 14 is almost completely shielded by the elevating shutter 15, and FIG. 1B shows the elevating shutter 15 lowered in the direction of arrow E in the figure. Shows a state where the entire surface of the Si-based material layer 14 is exposed. The lifting shutter 15
2 is a partially cutaway view of a portion of the etching chamber 4 which is surrounded by the solenoid coil 9 in order to more clearly show the arrangement state of the etching chamber 4. The elevating shutter 15 and the wafer mounting electrode 11 are all arranged concentrically. Si-based material layer 1
The exposed area of 4 can be arbitrarily adjusted by controlling the ascending / descending distance of the cylindrical elevating shutter 15. The elevating shutter 15 can be configured by appropriately selecting a material that does not consume radicals and does not cause unnecessary contamination in the etching reaction system. For example, a ceramic material such as stainless steel or alumina is used. can do. In this embodiment and each of the embodiments described later, the elevating shutter 15 made of stainless steel is adopted.

【0020】かかる構成を有するECRプラズマ・エッ
チング装置の使用方法は、以下のとおりである。まず、
図1(a)に示されるようにSi系材料層14をほぼ昇
降式シャッタ15によりECRプラズマPから遮蔽した
状態では、ウェハ10は高密度のラジカルを含むECR
プラズマPに曝されるので、高速エッチングが達成され
る。ただし、ECR放電は低ガス圧下で行われかつイオ
ンの生成効率に優れることから、方向性の揃ったイオン
の照射も同時に起こる。したがって、高速性と共に高異
方性も達成される。一方、図1(b)に示されるように
昇降式シャッタ15を下降させてSi系材料層14を大
きく露出させた状態では、ECRプラズマP中のラジカ
ルの一部がSi系材料層14と接触するので、ラジカル
の一部はSiに捕捉されて蒸気圧の高いSi化合物に変
化し、排気孔7を介して系外に除去される。このように
して、ラジカルの影響を極めて低減させた条件でエッチ
ングが行えるようになる。
The method of using the ECR plasma etching apparatus having the above structure is as follows. First,
As shown in FIG. 1A, in the state where the Si-based material layer 14 is shielded from the ECR plasma P by the substantially up-and-down type shutter 15, the wafer 10 has an ECR containing high-density radicals.
Since it is exposed to the plasma P, high speed etching is achieved. However, since the ECR discharge is performed under a low gas pressure and the ion generation efficiency is excellent, irradiation of ions with uniform directionality also occurs at the same time. Therefore, high anisotropy is achieved together with high speed. On the other hand, as shown in FIG. 1B, when the elevating shutter 15 is lowered to expose the Si-based material layer 14 largely, a part of the radicals in the ECR plasma P contacts the Si-based material layer 14. Therefore, a part of the radicals is captured by Si and converted into a Si compound having a high vapor pressure, and is removed to the outside of the system through the exhaust hole 7. In this way, etching can be performed under conditions where the influence of radicals is extremely reduced.

【0021】以下、上述のECRプラズマ・エッチング
装置を使用して実際にゲート電極加工を行った例につい
て説明する。まず、単結晶シリコン基板上にSiO2
らなるゲート絶縁膜を介してn+ 型不純物を含有する多
結晶シリコン層が形成され、さらに所定の形状にパター
ニングされたレジスト・マスクが形成されてなるウェハ
10を用意した。このウェハ10をウェハ載置電極11
上にセットし、外部のチラーから冷却配管12にエタノ
ール冷媒を循環させることにより該ウェハ10の温度を
−70℃に維持した。また、昇降式シャッタ15はSi
系材料層14のほぼ全面を被覆する位置にセットした。
この状態で、一例としてS2 2 流量5SCCM、ガス
圧1.3Pa(10mTorr)、マイクロ波パワー8
50W、RFバイアス・パワー30W(2MHz)の条
件で上記多結晶シリコン層のエッチングをジャスト・エ
ッチング状態まで行った。
An example in which a gate electrode is actually processed by using the above ECR plasma etching apparatus will be described below. First, a wafer in which a polycrystalline silicon layer containing an n + -type impurity is formed on a single crystal silicon substrate via a gate insulating film made of SiO 2, and a resist mask patterned into a predetermined shape is further formed. 10 was prepared. This wafer 10 is placed on the wafer mounting electrode 11
The temperature of the wafer 10 was maintained at −70 ° C. by setting it above and circulating the ethanol refrigerant from the chiller to the cooling pipe 12. Further, the elevating shutter 15 is made of Si.
It was set at a position to cover almost the entire surface of the system material layer 14.
In this state, as an example, S 2 F 2 flow rate 5 SCCM, gas pressure 1.3 Pa (10 mTorr), microwave power 8
The polycrystalline silicon layer was etched to a just-etched state under the conditions of 50 W and RF bias power of 30 W (2 MHz).

【0022】ここで使用されたS2 2 は、本願出願人
が先に特願平2−198045号明細書において提案し
た4種類のフッ化イオウのうちのひとつである。S2
2 から放電解離により生成するF* は多結晶シリコン層
のエッチングに寄与し、同じく気相中に生成するSはパ
ターン側壁部に堆積して側壁保護効果を発揮した。さら
に、S2 2 からはS+ ,SFx +,F+ 等のイオンも
生成し、これらがウェハ10にほぼ垂直に入射してラジ
カル反応をアシストした。この結果、良好な異方性形状
を有するゲート電極の大部分が高速に形成された。
The S 2 F 2 used here is one of the four types of sulfur fluoride that the applicant of the present invention has previously proposed in Japanese Patent Application No. 2-198045. S 2 F
F * generated by discharge dissociation from 2 contributed to the etching of the polycrystalline silicon layer, and S similarly generated in the vapor phase was deposited on the side wall of the pattern and exhibited the side wall protection effect. Further, ions such as S + , SF x + , and F + are also generated from S 2 F 2 , and these ions are incident on the wafer 10 almost vertically to assist the radical reaction. As a result, most of the gate electrodes having a good anisotropic shape were formed at high speed.

【0023】次に、図1(b)に示されるように昇降式
シャッタ15を図中矢印E方向に下降させてSi系材料
層14の全面を露出させ、他は同様の条件にてオーバー
エッチングを行った。ここでは、S2 2 の供給条件等
を特に変更しておらず、また多結晶シリコン層の被エッ
チング面積が減少しているために、F* ラジカルは大過
剰となっている。しかし、ECRプラズマPがエッチン
グ・チャンバ4の側壁部においてSi系材料層14と接
触するため、F* はSiFx の形でエッチング反応系外
へ除去された。この結果、エッチング系内の見掛け上の
F/S比が減少して相対的にSの堆積が起こり易い条件
が整い、ゲート絶縁膜に対して約20の選択比を維持し
ながら、多結晶シリコン層のオーバーエッチングを行う
ことができた。なお、パターン側壁部に堆積したSは、
オーバーエッチング終了後にウェハ10を約90℃に加
熱することにより容易に昇華除去され、何らパーティク
ル汚染を惹起させることはなかった。
Next, as shown in FIG. 1B, the elevating shutter 15 is lowered in the direction of arrow E in the figure to expose the entire surface of the Si-based material layer 14, and the other conditions are overetched under the same conditions. I went. Here, the supply conditions of S 2 F 2 and the like are not particularly changed, and the area to be etched of the polycrystalline silicon layer is reduced, so that the F * radicals are in a large excess. However, since the ECR plasma P comes into contact with the Si-based material layer 14 on the side wall of the etching chamber 4, F * is removed from the etching reaction system in the form of SiF x . As a result, the apparent F / S ratio in the etching system is reduced, and the condition that S is relatively likely to be deposited is adjusted, and while maintaining the selectivity of about 20 with respect to the gate insulating film, the polycrystalline silicon Over-etching of the layers could be done. The S deposited on the side wall of the pattern is
After the completion of overetching, the wafer 10 was heated to about 90 ° C. to be easily sublimated and removed, and no particle contamination was caused.

【0024】ところで、本実施例ではエッチング・ガス
としてS2 2 を使用したが、他にSF2 ,SF4 ,S
2 10等のフッ化イオウを使用しても良く、さらにS3
Cl2 ,S2 Cl2 ,SCl2 等の塩化イオウ、あるい
はS3 Br2 ,S2 Br2 ,SBr2 等の臭化イオウを
使用しても良い。また、エッチングの初めの段階からラ
ジカル生成量を低減させるために、エッチング・ガスに
* を捕捉し得るH2 ,H2 S,シラン系ガス等を添加
しても良い。さらにあるいは、スパッタリング効果,希
釈効果,冷却効果等を期待する意味で、He,Ar等の
希ガスを適宜添加しても良い。また、ジャスト・エッチ
ングおよびオーバーエッチングの条件は上述の条件に限
られるものではなく、たとえばオーバーエッチング時に
RFバイアスのパワーを低下させたり、RF周波数を増
大させることにより、より優れた対下地選択性および低
ダメージ性を達成することも可能である。さらに、昇降
式シャッタ15の表面に堆積したSは、予め該昇降式シ
ャッタ15に加熱機構を付与しておき、これを1回のエ
ッチングが終了するごとに作動させて昇華除去するか、
あるいは枚葉処理の合間にプラズマ・クリーニングを行
うこと等により除去することができる。これは、エッチ
ング反応系内の経時的なF/S比の低下を防止する上で
有効である。
By the way, in the present embodiment, S 2 F 2 was used as the etching gas, but SF 2 , SF 4 , S
Sulfur fluoride such as 2 F 10 may be used, and S 3
Sulfur chloride such as Cl 2 , S 2 Cl 2 and SCl 2 or sulfur bromide such as S 3 Br 2 , S 2 Br 2 and SBr 2 may be used. Further, in order to reduce the amount of radicals generated from the initial stage of etching, H 2 , H 2 S, a silane-based gas or the like capable of capturing F * may be added to the etching gas. Further alternatively, a rare gas such as He or Ar may be added as appropriate in order to expect a sputtering effect, a dilution effect, a cooling effect, or the like. Further, the conditions of just etching and over etching are not limited to the above-mentioned conditions. For example, by lowering the RF bias power or increasing the RF frequency during over etching, it is possible to obtain more excellent substrate selectivity. It is also possible to achieve low damage. Further, the S deposited on the surface of the elevating shutter 15 is provided with a heating mechanism in advance on the elevating shutter 15 and is operated by removing the sublimation after each etching process.
Alternatively, it can be removed by performing plasma cleaning or the like between the single-wafer processing. This is effective in preventing the F / S ratio from decreasing with time in the etching reaction system.

【0025】実施例2 本実施例は、本発明をコンタクト・ホール加工に適用し
た例であり、C4 8 (オクタフルオロシクロブタン,
別名フロンC318)をエッチング・ガスとして供給し
ながらSiO2 層間絶縁膜のエッチングおよびオーバー
エッチングを行った例である。本実施例で使用するEC
Rプラズマ・エッチング装置は、図1に示されるものと
同様である。ただし、図中に記入されているECRプラ
ズマP中の化学種のうち、S+ ,SFx + は本実施例で
は生成せず、代わりにCFx + が生成する。本実施例で
エッチング・サンプルとして使用したウェハ10は、単
結晶シリコン基板上にSiO2 からなる層間絶縁膜が形
成され、さらにその上に所定の形状にパターニングされ
たレジスト・マスクが形成されてなるものである。この
ウェハ10をウェハ載置電極11上にセットし、冷却配
管12にエタノール冷媒を循環させることにより該ウェ
ハ10を0℃に保持した。昇降式シャッタ15は、Si
系材料層14をほぼ被覆する位置にセットした。この状
態で、一例としてC4 8 流量50SCCM、ガス圧
1.3Pa(10mTorr)、マイクロ波パワー85
0W、RFバイアス・パワー50W(2MHz)を印加
しながら上記SiO2 層間絶縁膜のエッチングをジャス
ト・エッチング状態まで行った。
Example 2 This example is an example in which the present invention is applied to the processing of contact holes, and C 4 F 8 (octafluorocyclobutane,
This is an example in which etching and over-etching of the SiO 2 interlayer insulating film are performed while supplying another name of Freon C318) as an etching gas. EC used in this embodiment
The R plasma etching apparatus is similar to that shown in FIG. However, among the chemical species in the ECR plasma P entered in the figure, S + and SF x + are not generated in this embodiment, and CF x + is generated instead. The wafer 10 used as the etching sample in the present embodiment has an interlayer insulating film made of SiO 2 formed on a single crystal silicon substrate, and a resist mask patterned in a predetermined shape is further formed thereon. It is a thing. This wafer 10 was set on the wafer mounting electrode 11, and the wafer 10 was kept at 0 ° C. by circulating an ethanol refrigerant through the cooling pipe 12. The elevating shutter 15 is made of Si
The base material layer 14 was set at a position almost covering it. In this state, as an example, C 4 F 8 flow rate 50 SCCM, gas pressure 1.3 Pa (10 mTorr), microwave power 85
While applying 0 W and RF bias power of 50 W (2 MHz), the SiO 2 interlayer insulating film was etched to a just-etched state.

【0026】ここで使用されたC4 8 は、本願出願人
が先に特願平3−40996号明細書において提案した
飽和環状フルオロカーボン系ガスのひとつである。C4
8 は1分子から2個以上のCFx + を生成して高速エ
ッチングに寄与する他、プラズマ中における炭素骨格の
切断により重合に有利な化学種を生成するので効率良く
炭素系ポリマーを堆積させることができる。この炭素系
ポリマーがパターン側壁部に堆積して側壁保護膜を形成
することにより、ガス系に堆積性カーボン系ガスが添加
されていないにもかかわらず、良好な異方性形状を有す
るコンタクト・ホールがほぼ形成された。また、上記炭
素系ポリマーはレジスト・マスクの表面にも堆積して対
レジスト選択比の向上にも寄与した。
The C 4 F 8 used here is one of the saturated cyclic fluorocarbon type gases proposed by the applicant of the present application in Japanese Patent Application No. 3-40996. C 4
F 8 forms two or more CF x + from one molecule and contributes to high-speed etching. In addition to the chemical species that are advantageous for polymerization due to the cleavage of the carbon skeleton in plasma, F 8 efficiently deposits a carbon-based polymer. be able to. The carbon-based polymer is deposited on the side wall of the pattern to form the side wall protection film, so that the contact hole has a good anisotropic shape even though the depositional carbon-based gas is not added to the gas system. Was almost formed. Further, the above-mentioned carbon-based polymer was also deposited on the surface of the resist mask and contributed to the improvement of the selection ratio with respect to the resist.

【0027】次に、図1(b)に示されるように昇降式
シャッタ15を下降させてSi系材料層14の全面を露
出させ、他は同様の条件にてオーバーエッチングを行っ
た。ここでは、C3 8 の供給条件を特に変更しておら
ず、またSiO2 層間絶縁膜の被エッチング面積が減少
しているために、F* ラジカルは大過剰となっている。
しかし、ECRプラズマPがエッチング・チャンバ4の
側壁部においてSi系材料層14と接触するため、F*
はSiFx の形でエッチング反応系外へ除去された。こ
の結果、エッチング系内の見掛け上のF/C比が減少し
て相対的に炭素系ポリマーの堆積が起こり易い条件が整
い、単結晶シリコン基板に対して約20の選択比を維持
しながら、SiO2 層間絶縁膜のオーバーエッチングを
行うことができた。
Next, as shown in FIG. 1B, the elevating shutter 15 was lowered to expose the entire surface of the Si-based material layer 14, and other conditions were the same, and overetching was performed. Here, the conditions for supplying C 3 F 8 are not particularly changed, and the area to be etched of the SiO 2 interlayer insulating film is reduced, so that the F * radicals are in a large excess.
However, since the ECR plasma P contacts the Si-based material layer 14 on the side wall of the etching chamber 4, F *
Were removed from the etching reaction system in the form of SiF x . As a result, the apparent F / C ratio in the etching system is reduced and conditions under which carbon-based polymer deposition is relatively likely to occur, and while maintaining a selection ratio of about 20 with respect to the single crystal silicon substrate, The SiO 2 interlayer insulating film could be over-etched.

【0028】ところで、本実施例ではエッチング・ガス
として環状化合物であるC4 8 を使用したが、同じ組
成式で表される直鎖状のオクタフルオロブテンを使用し
てもほぼ同様の結果が得られる。さらに、本願出願人が
これまでに一連の出願により提案しているごとく、飽和
環状,不飽和環状,飽和鎖状,不飽和鎖状等の構造を有
する各種の高次フルオロカーボン系化合物を使用しても
良い。
By the way, although the cyclic compound C 4 F 8 is used as the etching gas in this embodiment, substantially the same result can be obtained by using the linear octafluorobutene represented by the same composition formula. can get. Further, as proposed by the applicant of the present invention by a series of applications so far, various higher order fluorocarbon compounds having a saturated cyclic structure, an unsaturated cyclic structure, a saturated chain structure, an unsaturated chain structure or the like are used. Is also good.

【0029】実施例3 本実施例は、本発明をゲート電極加工に適用し、HBr
/SF6 混合ガスを用いてポリサイド膜をエッチングす
るに際し、上層側のタングステン・シリサイド(WSi
x )層のエッチング時と下層側の多結晶シリコン層のエ
ッチング時とでECRプラズマPとSi系材料層の接触
面積を変化させた例である。
Example 3 In this example, the present invention is applied to processing a gate electrode, and HBr is applied.
When the polycide film is etched using the / SF 6 mixed gas, tungsten silicide (WSi
This is an example in which the contact area between the ECR plasma P and the Si-based material layer is changed when the x ) layer is etched and when the lower polycrystalline silicon layer is etched.

【0030】本実施例では、図2に示されるECRプラ
ズマ・エッチング装置とは異なり、昇降式シャッタの昇
降ではなく、回転式シャッタの回転角によりSi系材料
層の露出面積を制御できるECRプラズマ・エッチング
装置を用いた。上記ECRプラズマ・エッチング装置の
概略的な断面図を示すと図1と同様であるが、エッチン
グ・チャンバ4のうちソレノイド・コイル9に包囲され
る部分を一部破断して示す斜視図は図3のようになる。
すなわち、本実施例で使用される装置は、スリット状の
開口部16aを有する回転式シャッタ16を備えてお
り、またSi系材料層14aも上記開口部16aの開口
パターンに倣って帯状に形成されている。上記回転式シ
ャッタ16は、図示されない駆動手段により図中矢印F
方向に回転可能となされている。
In the present embodiment, unlike the ECR plasma etching apparatus shown in FIG. 2, not the elevating shutter is moved up and down, but the exposed area of the Si-based material layer is controlled by the rotation angle of the rotary shutter. An etching device was used. A schematic cross-sectional view of the ECR plasma etching apparatus is similar to FIG. 1, but a perspective view showing a part of the etching chamber 4 surrounded by the solenoid coil 9 is shown in FIG. become that way.
That is, the apparatus used in the present embodiment is provided with the rotary shutter 16 having the slit-shaped opening 16a, and the Si-based material layer 14a is also formed in a belt shape following the opening pattern of the opening 16a. ing. The rotary shutter 16 is driven by a driving means (not shown) so that the arrow
It can be rotated in any direction.

【0031】ここで、回転式シャッタ16とSi系材料
層14aの位置関係について図4(a)および(b)を
参照しながら説明する。これらの図は、図3のG−G線
断面図であり、(a)はSi系材料層14aが回転式シ
ャッタ16に遮蔽された状態、(b)はSi系材料層1
4aのほぼ全面が開口部16aを介して露出された状態
を示している。すなわち、図4(a)に示される状態で
は、ウェハ10を高密度のラジカルを含むECRプラズ
マPに曝した状態で高速エッチングを行うことができ、
図4(b)に示される状態では過剰ラジカルの影響を低
減させた条件でエッチングを行うことができる。
The positional relationship between the rotary shutter 16 and the Si-based material layer 14a will be described below with reference to FIGS. 4 (a) and 4 (b). These drawings are cross-sectional views taken along the line GG in FIG. 3, where (a) is a state in which the Si-based material layer 14 a is shielded by the rotary shutter 16, and (b) is a Si-based material layer 1.
It shows a state in which almost the entire surface of 4a is exposed through the opening 16a. That is, in the state shown in FIG. 4A, high-speed etching can be performed while the wafer 10 is exposed to the ECR plasma P containing high-density radicals,
In the state shown in FIG. 4B, etching can be performed under the condition that the influence of excess radicals is reduced.

【0032】上述のECRプラズマ・エッチング装置を
使用して実際にポリサイド膜のエッチングを行った。本
実施例でエッチング・サンプルとして使用したウェハ1
0は、単結晶シリコン基板上にSiO2 からなるゲート
絶縁膜を介してポリサイド膜が形成され、さらにその上
に所定の形状にパターニングされたレジスト・マスクが
形成されてなるものである。ここで、上記ポリサイド膜
の下層側はn+ 型不純物を含有する多結晶シリコン層、
上層側はWSix 層である。このウェハ10をウェハ載
置電極11上にセットし、冷却配管12にエタノール冷
媒を循環させることにより該ウェハ10を15℃に保持
した。また、回転式シャッタ16は、図4(a)に示さ
れるようにSi系材料層14aを遮蔽する状態にセット
した。ここで、一例としてHBr流量35SCCM,S
6 流量15SCCM,ガス圧を1.3Pa(10mT
orr)、マイクロ波パワー850W、RFバイアス・
パワー100Wの条件で、上層側のWSix 層をエッチ
ングした。
The polycide film was actually etched using the above ECR plasma etching apparatus. Wafer 1 used as an etching sample in this example
In No. 0, a polycide film is formed on a single crystal silicon substrate via a gate insulating film made of SiO 2, and a resist mask patterned in a predetermined shape is further formed thereon. Here, the lower layer side of the polycide film is a polycrystalline silicon layer containing an n + -type impurity,
The upper layer side is the WSi x layer. The wafer 10 was set on the wafer mounting electrode 11, and the ethanol 10 was circulated in the cooling pipe 12 to hold the wafer 10 at 15 ° C. Further, the rotary shutter 16 was set in a state of shielding the Si-based material layer 14a as shown in FIG. Here, as an example, the HBr flow rate is 35 SCCM, S
F 6 flow rate 15 SCCM, gas pressure 1.3 Pa (10 mT
orr), microwave power 850 W, RF bias
The upper WSi x layer was etched under a power of 100 W.

【0033】この過程では、SF6 から生成するF*
よびHBrから生成するBr* によるラジカル反応が、
SFx + ,Br+ ,F+ 等の入射イオンによりアシスト
される機構でエッチングが進行した。このとき、低温冷
却されたウェハ上のパターン側壁部には、レジスト・マ
スクとBrとの反応生成物であるCBrx 、および蒸気
圧の低いエッチング反応生成物であるSiBrx やWB
x 等が堆積し、側壁保護膜が形成された。これによ
り、WSix 層は良好な異方性形状にパターニングされ
た。なお、上記WSix 層のエッチング終点は、以前に
本発明者を含むグループがたとえば月刊セミコンダクタ
・ワールド1990年7月号80〜84ページに報告し
たように、発光スペクトルをモニタすることにより判定
した。すなわち、450〜650nmの広い波長域にお
ける全体的な発光強度の減少、もしくは505nmにお
ける発光ピーク強度の急激な減少をもって判定した。
In this process, the radical reaction by F * produced from SF 6 and Br * produced from HBr is
Etching progressed by a mechanism assisted by incident ions such as SF x + , Br + , F + . At this time, CBr x , which is a reaction product of the resist mask and Br, and SiBr x and WB, which are an etching reaction product having a low vapor pressure, are formed on the side wall of the pattern cooled on the low temperature.
r x and the like were deposited, and a side wall protective film was formed. As a result, the WSi x layer was patterned into a good anisotropic shape. The etching end point of the WSi x layer was determined by monitoring the emission spectrum, as previously reported by a group including the present inventor, for example, in the monthly semiconductor world July 1990 issue, pp. 80-84. That is, the judgment was made based on a decrease in the overall emission intensity in a wide wavelength range of 450 to 650 nm or a rapid decrease in the emission peak intensity at 505 nm.

【0034】次に、上記回転式シャッタ16を矢印F方
向に回転させ、図4(b)に示されるようにSi系材料
層14aを開口部16aを介してエッチング・チャンバ
4内に露出させた。この状態で、上述と同じ条件にて下
層側の多結晶シリコン層をチングした。ここでは、EC
RプラズマPのF* ,Br* 等のラジカルがSi系材料
層14aとの反応で消費され、それぞれSiFx ,Si
Brx の形でエッチング反応系外へ除去された。この結
果、相対的にラジカル性が弱められ、各種生成物の堆積
に有利な条件が整い、ゲート絶縁膜に対して約20の選
択比を維持しながら、多結晶シリコン層のオーバーエッ
チングを行うことができた。また多結晶シリコン層にア
ンダカットや逆テーパ形状のような形状異常が発生する
こともなかった。
Next, the rotary shutter 16 was rotated in the direction of arrow F to expose the Si-based material layer 14a in the etching chamber 4 through the opening 16a as shown in FIG. 4B. . In this state, the lower polycrystalline silicon layer was etched under the same conditions as above. Here, EC
Radicals such as F * and Br * of the R plasma P are consumed by the reaction with the Si-based material layer 14a, and SiF x and Si are respectively generated.
It was removed out of the etching reaction system in the form of Br x . As a result, the radical property is relatively weakened, favorable conditions for deposition of various products are set, and over-etching of the polycrystalline silicon layer is performed while maintaining a selectivity ratio of about 20 with respect to the gate insulating film. I was able to. Further, no abnormal shape such as an undercut or an inverse taper shape was generated in the polycrystalline silicon layer.

【0035】なお、本実施例ではエッチング・ガス中の
フッ素系ガスとしてSF6 を使用したが、この代わりに
NF3 ,ClF3 ,F2 ,HF等を使用しても良い。ポ
リサイド膜の上層側の高融点金属シリサイド層としては
WSix 層を取り上げたが、MoSix ,TiSix
TaSix 等の他の高融点金属シリサイド層であっても
良い。Si系材料層14aの形状,数,設置場所等は適
宜変更して構わない。さらに、回転式シャッタ16の回
転を任意の場所で停止してSi系材料層14aの露出面
積を微調整することもできる。
Although SF 6 is used as the fluorine-based gas in the etching gas in this embodiment, NF 3 , ClF 3 , F 2 , HF or the like may be used instead. As the refractory metal silicide layer on the upper layer side of the polycide film, the WSi x layer was taken up, but MoSi x , TiSi x ,
Another may be a refractory metal silicide layer such as TaSi x. The shape, number, installation location, etc. of the Si-based material layer 14a may be changed as appropriate. Further, the rotation of the rotary shutter 16 can be stopped at any place to finely adjust the exposed area of the Si-based material layer 14a.

【0036】[0036]

【発明の効果】以上の説明からも明らかなように、本発
明ではECRプラズマ装置の処理チャンバの内壁部の一
部に設けられたSi系材料層の露出面積を昇降式,回転
式等のシャッタ部材を用いて変化させることにより該S
i系材料層とECRプラズマとの接触面積を変化させる
という巧妙な手法により、ラジカルの生成量と堆積物の
生成量のバランスを容易に変化させることができる。し
たがって、ジャスト・エッチング時とオーバーエッチン
グ時との間、あるいはポリサイド・ゲート電極加工にお
ける高融点金属シリサイド層のエッチング時と多結晶シ
リコン層のエッチング時との間のように、エッチング・
プロセスの途中でラジカル生成量を低減させる必要があ
る場合等において、対下地選択性の向上が可能となり、
また異方性形状の劣化が防止される。しかも、本発明で
はこれらの条件の切り換え時にエッチング・ガスの組成
を変更しないので、安定したマイクロ波放電を継続させ
たまま、スループットを低下させずに再現性の高い異方
性エッチングを行うことが可能となる。本発明は微細な
デザイン・ルールにもとづいて設計され、高集積度およ
び高性能を有する半導体装置の製造において特に有効で
ある。
As is apparent from the above description, according to the present invention, the exposure area of the Si-based material layer provided on a part of the inner wall portion of the processing chamber of the ECR plasma device can be lifted or rotated. The S can be changed by using a member.
The balance between the production amount of radicals and the production amount of deposits can be easily changed by a clever technique of changing the contact area between the i-based material layer and the ECR plasma. Therefore, the etching process may be performed such as between the time of just etching and the time of over etching, or between the time of etching the refractory metal silicide layer and the time of etching the polycrystalline silicon layer in the polycide gate electrode processing.
When it is necessary to reduce the amount of radicals generated during the process, it becomes possible to improve the selectivity to the substrate,
Further, deterioration of the anisotropic shape is prevented. Moreover, in the present invention, since the composition of the etching gas is not changed when switching these conditions, it is possible to perform highly reproducible anisotropic etching without lowering the throughput while continuing the stable microwave discharge. It will be possible. The present invention is particularly effective in manufacturing a semiconductor device designed according to a fine design rule and having a high degree of integration and high performance.

【図面の簡単な説明】[Brief description of the drawings]

【図1】本発明のドライエッチング方法を実施するにあ
たり使用されるRFバイアス印加型ECRプラズマ・エ
ッチング装置の一構成例、および多結晶シリコン・ゲー
ト電極加工における使用例を示す概略断面図であり、
(a)はジャスト・エッチングまでの使用状態、(b)
はオーバーエッチング時の使用状態をそれぞれ表す。
FIG. 1 is a schematic cross-sectional view showing one configuration example of an RF bias application type ECR plasma etching apparatus used for carrying out a dry etching method of the present invention, and a usage example in processing a polycrystalline silicon gate electrode,
(A) is the state of use until just etching, (b)
Indicates the usage state during overetching.

【図2】上記図1に示されるECRプラズマ・エッチン
グ装置の昇降式シャッタおよびその周辺部材を一部破断
して示す概略斜視図である。
FIG. 2 is a schematic perspective view showing a lift shutter and its peripheral members of the ECR plasma etching apparatus shown in FIG. 1 with a part thereof cut away.

【図3】本発明のドライエッチング方法を実施するにあ
たり使用されるRFバイアス印加型ECRプラズマ・エ
ッチング装置の他の構成例において、回転式シャッタお
よびその周辺部材を一部破断して示す概略斜視図であ
る。
FIG. 3 is a schematic perspective view showing a rotary shutter and its peripheral members partially broken away in another example of the configuration of the RF bias application type ECR plasma etching apparatus used for carrying out the dry etching method of the present invention. Is.

【図4】上記図3のG−G線断面図であり、(a)は回
転式シャッタによりSi系材料層が遮蔽された状態、
(b)はSi系材料層がエッチング・チャンバ内に露出
された状態をそれぞれ表す。
FIG. 4 is a cross-sectional view taken along line GG of FIG. 3, in which (a) is a state in which the Si-based material layer is shielded by a rotary shutter,
(B) shows the state where the Si-based material layer is exposed in the etching chamber.

【符号の説明】 4 ・・・エッチング・チャンバ 10 ・・・ウェハ 11 ・・・ウェハ載置電極 14,14a・・・Si系材料層 15 ・・・昇降式シャッタ 16 ・・・回転式シャッタ 16a ・・・開口部 P ・・・ECRプラズマ[Explanation of reference numerals] 4 ... Etching chamber 10 ... Wafer 11 ... Wafer mounting electrode 14, 14a ... Si-based material layer 15 ... Elevating shutter 16 ... Rotating shutter 16a ... Aperture P ... ECR plasma

Claims (1)

(57)【特許請求の範囲】(57) [Claims] 【請求項1】 処理チャンバの内壁部の少なくとも一部
ラジカルの生成量をコントロールするためのシリコン
系材料層により被覆されてなり、かつ上記シリコン系材
料層の露出面積を可変となし得るシャッタ部材を備えた
ECRプラズマ装置を使用し、上記シャッタ部材の操作
によりECRプラズマと上記ラジカルの生成量をコント
ロールするためのシリコン系材料層との接触面積を変化
させながら被エッチング材料層をエッチングすることを
特徴とするドライエッチング方法。
[Claim 1 wherein at least a portion of the inner wall of the processing chamber is coated with a silicon-based material layer for controlling the amount of generated radical, and a shutter member which can be made variable exposure area of the silicon-based material layer using ECR plasma apparatus having a controller for the amount of ECR plasma and the radical by the operation of the shutter member
A dry etching method comprising etching a material layer to be etched while changing a contact area with a silicon-based material layer for rolling .
JP3132116A 1991-05-09 1991-05-09 Dry etching method Expired - Fee Related JP2684868B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP3132116A JP2684868B2 (en) 1991-05-09 1991-05-09 Dry etching method

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP3132116A JP2684868B2 (en) 1991-05-09 1991-05-09 Dry etching method

Publications (2)

Publication Number Publication Date
JPH04334022A JPH04334022A (en) 1992-11-20
JP2684868B2 true JP2684868B2 (en) 1997-12-03

Family

ID=15073798

Family Applications (1)

Application Number Title Priority Date Filing Date
JP3132116A Expired - Fee Related JP2684868B2 (en) 1991-05-09 1991-05-09 Dry etching method

Country Status (1)

Country Link
JP (1) JP2684868B2 (en)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20020017182A (en) * 2000-08-29 2002-03-07 윤종용 Method for manufacturing semiconductor device using octafluorobutene etching gas

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS61161722A (en) * 1985-01-10 1986-07-22 Toshiba Corp Photoexcitation etching method and etching apparatus
JPH0741155Y2 (en) * 1989-03-30 1995-09-20 東京応化工業株式会社 Plasma etching equipment

Also Published As

Publication number Publication date
JPH04334022A (en) 1992-11-20

Similar Documents

Publication Publication Date Title
US9960031B2 (en) Plasma processing apparatus and plasma processing method
JP3000717B2 (en) Dry etching method
JP3248222B2 (en) Dry etching method
JPH06177091A (en) Manufacture of semiconductor device
US5354421A (en) Dry etching method
JP3044824B2 (en) Dry etching apparatus and dry etching method
EP0473344B1 (en) Process for etching a conductive bi-layer structure
JPH06177092A (en) Manufacture of semiconductor device
JP3006048B2 (en) Dry etching method
US7425510B2 (en) Methods of cleaning processing chamber in semiconductor device fabrication equipment
JPH06338479A (en) Etching method
JP3160961B2 (en) Dry etching method
US7517468B2 (en) Etching method
JPH0729879A (en) Preparation of semiconductor device
JP2684868B2 (en) Dry etching method
US6228774B1 (en) High aspect ratio sub-micron contact etch process in an inductively-coupled plasma processing system
JP4128365B2 (en) Etching method and etching apparatus
JP3729869B2 (en) Manufacturing method of semiconductor device
JPH10116822A (en) Method and device for dry-etching
JP3038984B2 (en) Dry etching method
JP3263852B2 (en) Plasma apparatus and dry etching method using the same
JP7202489B2 (en) Plasma treatment method
JP3303375B2 (en) Dry etching method
TWI753413B (en) Plasma treatment method
JPH0536645A (en) Dry etching method

Legal Events

Date Code Title Description
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 19970715

LAPS Cancellation because of no payment of annual fees