JP2022524041A - モリブデン含有皮膜の堆積のための前駆体 - Google Patents

モリブデン含有皮膜の堆積のための前駆体 Download PDF

Info

Publication number
JP2022524041A
JP2022524041A JP2021552861A JP2021552861A JP2022524041A JP 2022524041 A JP2022524041 A JP 2022524041A JP 2021552861 A JP2021552861 A JP 2021552861A JP 2021552861 A JP2021552861 A JP 2021552861A JP 2022524041 A JP2022524041 A JP 2022524041A
Authority
JP
Japan
Prior art keywords
molybdenum
precursor
ligand
group
containing precursor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2021552861A
Other languages
English (en)
Inventor
ブレイクニー・カイル・ジョーダン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2022524041A publication Critical patent/JP2022524041A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/18Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metallo-organic compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/305Sulfides, selenides, or tellurides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45534Use of auxiliary reactants other than used for contributing to the composition of the main film, e.g. catalysts, activators or scavengers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Pyrrole Compounds (AREA)

Abstract

【解決手段】ALD及びCVDプロセスにおいてモリブデン含有前駆体の反応を使用して、モリブデン含有皮膜が半導体基板上に堆積される。いくつかの実施形態では、前駆体を使用して、炭素及び窒素の混入レベルが低いモリブデン金属皮膜の堆積できる。いくつかの実施形態では、フッ素を含まない前駆体を使用して、露出ケイ素含有層の存在下で、エッチング停止層を用いることなく、皮膜が堆積される。前駆体は、いくつかの実施形態では、モリブデンと、モリブデンとの結合を形成する少なくとも1つのハロゲンと、モリブデンとの結合を形成するN、O、及びSからなる群から選択される元素を含む少なくとも1つの有機配位子と、を含む。別の態様では、前駆体は、少なくとも1つの硫黄含有配位子を有し、好ましくはモリブデン-炭素結合を含まない、モリブデン化合物である。【選択図】図4B

Description

[参照による援用]
本出願の一部として、本明細書と同時にPCT出願願書が提出される。この同時出願されたPCT出願願書に明記され、本出願が利益又は優先権を主張する各出願は、参照によりその全体があらゆる目的で本明細書に援用される。
本発明は、半導体デバイスの製造方法に関する。具体的には、本発明の実施形態は、半導体加工においてモリブデン含有皮膜の堆積に使用される前駆体に関する。
集積回路(IC)製造において、堆技術積及びエッチング技術は、誘電体層に埋め込まれた金属線の形成などの、材料のパターニングに使用される。一部のパターニング方式は、材料の共形堆積を必要とし、堆積された層が基板表面の凸状及び/又は凹状フィーチャの輪郭に沿う必要がある。原子層堆積(ALD)は、基板上に共形皮膜を形成する好ましい方法であることが多く、それは、ALDが、1種以上の反応物(前駆体)の基板表面への吸着と、それに続く、吸着層から所望の材料への化学変換に依拠しているからである。ALDが利用する逐次反応は、基板表面で発生し、時間的に隔たりがあり、通常は吸着された反応物の量によって制限されることから、卓越したステップカバレッジを有する薄い共形層を提供できる。
化学気相堆積(CVD)は、半導体加工で広く使用されているもう1つの堆積方法である。CVDでは、反応はプロセスチャンバの容積内で起こり、基板に吸着された反応物の量によって制限されない。結果として、CVDの堆積皮膜はALDの堆積皮膜よりも共形性が低いことが多い。CVDは、典型的には、ステップカバレッジがあまり重要ではない用途に使用される。
ALD及びCVDは、プラズマを用いて、所望の皮膜を形成する堆積前駆体の反応を促進してよい。プラズマを利用する方法は、プラズマALD(PEALD)及びプラズマCVD(PECVD)として知られる。プラズマを用いない方法は、熱ALD及び熱CVDと呼ばれる。
ALD及びCVDは、酸化ケイ素、窒化ケイ素、及び炭化ケイ素などのケイ素含有皮膜の堆積に最も一般的に使用されており、これらの方法は、一部の金属、特にタングステン及びコバルトの堆積にも好適である。
本明細書で提供されている背景技術の記載は、本開示の背景を概略的に提示するためのものである。ここに名を挙げられている発明者の業績は、この背景技術の項に記載された範囲において、出願時に従来技術として通常みなされ得ない記載の態様と共に、明示的にも黙示的にも本開示に対する従来技術として認められない。
金属モリブデン、窒化モリブデン(MoNx)、炭化モリブデン(MoCx)、ホウ化モリブデン(MoBx)、ケイ化モリブデン(MoSix)、及びこれらの組み合わせ(例えば、炭窒化モリブデン(MoCxy)、ホウ炭化モリブデン(MoBxy))などのモリブデン含有皮膜を堆積するための方法が提供される。モリブデン含有皮膜の堆積のための前駆体も提供される。
一態様では、モリブデン含有皮膜の堆積のための前駆体は、モリブデンと、モリブデンとの結合を形成する少なくとも1つのハロゲンと、モリブデンとの結合を形成するN、O、及びSからなる群から選択される元素を含む少なくとも1つの有機配位子とを含み、但し、この化合物は、イミド及びグアニジネートの両方の有機配位子を同時に含有するモリブデン錯体ではないことを条件とする。いくつかの実施形態では、前駆体化合物はMo(X)m(L)nであり、式中、各Xは、独立して、F、Cl、Br、及びIからなる群から選択されるハロゲンであり;各Lは、N、O、及びSからなる群から選択される元素を含む有機配位子であり、mは1~4から選択され、nは1~3から選択される。いくつかの実施形態では、有機配位子又は配位子は、独立して、アミジネート、アミン、アミデート、イミノピロリジネート、ジアザジエン、β-イミノアミド、α-イミノアルコキシド、β-アミノアルコキシド、β-ジケチミネート、β-ケトイミネート、β-ジケトネート、チオエーテル、チオレート、ジチオレート、ジチオレン、及びピラゾレートから選択され、各々は置換されていても非置換でもよい。
別の態様では、モリブデン含有皮膜の堆積のための前駆体は、モリブデンと、モリブデンに結合した少なくとも1つの置換又は非置換1,4-ジアザブタ-1,3-ジエン(DAD)配位子と、少なくとも1つの第2の配位子とを含む。DAD配位子は、いくつかの実施形態では、
中性DAD、
Figure 2022524041000002
モノアニオン性DAD、
Figure 2022524041000003
及び、ジアニオン性DAD、
Figure 2022524041000004
からなる群から選択され、式中、各Rは、独立して、H、アルキル、フルオロアルキル、アルキルシリル、アルキルアミノ、及びアルコキシ置換基からなる群から選択される。第2の配位子は、アニオン性配位子及び中性配位子からなる群から選択されるが、但し、化合物がCOを唯一の第2の配位子として含まないことを条件とする。いくつかの実施形態では、前駆体化合物はMo(DAD)m(L)n(X)pであり、式中、各Lは中性配位子であり、各Xはアニオン性配位子であり、mは1~3から選択され、nは0~4から選択され、pは0~4から選択され、nとpとは同時にゼロではない。いくつかの実施形態では、各中性配位子Lは、独立して、CO、アミン、ホスフィン、ニトリル、イソニトリル、及びチオエーテルからなる群から選択され、アニオン性配位子Xは、各々独立して、ハライド、アルキル、アリル、シクロペンタジエニル、アルコキシド、アミド、及びイミドからなる群から選択される。
別の態様では、モリブデン含有皮膜の堆積のための前駆体が提供され、前記前駆体はMo2nであり、各Lは、独立して、アミジネート又はグアニジネート配位子であり、nは2~5から選択され、前記前駆体は複数のモリブデン-モリブデン結合を含む。いくつかの実施形態では、アミジネート配位子は
Figure 2022524041000005
であり、式中、各Rは、独立して、H、アルキル、フルオロアルキル、アルキルシリル、アルキルアミノ、及びアルコキシ置換基からなる群から選択される。いくつかの実施形態では、グアニジネート配位子は、
Figure 2022524041000006
であり、式中、各Rは、独立して、H、アルキル、フルオロアルキル、アルキルシリル、アルキルアミノ、及びアルコキシ置換基からなる群から選択される。
別の態様では、モリブデン含有皮膜の堆積のための前駆体は、モリブデンと、モリブデンに結合した少なくとも1つのα-イミノチオレン配位子23とを含む化合物であり、ここで、α-イミノチオレン配位子23中の各Rは、独立して、H、アルキル、フルオロアルキル、アルキルシリル、アルキルアミノ、及びアルコキシ置換基からなる群から選択される。
いくつかの実施形態では、本明細書で提供されるモリブデン含有前駆体は、200℃未満の気化温度を有する。
別の態様では、モリブデン含有層(例えば、モリブデン金属、窒化モリブデン、炭化モリブデン、ホウ化モリブデン又はケイ化モリブデン)を半導体基板上に形成する方法が提供され、前記方法は、本明細書に開示されるモリブデン含有前駆体のいずれかを、半導体基板を収容したプロセスチャンバ内に導入する工程と、モリブデン含有前駆体を反応させてモリブデン含有層を半導体基板上に形成する工程と、を含む。いくつかの実施形態では、堆積に使用される前駆体は、上記のとおりである。一実施形態において、モリブデン含有前駆体は、Mo2nであり、式中、各Lは、独立して、アミデート、アミジネート及びグアニジネート配位子からなる群から選択され、nは2~5から選択され、モリブデン含有前駆体は複数のモリブデン-モリブデン結合を含む。
いくつかの実施形態では、半導体基板上の露出金属層の存在下で、モリブデン含有層を誘電体層上に選択的に堆積する方法が提供される。前記方法は、(a)露出金属層と露出誘電体層とを有する半導体基板を提供する工程であって、前記誘電体層は、露出したSi-O-H結合を有する、工程;(b)半導体基板をモリブデン含有前駆体と接触させる工程であって、前記前駆体はモリブデンとの結合を形成する脱プロトン化窒素を有する配位子を含む、工程;及び(c)モリブデン含有前駆体を反応させて、モリブデン含有層を誘電体層上に選択的に形成する工程、を含む。
いくつかの実施形態では、半導体基板上の露出誘電体層の存在下で、モリブデン含有層を金属層上に選択的に堆積する方法が提供される。前記方法は、(a)露出金属層と露出誘電体層とを有する半導体基板を提供する工程;(b)半導体基板をモリブデン含有前駆体と接触させる工程であって、前記前駆体は、モリブデンと、モリブデンに結合したラジカルアニオン配位子のジチオレン21及びα-イミノチオレン23(式中、各Rは、独立して、H、アルキル、フルオロアルキル、アルキルアミノ、アルキルシリル、及びアルコキシから選択される)のうちの少なくとも1つとを含む、工程;及び(c)モリブデン含有前駆体を反応させて、モリブデン含有層を金属層上に選択的に形成する工程、を含む。
いくつかの実施形態では、半導体基板上にモリブデン金属層(例えば、高純度モリブデン金属層)を形成する方法が提供される。いくつかの実施形態では、前記方法は、(a)モリブデン含有前駆体を、半導体基板を収容したプロセスチャンバ内に導入する工程、及び(b)モリブデン含有前駆体を反応させて、モリブデン金属を半導体基板上に形成する工程、を含む。モリブデン含有前駆体は、本明細書に記載の任意の前駆体であってよい。一態様では、前駆体は、モリブデンと、モリブデンとの結合を形成する少なくとも1つのハロゲンと、モリブデンとの結合を形成するN、O、及びSからなる群から選択される元素を含む少なくとも1つの有機配位子と、を含む化合物である。別の態様では、前駆体は、モリブデンと、モリブデンとのモリブデン-硫黄結合を形成する少なくとも1つの硫黄含有配位子とを含む化合物であり、前記化合物は、モリブデン-炭素結合を含まない。別の態様では、モリブデン前駆体は、モリブデン-モリブデン多重結合を含む化合物である。前駆体は、水素(H2)、アンモニア(NH3)、ヒドラジン、アミン、ボラン(B26)、シラン(SiH4)、ジシラン(Si26)、水、アルコール、H2S、及びチオールからなる群から選択される少なくとも1つの反応物と反応させることができる、任意選択でプラズマ活性化を用いる。いくつかの実施形態では、前駆体は、最初に前記群からの反応物の1つと反応し、続いて、この群からの異なる反応物と反応する。例えば、前駆体は、水、チオール、又はH2Sと反応し、続いてH2で処理されてもよく、ここでH2処理はプラズマありでもなしでも実施できる。反応は、いくつかの実施形態では、基板の表面上のみで起こる。他の実施形態では、反応は、プロセスチャンバの容積内で起こってよい。
前記方法は、炭素含有量が約5原子%未満であるモリブデン金属などの、炭素含有量が低いモリブデン金属の堆積に使用できる。
別の態様では、半導体基板を加工するためのシステムが提供され、前記システムは(a)プロセスチャンバであって、基板ホルダと、反応物をプロセスチャンバに導入するための1つ以上の入口とを有する、プロセスチャンバと;(b)本明細書で提供される方法のいずれかを実施するためのプログラム命令を含むシステムコントローラと、を含む。いくつかの実施形態では、システムコントローラは:(i)本明細書に記載のモリブデン含有前駆体のプロセスチャンバへの導入を引き起こすため;及び(ii)モリブデン含有前駆体の反応を引き起こし、モリブデン含有層を半導体基板上に形成するためのプログラム命令を含む。いくつかの実施形態では、システムコントローラは、(i)モリブデン含有前駆体のプロセスチャンバへの導入を引き起こすため(ここで、モリブデン含有前駆体はMo2nであり、式中、各Lは、独立して、アミデート、アミジネート、及びグアニジネート配位子からなる群から選択され、nは2~5であり、モリブデン含有前駆体は複数のモリブデン-モリブデン結合を含む);及び(ii)モリブデン含有前駆体の反応を引き起こし、モリブデン含有層を半導体基板上に形成するためのプログラム命令を含む。いくつかの実施形態では、システムコントローラは、(i)モリブデン含有前駆体のプロセスチャンバへの導入を引き起こすため(ここで、モリブデン含有前駆体は、モリブデンと、モリブデンに結合した少なくとも1つの有機配位子とを含み、前記有機配位子は、N、O、及びSのうちの少なくとも1つを含む);及び(ii)モリブデン含有前駆体の反応を引き起こし、モリブデン含有層を半導体基板上に形成するためのプログラム命令を含む。いくつかの実施形態では、モリブデン含有前駆体は、モリブデンと、モリブデンに結合した少なくとも1つの硫黄含有配位子と、を含む。
別の態様では、堆積ツールの制御のためのプログラム命令を含む非一時的なコンピュータ可読媒体が提供され、前記プログラム命令は、本明細書に記載の方法のいずれかを引き起こすためのコードを含む。
本明細書に記載の方法及び装置は、フォトリソグラフィパターニングを実施するプロセス及び装置と統合できる。一態様では、システムが提供され、前記システムは、本明細書に記載の任意の装置及びステッパを含む。
本明細書に記載のモリブデン含有前駆体は、多種多様な用途において、モリブデン含有皮膜の堆積のためにALD及びCVDに使用できる。いくつかの実施形態では、前駆体が、共形皮膜の形成に使用される。他の実施形態では、前駆体は、凹状フィーチャにモリブデン含有材料(例えば、モリブデン金属)を充填するために使用される。例えば、提供された前駆体及び方法を、コンタクトホールに高純度モリブデン金属を充填するために使用できる。提供された前駆体を使用して、O、N、及びSなどの他の元素の混入レベルが低い、高純度モリブデンを堆積できる。
本明細書で説明される主題の実施態様に関するこれらの態様及び他の態様を、添付の図面及び以下の説明に記載する。
本明細書で提供される一実施形態によるモリブデン前駆体に使用できる配位子の例である。
本明細書で提供される一実施形態によるモリブデン前駆体に使用できる硫黄含有配位子の例である。
本明細書で提供される一実施形態によるモリブデン前駆体の例の一覧である。
本明細書で提供されるモリブデン前駆体の合成に使用できる化学反応式を示す。
本明細書で提供される一実施形態によるモリブデン含有皮膜を形成する方法のプロセスフロー図である。
本明細書で提供される一実施形態によるモリブデン金属皮膜を形成する方法のプロセスフロー図である。
金属の存在下での誘電体上へのモリブデン含有皮膜の選択的堆積を説明する反応を示す。
本明細書で提供される一実施形態によるモリブデン含有皮膜の堆積中の基板の断面図である。 本明細書で提供される一実施形態によるモリブデン含有皮膜の堆積中の基板の断面図である。 本明細書で提供される一実施形態によるモリブデン含有皮膜の堆積中の基板の断面図である。
本明細書で提供される一実施形態によるモリブデン含有皮膜の堆積に適した装置の概略図である。
本明細書で提供される一実施形態によるマルチステーション加工システムの概略図である。
本明細書で提供される一実施形態によるマルチステーション加工システムの概略図である。
モリブデン含有皮膜を半導体基板上に堆積するための方法及び前駆体が提供される。これらの方法及び前駆体は、例えば、平面基板へのブランケットモリブデン含有皮膜の堆積、1つ以上の凹状又は凸状フィーチャを有する基板への共形モリブデン含有層の堆積、及び凹状フィーチャへのモリブデン含有材料の充填に使用できる。いくつかの実施形態では、方法及び前駆体は、露出金属の存在下で誘電体層上にモリブデン含有層を選択的に堆積するため、又は露出誘電体の存在下で金属上にモリブデン含有層を選択的に堆積するために提供される。
前記方法は、モリブデン金属、窒化モリブデン(MoNx)、炭化モリブデン(MoCx)、ホウ化モリブデン(MoBx)、ケイ化モリブデン(MoSix)、ホウ炭化モリブデン(MoBxy)、及び炭窒化モリブデン(MoCxy)が挙げられるがこれらに限定されない様々なモリブデン含有材料の堆積に使用でき、式中、x及びyは、これらの化合物の化学量論が変動してよいことを示す。提供された前駆体は、モリブデン金属、例えば、他の元素の混入レベルが低い高純度モリブデン金属の堆積に特に好適である。
「モリブデン金属」又は「金属モリブデン」は、本明細書で使用するとき、モリブデン(Mo)から本質的になる材料を指す。他の元素(例えば、C、N、又はO)は、モリブデン金属中に少量(例えば、総含有量が約15原子%未満、又は約10%未満(水素はこの計算に含まれない))で存在できる。「高純度モリブデン金属」は、本明細書で使用するとき、約5%未満の他の元素、例えば約1%未満の他の元素を含むモリブデン金属を指す(水素はこの計算に含まれない)。
窒化モリブデン(MoNx)、炭化モリブデン(MoCx)、ホウ化モリブデン(MoBx)、ケイ化モリブデン(MoSix)、ホウ炭化モリブデン(MoBxy)、及び炭窒化モリブデン(MoCxy)は、モリブデンと窒素(MoNx)、モリブデンと炭素(MoCx)、モリブデンとホウ素(MoBx)、モリブデンとケイ素(MoSix)、モリブデンとホウ素と炭素(MoBxy)、及びモリブデンと炭素と窒素(MoCxy)から本質的になる材料を指し、式中、x及びyは、これらの化合物の化学量論が変動してよいことを示す。他の元素がこれらの化合物中に少量(例えば、約10原子%未満の量)で存在してよい(水素はこの計算に含まれない)。
本明細書で使用される「半導体基板」という用語は、その構造内の任意の場所に半導体材料を含む、半導体デバイス製造のあらゆる段階における基板を指す。半導体基板中の半導体材料を露出させる必要はないことは理解される。半導体材料を覆う他の材料(例えば、誘電体)の複数の層を有する半導体ウエハは、半導体基板の例である。以下の詳細な説明は、開示される実施態様が、200mm、300mm、又は450mmの半導体ウエハ上などの半導体ウエハ上で実施されることを想定している。しかし、開示される実施態様は、そのように限定されない。ワークピースは、様々な形状、サイズ、及び材料であってよい。半導体ウエハに加えて、開示される実施態様を利用してよい他のワークピースとしては、プリント回路基板などのような様々な物品が挙げられる。
「約」という用語は、数値を参照して使用されるとき、特記のない限り、記載された数値の±10%の範囲を含む。
「アルキル」という用語は、本明細書で使用するとき、炭素原子と水素原子のみを含有する飽和置換基を指す。アルキルは、線状基、分岐状基、及び環状基のいずれも含む。線状アルキル基の例としては、限定するものではないが、メチル基、エチル基、n-プロピル基、n-ブチル基などが挙げられる。分岐状アルキル基としては、限定するものではないが、イソプロピル、イソブチル、sec-ブチル、及びt-ブチルが挙げられる。シクロアルキルの例としては、限定するものではないが、シクロプロピル基、シクロペンチル基、シクロヘキシル基などが挙げられる。
「フルオロアルキル」という用語は、本明細書で使用するとき、1つ以上のフッ素置換基を含有するアルキル基を指す。いくつかの実施態様では、フルオロアルキルは、CF3、C25、C37のように、フッ素置換基のみを含有する。フルオロアルキルは、線状、分岐状、又は環状であってよい。
「アルキルシリル」という用語は、本明細書で使用するとき、SiR3基を指し、式中、少なくとも1つのRはアルキルであり、各Rは、独立して、H及びアルキルから選択される。アルキルシリルは、モノアルキルシリル、ビスアルキルシリル、及びトリスアルキルシリルを含む。アルキルシリルの例としては、トリメチルシリル、ジメチルシリル、メチルシリル、トリエチルシリル、ジエチルシリル、及びエチルシリルが挙げられる。
「アルキルアミノ」という用語は、本明細書で使用するとき、NR2基を指し、式中、少なくとも1つのRはアルキルであり、各Rは、独立して、H及びアルキルから選択される。アルキルアミノ置換基の例としては、ジメチルアミノ置換基及ジエチルアミノ置換基が挙げられる。
「アルコキシ」という用語は、OR基を指し、式中、Rはアルキルである。アルコキシ基の例としては、メトキシ基、エトキシ基、プロポキシ基が挙げられる。
「独立して、選択される」という用語は、複数のR基を含有する分子におけるR置換基の選択に言及するとき、分子の異なる原子におけるR置換基の選択は独立していること、及び複数のR置換基を有する1つの原子におけるR置換基の選択も独立していることを意味する。
モリブデン金属皮膜は半導体加工に広く使われておらず、その理由は、少なくとも一部には、モリブデンが炭素、窒素、及び酸素に対して高い親和性を持つことにより、不純物の混入レベルが低くその結果抵抗が低い、モリブデン金属を得ることが困難になるからである。多くの一般的なモリブデン堆積前駆体は、熱安定性が低く、その結果、高アスペクト比のフィーチャにおいてステップカバレッジが不十分となる。モリブデン-炭素結合を有する既存の有機金属モリブデン前駆体は、堆積モリブデンに高レベルの炭素混入をもたらし、高抵抗を招き得る。例えば、Mo(CO)6のようなモリブデンカルボニル化合物の使用は、モリブデンがCO解離の触媒として作用することから、成長中のモリブデン金属皮膜に大量の炭素(例えば、少なくとも5原子%)が混入する原因となり得る。ハロゲン化モリブデンにはこの問題がないが、既存のハライドベースのモリブデン含有堆積前駆体は、多数の他の望ましくない特性を有する。例えば、モリブデンが露出したフッ素非耐性(fluorine-susceptible)層(例えば、酸化ケイ素ベースの誘電体などのケイ素含有材料)を含有する基板上にモリブデンを堆積する場合、六フッ化モリブデン(MoF6)は、フッ素バリア及びエッチング停止層を必要とする。五塩化モリブデン(MoCl5)は、もう1つの従来の前駆体で、融点が高く、成長中のモリブデン皮膜のエッチングを誘発する場合があるなど、多数の欠点を有する。モリブデンオキシクロリドMoOCl4及びMoO2Cl2のように、ハライド配位子をオキソ配位子と交換することでホモレプティックなハライド前駆体を改質すると、揮発性が増大するが、これらの前駆体は強力なモリブデン-酸素二重結合により、純粋なモリブデン金属へと還元することが困難である。更に、MoCl5、MoOCl4、及びMoO2Cl2は全て高融点腐食性固体であり、大量製造において望ましくない。
本明細書で提供するモリブデン前駆体及び堆積方法は、これらの欠点の1つ以上を克服できる。いくつかの実施形態では、高純度モリブデン金属皮膜は、提供される前駆体及び方法を使用して堆積され、ここで、堆積された高純度モリブデン金属皮膜は、モリブデンから本質的になり、かつ約5原子%未満の他の元素、例えば約3原子%未満の他の元素、又は約1原子%未満の他の元素を有する(水素はこの計算に含まれない)。いくつかの実施形態では、100Åの皮膜厚さに対して約50μΩ・cm未満、例えば約30μΩ・cm未満の抵抗率を有するモリブデン金属皮膜が提供される。いくつかの実施形態では、特にフッ素を含まない前駆体を使用した場合、露出したフッ素感受性材料を含有する基板に、モリブデン金属を直接堆積でき、エッチング停止層で基板を保護する必要がない。例えば、露出した酸化ケイ素ベースの誘電体、窒化ケイ素及び炭化ケイ素などのケイ素含有誘電体、又は非晶質若しくは結晶性のケイ素又はシリコンゲルマニウムを含有する基材に、モリブデン金属又は他のモリブデン含有皮膜を堆積することができる。酸化ケイ素ベースの誘電体は、本明細書で使用するとき、ケイ素-酸素結合を含有する誘電体を指し、熱成長した酸化ケイ素、シリケート、TEOS(オルトケイ酸テトラエチル)が堆積された酸化物などが含まれる。
本明細書で提供される前駆体は、モリブデン金属へと容易に還元できるように、且つ好ましくはモリブデン-酸素二重結合を含まないように、選択される。更に、前駆体は、気化し易く、標的温度及び圧力で安定である。例えば、いくつかの実施形態では、前駆体は、約450℃未満、例えば約400℃未満の温度で堆積反応に使用される。
適切な揮発性を維持するため、本明細書に記載される多数の実施形態において、約450g/モル未満、例えば約400g/モル未満の分子量を有する前駆体が選択される。
概して、モリブデン前駆体は、0~+6の広範囲の酸化状態のモリブデンを含み得る。いくつかの実施形態では、+3、+4及び+5の低酸化状態のモリブデンを有するモリブデン化合物が好ましい。
ハライド含有ヘテロレプティックモリブデン化合物
一態様では、ハライド含有ヘテロレプティックモリブデン化合物(すなわち、異なる種類の配位子を有する化合物)を、モリブデン含有皮膜の堆積のため、例えばモリブデン金属、窒化モリブデン又は炭化モリブデンの堆積のための前駆体として使用する。一実施形態において、前駆体は、モリブデンと、モリブデンとの結合を形成する少なくとも1つのハライドと、N、O、及びS元素(これらの元素のいずれかの原子はモリブデンとの結合を形成する)のいずれかを有する少なくとも1つの有機配位子とを含む化合物である。窒素又は酸素結合を提供する好適な有機配位子の例としては、アミジネート、アミデート、イミノピロリジネート、ジアザジエン、β-イミノアミド、α-イミノアルコキシド、β-アミノアルコキシド、β-ジケチミネート、β-ケトイミネート、β-ジケトネート、アミン、及びピラゾレートが挙げられる。硫黄結合を提供する好適な有機配位子の例としては、チオエーテル、チオレート、ジチオレン、ジチオレート、及びα-イミノチオレンが挙げられる。これらの配位子は、置換でも非置換でもよい。いくつかの実施形態では、これらの配位子は、独立して、H、アルキル、フルオロアルキル、アルキルシリル、アルキルアミノ、及びアルコキシ置換基からなる群から選択される1つ以上の置換基を含む。有機配位子は、中性又はアニオン性(例えば、モノアニオン性又はジアニオン性)であることができ、モリブデンは、+1、+2、+3、+4、+5、及び+6などの様々な酸化状態をとり得る。
好適なN及び/又はO含有有機配位子の構造の例1~17を図1に示し、例示的な好適なS含有有機配位子の構造18~26を図2に示す。ここで各Rは、独立して、H、アルキル、フルオロアルキル、アルキルシリル、アルキルアミノ、及びアルコキシから選択される。いくつかの実施形態では、各Rは、独立して、H、アルキル、及びフルオロアルキルから選択される。いくつかの実施形態では、各Rは、独立して、H、メチル、エチル、n-プロピル、イソプロピル、イソブチル、n-ブチル、sec-ブチル、t-ブチル、ペンチル、へキシル、シクロプロピル、シクロブチル、シクロペンチル、シクロヘキシル、シクロプロピルメチル、シクロプロピルエチル、シクロプロピルプロピル、シクロブチルメチル、及びシクロブチルエチルから選択される。いくつかの実施形態では、各Rは、独立して選択されたアルキルである。いくつかの実施形態では、イソプロピル、及びイソブチルのような分岐状アルキル置換基を有する配位子が好ましく、その理由は、かかる配位子は、より揮発性の高いモリブデン前駆体を提供するからである。
いくつかの実施形態では、前駆体中の少なくとも1つの有機配位子は、アミンである。好適なアミンとしては、単座アミン(例えば、モノアルキルアミン、ジアルキルアミン)、二座アミン(例えば、非置換又はN-アルキル置換エチレンジアミン)、及び更に高い配位座数のアミン(例えば、置換又は非置換ジエチレントリアミン)が挙げられる。単座アミンの例は、図1に示すアミン1であり、式中、少なくとも1つのRは、アルキル又はフルオロアルキルであり、各Rは、独立して、H、アルキル、及びフルオロアルキルからなる群から選択される。いくつかの実施形態では、少なくとも1つのRはアルキルであり、各Rは、独立してH、及びアルキルから選択される。いくつかの実施形態では、少なくとも1つの有機配位子は、アミド、例えばモノアニオン性アミド16であり、式中、少なくとも1つのRはアルキル又はフルオロアルキルであり、各Rは、独立して、H、アルキル、及びフルオロアルキルから選択される。いくつかの実施形態では、少なくとも1つの有機配位子はイミド、例えばジアニオン性イミド17であり、式中、Rは、アルキル又はフルオロアルキルである。一般的に、イミド含有前駆体を種々のモリブデン含有皮膜(モリブデン金属を含む)の堆積に使用できるが、いくつかの実施形態では、前記前駆体は、強力なモリブデン-窒素結合を形成し、得られる皮膜の窒素源として機能することから、窒化モリブデン及び炭窒化モリブデンの堆積に、より好ましい。いくつかの実施形態では、前駆体中の少なくとも1つの有機配位子はアミジネートである。アミジネートの例は図1に示すアミジネート2であり、式中、各Rは、独立してH、アルキル、及びフルオロアルキルから選択される。アミジネート2は、2つのモリブデン-窒素結合を形成でき、二座配位子として機能するモノアニオン性配位子である。
いくつかの実施形態では、前駆体中の少なくとも1つの有機配位子は、アミデートである。アミデートの例は図1に示すアミデート3であり、式中、各Rは、独立してH、アルキル、及びフルオロアルキルから選択される。アミデート3は、1つのモリブデン-窒素結合と1つのモリブデン-酸素結合とを形成でき、二座配位子として機能するモノアニオン性配位子である。
いくつかの実施形態では、前駆体中の少なくとも1つの有機配位子は、ジアザエンである。ジアザジエンの例は、1,4-ジアザブタ-1,3-ジエン(DAD)5、6、及び7であり、式中、各Rは、独立して、H、アルキル、及びフルオロアルキルから選択される。この配位子の興味深い特性は、中性形態5、モノアニオン性ラジカル形態6、及びジアニオン性形態7で存在し得ることである。モノアニオン性(ラジカル)形態6は、そのレドックス活性により、堆積中に比較的容易に除去できることから、DAD6の錯体はモリブデン金属及び高純度モリブデン金属の堆積に特に有用である。DAD配位子5、6、及び7は、二座配位子として機能し、各々2つのモリブデン-窒素結合を形成できる。いくつかの実施形態では、モリブデン前駆体はDAD配位子5、6、又は7を有機配位子として含み、式中、各Rは、独立して、メチル、エチル、プロピル、イソプロピル、n-ブチル、イソブチル、sec-ブチル及びt-ブチルから選択される。
いくつかの実施形態では、少なくとも1つの有機前駆体は、イミノピロリジネート(例えば、イミノピロリジネート4、式中、各Rは、独立して、H、アルキル、及びフルオロアルキルから選択される)、β-イミノアミド(例えば、β-イミノアミド8、式中、各Rは、独立して、H、アルキル、及びフルオロアルキルから選択される)、α-イミノアルコキシド(例えば、α-イミノアルコキシド9、式中、各Rは、独立して、H、アルキル、及びフルオロアルキルから選択される)、β-ジケチミネート(例えば、β-ジケチミネート10、式中、各Rは、独立して、H、アルキル、及びフルオロアルキルから選択される。)、β-ケトイミネート(例えばβ-ケトイミネート11、式中、各Rは、独立して、H、アルキル、及びフルオロアルキルから選択される)、β-ジケトネート12(例えば、β-ジケトネート12、式中、各Rは、独立して、H、アルキル、及びフルオロアルキルから選択される)、ピラゾレート(例えば、ピラゾレート13、式中、各Rは、独立して、H、アルキル、及びフルオロアルキルから選択される)、β-アミノアルコキシド(例えば、β-アミノアルコキシド14、式中、各Rは、独立して、H、アルキル、及びフルオロアルキルから選択される)、又はグアニジネート15(例えばグアニジネート15、式中、各Rは、独立して、H、アルキル、及びフルオロアルキルから選択される)である。これらは、二座でモリブデンに結合する能力があるモノアニオン性配位子である。
いくつかの実施形態では、少なくとも1つの有機前駆体は、モリブデン-硫黄結合を形成する能力がある硫黄含有配位子である。いくつかの実施形態では、前駆体中の少なくとも1つの有機配位子は、チオエーテルである。「チオエーテル」という用語は、本明細書で使用されるとき、広義には、単座及び多座(例えば、二座又は三座)の両方のチオエーテルに加え、チオエーテル及びチオレート(又はその他)の両方の部分を含有する配位子を含む。単座チオエーテルの例は、ジアルキルスルフィドR2S(式中、各Rは、アルキルである)で、ジメチルスルフィド、ジエチルスルフィド、ジイソブチルスルフィドなどである。チオレート部分も有する多座チオエーテル配位子の例としては、(SCH2CH2SCH2CH2S)2-が挙げられる。単座チオエーテルの例は、図2に示すチオエーテル18であり、式中、各Rは、独立して、アルキル、及びフルオロアルキルからなる群から選択される。いくつかの実施形態では、各Rは、独立して、メチル、エチル、n-プロピル、イソプロピル、n-ブチル、イソブチル、sec-ブチル、及びt-ブチルからなる群から選択される。いくつかの実施形態では、少なくとも1つの有機配位子は、チオレート、例えばモノアニオン性チオレート19であり、式中、Rは、アルキル又はフルオロアルキルである。例えば、Rは、メチル、エチル、n-プロピル、イソプロピル、n-ブチル、sec-ブチル、イソブチル、又はt-ブチルであることができる。いくつかの実施形態では、チオレートは、ジチオレート、例えばジアニオン性α-ジチオレート24(式中、各Rは、独立して、H、アルキル、及びフルオロアルキルから選択される)又はジアニオン性β-ジチオレート25(式中、各Rは、独立して、H、アルキル、及びフルオロアルキルから選択される)である。ジチオレートは、モリブデンとのモリブデン-硫黄結合を2つ形成できる。
いくつかの実施形態では、前駆体中の少なくとも1つの有機配位子は、ジチオレンである。ジチオレンの例は、構造20、21、及び22であり、式中、各Rは、独立して、H、アルキル、及びフルオロアルキルから選択される。この配位子(DADに類似)は、中性形態20、モノアニオン性ラジカル形態21、及びジアニオン性形態22で存在し得る。モノアニオン性ラジカル形態21は、そのレドックス活性により、堆積及びモリブデン前駆体の還元中に比較的容易に除去できることから、ジチオレン21の錯体はモリブデン金属及び高純度モリブデン金属の堆積に特に有用である。ジチオレン配位子20、21、及び22は、二座配位子として機能し、各々2つのモリブデン-硫黄結合を形成する能力がある。いくつかの実施形態では、モリブデン前駆体は、ジチオレン配位子20、21、及び/又は22を有機配位子として含み、式中、各Rは、独立して、メチル、エチル、プロピル、イソプロピル、n-ブチル、sec-ブチル、イソブチル及びt-ブチルから選択される。
いくつかの実施形態では、前駆体中の少なくとも1つの有機配位子は、構造23(式中、各Rは、独立して、H、アルキル、及びフルオロアルキルから選択される)のようなα-イミノチオレンである。いくつかの実施形態では、炭素原子における各R置換基は、独立して、H、アルキル、フルオロアルキル、アルキルシリル、アルキルアミノ、及びアルコキシ置換基から選択されるが、窒素におけるR置換基は、独立して、アルキル及びフルオロアルキルから選択される。いくつかの実施形態では、窒素におけるR置換基は、独立して、メチル、エチル、プロピル、イソプロピル、n-ブチル、sec-ブチル、イソブチル及びt-ブチルから選択される。この配位子(DAD、及びジチオレンに類似)は、構造23に示すようにモノアニオン性ラジカル形態を有し、レドックス活性であり、還元プロセス中に容易に除去可能である。
いくつかの実施形態では、モリブデン含有前駆体は、好ましくはモリブデン-酸素又はモリブデン-窒素二重結合を含まない。いくつかの実施形態では、モリブデン化合物はイミド配位子とグアニジネート配位子とを同時には含まない。いくつかの実施形態では、モリブデン化合は、イミド配位子又はグアニジネート配位子を含まない。いくつかの実施形態では、モリブデン化合物は、CO、アルキル、又はシクロペンタジエニル配位子を含まない。
いくつかの実施形態では、前駆体は、式Mo(X)m(L)nを有する化合物であり、式中、mは1~4であり、nは1~3であり、各Xは、独立して、F、Cl、Br、及びIから選択されるハライドであり、各Lは、上記の有機配位子、例えば、独立して、アミジネート、アミデート、イミノピロリジネート、ジアザジエン、β-イミノアミド、α-イミノアルコキシド、β-アミノアルコキシド、β-ジケチミネート、β-ケトイミネート、β-ジケトネート、アミン、及びピラゾレート、チオエーテル、チオレート、ジチオレン、ジチオレート、及びα-イミノチオレンから選択される配位子である。いくつかの実施形態では、名前を挙げた配位子において、各Rは、独立して、H、アルキル、及びフルオロアルキルから選択される。
いくつかの実施形態では、Lは二座配位子である。式Mo(L)Cl4の好適な前駆体であって、二座配位子を利用する前駆体の例を、図3に示す。これらはMo(V)化合物であって、アミジネートモリブデン錯体27、DAD錯体28、β-ジケチミネート錯体29、ピラゾレート錯体30、アミデート錯体31、β-イミノアミド錯体32、β-ケトイミネート錯体33、β-アミノアルコキシド錯体34、イミノピロリジネート錯体35、α-イミノアルコキシド錯体36、及びβ-ジケトネート錯体37を含む。
本明細書に記載のモリブデン-ハライド結合と有機配位子とを有するヘテロレプティック錯体は、モリブデンハライド出発物質と、中性又はアニオン性形態の有機配位子を含む化合物との反応を用いて合成できる。例えば、モリブデン(V)前駆体は、MoCl5を出発物質として使用して調製されてよい。Mo(III)前駆体は、MoX3(THF)3を出発物質として使用して調製されてよく、式中、Xは、クロリド、ブロミド、及びヨージドから選択され、THFはテトラヒドロフランである。出発物質は、中性又はアニオン性形態の配位子(例えば、リチウム塩又はナトリウム塩などの塩)で処理されて、本明細書に記載のヘテロレプティック錯体を形成し得る。かかる錯体の調製に使用できる反応の例を、図4に示す。式1は、五塩化モリブデンとリチウムアミジネートとの反応を使用した、アミジナト-テトラクロロモリブデン(V)の調製を図示する。式2は、五塩化モリブデンと2当量のリチウムアミジネートとの反応を使用した、ビス-アミジナト-トリクロロモリブデン(V)の調製を図示する。モリブデン前駆体の化学量論(得られる前駆体中のハライド及び有機配位子の数)は、出発物質の化学量論比を制御することで制御できる。
反応は、種々の非プロトン性溶媒中で実施してよい。例えば、反応は、エーテル溶媒(テトラヒドロフラン、2-メチルテトラヒドロフラン、ジエチルエーテル、メチル-tert-ブチルエーテル、1,2-ジメトキシエタンなど)中、炭化水素溶媒(トルエン、ベンゼン、ヘプタン、ヘキサン、ペンタンなど)中、又はハロ炭素溶媒(クロロベンゼン、ジクロロベンゼン、フルオロベンゼン、ジフルオロベンゼン、ジクロロメタン、クロロホルムなど)中で実施してよい。反応は、溶媒の沸点及び生成物の溶解度に応じて、広い温度範囲で実施できる。いくつかの実施形態では、出発物質、反応中間体、及び所望の生成物は、水分及び酸素に対して不安定である。したがって、反応プロセスは、窒素又はアルゴンなどの保護用不活性ガスを使用して、無水かつ無空気条件を用いて実施すべきである。
本明細書に記載のモリブデン-ハライド結合と有機配位子とを含有するヘテロレプティックモリブデン化合物は、有利には、CVD及びALD堆積において高純度モリブデン金属を提供し得る。更に、これらの化合物の使用は、従来のホモプレティックモリブデンハライドと比べて、基板材料のエッチング低減を伴い得る。これらの利点は、例示目的で記載されており、これらの化合物の使用を、モリブデン金属堆積又はエッチング感受性基板上への堆積のみに限定するものではない。
いくつかの実施形態では、フッ素感受性材料(例えば、ケイ素含有材料)への堆積を実施する場合、前駆体は、フッ素を含まないように、例えばCl、Br、及びIのいずれかを錯体中のハライドとして含むように、選択される。更に、これらの実施形態では、フルオロアルキル置換基を有する化合物の使用を避けてよい。
硫黄含有モリブデン化合物
一態様では、硫黄含有モリブデン化合物を、モリブデン含有皮膜の堆積のための、例えばモリブデン金属、硫化モリブデン、窒化モリブデン又は炭化モリブデンの堆積のための前駆体として使用する。いくつかの実施形態では、モリブデン化合物は、モリブデンと、モリブデン-硫黄結合を提供する少なくとも1つの硫黄含有配位子とを含む。硫黄不純物は、酸素、炭素、及び窒素不純物と比べて除去し易いことから、硫黄含有配位子をベースとするモリブデン前駆体を使用して、不純物を実質的に含まないモリブデン含有皮膜を堆積できる。いくつかの実施形態では、モリブデン化合物は、モリブデン-炭素結合を含まず、及び/又はモリブデン-酸素二重結合を含まない。いくつかの実施形態では、モリブデン化合物は、モリブデン-窒素二重結合を含まない。いくつかの実施形態では、提供されたモリブデン前駆体において、モリブデンは、硫黄原子のみと結合を形成する。
硫黄結合を提供する好適な硫黄含有配位子の例としては、チオエーテル、チオレート、ジチオレン、ジチオレート、チオカルバメート、及びα-イミノチオレンが挙げられる。配位子は、独立して、H、アルキル、フルオロアルキル、アルキルシリル、アルキルアミノ、及びアルコキシ置換基からなる群から選択される1つ以上の置換基を含み得る。配位子は、中性又はアニオン性(例えば、モノアニオン性又はジアニオン性)であることができ、モリブデンは、0、+1、+2、+3、+4、+5、及び+6などの様々な酸化状態をとり得る。
いくつかの実施形態では、硫黄含有配位子は、図2に示す配位子18~25であり、式中、R置換基は前述のとおりである。好適なモリブデン前駆体の例としては、モリブデンチオレートMo(SR)4が挙げられ、式中、Rは、アルキル(例えば、メチル、エチルプロピル、ブチル)である。1つの具体例では、前駆体は、テトラキス(tert-ブチルチオラト)モリブデン(IV):Mo(SR)4であり、式中、Rは、t-ブチルである。好適なモリブデン前駆体の別の例は、モリブデンチオカルバメート、例えばテトラキス(ジエチルジチオカルバメート)モリブデン(IV):
Figure 2022524041000007
であり、式中、各Rは、独立して、アルキル(例えば、エチル、メチル、プロピル、ブチル)、及びフルオロアルキル(例えば、CF3)から選択される。1つの具体例では、前駆体は、テトラキス(ジエチルジチオカルバマト)モリブデン(IV)である。
いくつかの実施形態では、モリブデンのジチオレン錯体が提供され、ここで、ジチオレンは、中性形態20、アニオンラジカル形態21、及びジアニオン性形態22であり、式中、各Rは、独立して、H、アルキル又はフルオロアルキルである。
ジチオレン錯体は、レドックス活性であり、様々な酸化状態でモリブデンを支持し得る。ジチオレン配位子20、21、及び22のレドックス反応を式3に示す。
Figure 2022524041000008
1つの実施態様では、前駆体はMo(21)3であり、ここで、21の各Rは、独立して、H、アルキル、及びフルオロアルキルから選択される。例えば、Rは、メチル、エチル、CF3などであってよい。これは、モリブデン-硫黄結合のみを含有するホモレプティックMo(III)化合物である。
いくつかの実施形態では、配位子は、硫黄結合に加えて、窒素結合を提供してよい。かかる配位子の一例は、チオレンと同様の挙動を示し得るレドックス活性ラジカルアニオン配位子である、α-イミノチオレン23である。いくつかの実施形態では、前駆体は、Mo(III)化合物Mo(23)3であり、ここで、化合物23中の各Rは、独立して、H、アルキル、及びフルオロアルキルから選択される。
いくつかの実施形態では、前駆体は、MoLn化合物であり、式中、nは2~6であり、Lは硫黄含有配位子、例えば本明細書に記載の硫黄含有配位子のいずれかである。いくつかの実施形態では、各Lは、同一の硫黄含有配位子である。他の実施形態では、前駆体は、異なる硫黄含有配位子Lを含んでよい。前駆体の例としては、Mo(19)2、Mo(19)3、Mo(19)4、Mo(19)5、Mo(19)6、Mo(19)2(18)2、Mo(19)3(18)、Mo(19)4(18)2、Mo(21)3、Mo(20)(21)2、Mo(22)3、Mo(21)(22)2、Mo(20)(22)2、Mo(23)3、Mo(24)3、Mo(25)3が挙げられる。本明細書に記載の硫黄含有モリブデン化合物は、モリブデンハライド出発物質と、中性又はアニオン性形態の有機硫黄含有配位子を含む化合物との反応を用いて合成できる。例えば、モリブデン(V)前駆体は、MoCl5を出発物質として使用して調製されてよい。Mo(III)又はMo(IV)前駆体は、対応するハライド又はMoX3(L)3又はMoX4(L)2を出発物質として使用して調製されてもよく、式中、Xは、クロリド、ブロミド、及びヨージドから選択される、Lは、テトラヒドロフラン又はジエチルエーテルのような中性ルイス塩基である。出発物質は、中性又はアニオン性形態の所望の硫黄含有配位子(例えば、リチウム塩又はナトリウム塩などの塩)で処理されて、本明細書に記載の硫黄含有錯体を形成し得る。
一例では、Mo(IV)チオラト錯体は、四塩化モリブデンとリチウムチオレートとを反応させることで調製される。例えば、MoCl4を、1,2-ジメトキシエタン(dimethoxythane)溶媒中でt-BuSLiと反応させて、Mo(t-BuS)4化合物を形成できる。
α-イミノチオレン配位子は、対応するα-イミノケトンから、ローソン試薬などの好適な試薬を用いたチオネート化によって形成できる。ラジカルアニオン性形態のα-イミノチオレンは、その後、リチウムなどのアルカリ金属で処理することによって調製できる。得られた配位子及び配位子塩をモリブデンハライドと反応させて、α-イミノチオレン含有モリブデン化合物を形成できる。
モリブデン錯体は、モリブデンヘキサカルボニルのような、ゼロ価の出発物質を使用して調製することもできる。出発物質を、チオエーテル(ジアルキルスルフィド)などの中性配位子で処理して、レドックス中性配位子交換を誘発することができる。ゼロ価の出発物質を、配位子前駆、例えばビス(ジエチルチオカルバモイル)ジスルフィド又はビス(トリフルオロメチル)-1,2-ジチエトで処理して、酸化的付加を誘発し、本明細書に記載の硫黄含有錯体を形成することもできる。
反応は、種々の非プロトン性溶媒中で実施してよい。例えば、反応は、エーテル溶媒(テトラヒドロフラン、2-メチルテトラヒドロフラン、ジエチルエーテル、メチル-tert-ブチルエーテル、1,2-ジメトキシエタンなど)中、炭化水素溶媒(トルエン、ベンゼン、ヘプタン、ヘキサン、ペンタンなど)中、又はハロ炭素溶媒(クロロベンゼン、ジクロロベンゼン、フルオロベンゼン、ジフルオロベンゼン、ジクロロメタン、クロロホルムなど)中で実施してよい。反応は、溶媒の沸点及び生成物の溶解度に応じて、広い温度範囲で実施できる。いくつかの実施形態では、出発物質、反応中間体、及び所望の生成物は、水分及び酸素に対して不安定である。したがって、反応プロセスは、窒素又はアルゴンなどの保護用不活性ガスを使用して、無水かつ無空気条件を用いて実施すべきである。
1,4-ジアザブタジエン(DAD)含有前駆体
別の態様では、DAD含有モリブデン前駆体が提供される。DADは、その中性形態5、ラジカル-アニオン性形態6、及びジアニオン性形態7において、モリブデンに結合できる。いくつかの実施形態では、式Mo(DAD)mのホモレプティックDAD錯体が提供され、式中、mは1~3であり、各DADは、独立して、中性DAD5、ラジカルアニオン性DAD6、及びジアニオン性DAD7から選択される。これらの錯体におけるモリブデンの酸化状態は、0~+6の範囲をとり得る。好適なホモレプティックDAD錯体の非限定例としては、トリス-DAD Mo(III)前駆体Mo(6)3、ビス-DAD Mo(IV)前駆体(7)2、ビス-DAD Mo(III)前駆体Mo(6)(7)、及びビス-DAD Mo(II)前駆体Mo(6)2が挙げられる。
いくつかの実施形態では、ホモレプティックDAD錯体(すなわち、同一の配位子を有する化合物)は、必要な電子配置におけるモリブデンハライドとDAD配位子源との間の反応を用いて調製される。例えば、トリス-DAD Mo(III)前駆体Mo(6)3は、MoCl3を、3当量のDAD配位子由来ラジカルアニオンと反応させることで合成でき、式4に示すように、溶媒(例えばTHF)中で、アルカリ金属(例えばリチウム)で処理することで、中性形態のDAD配位子から調製できる。
Figure 2022524041000009
いくつかの実施形態では、ヘテロレプティックDAD含有モリブデン化合物が提供される。いくつかの実施態様では、前駆体は、モリブデンと、モリブデンに結合した少なくとも1つのDAD配位子と、少なくとも1つの第2の配位子とを含み、ここでDADは、中性DAD6、ラジカルアニオン性DAD7、又はジアニオン性DAD8であってもよく、第2の配位子は、独立して、アニオン性配位子及び中性配位子から選択される。いくつかの実施形態では、前駆体は、CO配位子を唯一の第2の配位子として含有しない。いくつかの実施形態では、前駆体は、Mo(DAD)m(L)n(X)pであり、式中、Lは、中性ルイス塩基配位子であり、各Lは、独立して、CO、アミン、ホスフィン、チオエーテル、ニトリル、及びイソニトリルから選択され、Xはアニオン性配位子であり、各Xは、独立して、ハライド、アルキル、アリル、及びシクロペンタジエニルから選択され、mは1~3であり、nは0~4であり、pは0~4である。ニトリルは、RCN化合物であり、式中、Rはアルキルである。イソニトリルは、RNC化合物であり、式中、Rはアルキルである。その他の好適なアニオン性配位子としては、アルコキシド、アミド、イミド、並びにC、N、O、B、S、Si、Al、及びPから選択される供与原子を含む任意の他のアニオン性配位子が挙げられる。
ヘテロレプティックDAD含有前駆体としては、限定するものではないが、Mo(7)2(RCN)Cl、Mo(7)2(RNC)Cl、Mo(8)(CO)3、Mo(6)(13)Cl、Mo(6)(18)Cl2、Mo(6)2Cl、Mo(6)2(14)、Mo(6)2(19)、Mo(6)2(24)が挙げられる。
ヘテロレプティックDAD含有前駆体は、ワンポットでの、又は複数のステップを用いた、逐次的な塩メタセシス反応によって調製できる。モリブデンハライド出発物質、例えばMo(V)、Mo(IV)、又はMo(III)ハライドは、アニオン性形態のDAD配位子又は他のアニオン性配位子で処理できる。中性ルイス塩基配位子は、熱処理又は光励起を用いて交換できる。
ヘテロレプティックDAD含有前駆体は、モリブデンヘキサカルボニルなどのゼロ価モリブデン出発物質を用いても調製でき、これはレドックス活性配位子、例えばDAD配位子との酸化的付加を起こし得る。
いくつかの実施形態では、ラジカルアニオン性DAD配位子8を含有する前駆体は、モリブデン金属及び高純度モリブデン金属の堆積に特に好ましい。ラジカルアニオン性形態7において、DAD配位子は、空のモリブデンd軌道に電子的にカップリングし、モリブデンイオンをゼロ価の金属状態まで還元する電子源として機能すると考えられる。配位子から金属への電子移動の後、揮発性の中性DAD配位子6を、モリブデン金属成長面からパージにより除去できる。DAD配位子は成長面から無傷で除去できることから、C及びNなどの不純物元素の混入は、DAD前駆体を使用した場合、他の金属有機前駆体と比較して、低減される。したがって、ラジカルアニオン性DAD配位子を含有するモリブデン前駆体を、高純度モリブデン金属を低温で堆積するために使用できる。
ジモリブデン前駆体
別の態様では、モリブデン含有皮膜の堆積のための前駆体は、モリブデン-モリブデン結合(例えば、二重結合、又は結合次数2~5の任意の多重結合などの複数のモリブデン-モリブデン結合)を含有するジモリブデン化合物である。かかる化合物を金属モリブデンに還元することは、多くの単核モリブデン化合物よりも容易であることから、かかる前駆体は、モリブデン金属及び高純度モリブデン金属の堆積に特に有用である。
いくつかの実施形態では、モリブデン含有皮膜の堆積のための前駆体が提供され、前記前駆体はMo2nであり、式中、各Lは、独立して、アミデート、アミジネート、及びグアニジネート配位子から選択され、nは2~5であり、前記前駆体は複数のモリブデン-モリブデン結合を含む。いくつかの実施形態では、各Lは、独立して、アミジネート配位子2、アミデート配位子3、及びグアニジネート配位子15から選択され、式中、アミジネート、アミデート、及びグアニジネート中の各Rは、独立して、H、アルキル、フルオロアルキル、アルキルシリル、アルキルアミノ、及びアルコキシ置換基から選択される。いくつかの実施形態では、各Rは、独立して、H、アルキル、及びフルオロアルキルから選択される。いくつかの実施形態では、各Lはアミジネートであり、前駆体は、式Mo2(L)3又はMo2(L)4を有する。いくつかの実施形態では、各Lはアミジネートであり、前駆体は、式Mo2(L)3又はMo2(L)4を有する。いくつかの実施形態では、各Lはグアニジネートであり、前駆体は式Mo2(L)3又はMo2(L)4を有する。これらの錯体において、モリブデンは、低酸化状態2+(Mo2(L)3)及び3+(Mo2(L)4)を有することから、これらの錯体は、モリブデン金属への簡易還元に特に好適となっている。
四重モリブデン-モリブデン結合を有するアミデートパドルホイール型ジ-Mo(II)前駆体の1つの例示的構造を、構造38に示す:
Figure 2022524041000010
いくつかの実施形態では、R及びR’の各々は、独立して、アルキル(例えばメチル、エチル、イソプロピル、及びt-ブチル)から選択される。いくつかの実施形態では、38の1、2、3、又は4つのアミデート配位子が、アミジネート配位子又はグアニジネート配位子で置換されてよい。
本明細書に記載のジモリブデン前駆体は、ジモリブデンテトラアセテートを出発物質として使用して、リチウムアミデートなどの配位子塩で処理することで合成できる。一態様では、本明細書に記載の前駆体のいずれかを固体又は液体形態で収容する容器が提供される。別の態様では、これらの前駆体のいずれかの溶液が提供され、その溶媒は、例えば、高級アルカンなどの高沸点炭化水素溶媒を含んでもよい。いくつかの実施形態では、モリブデン前駆体(固体若しくは液体形態又は溶液中)を保持する容器に、窒素(N2)、又はアルゴン(Ar)などの不活性ガスを充填して、前駆体の空気への接触、並びに水分及び/又は空気への接触によって起こり得る分解を防止する。いくつかの実施形態では、容器は、容器内側の前駆体の気化に適応されている。例えば、容器は、入口と出口とを含んでもよく、入口は前駆体の上又は中を流れ得るキャリアガス源と接続し、それによって前駆体の気化を補佐するように適応されている。出口は、キャリアガス及び前駆体蒸気を容器から除去するように適応されており、前駆体蒸気をプロセスチャンバに供給するために使用できる導管に接続するように構成されている。入口及び出口は各々閉位置と開位置とを有しており、例えば、閉位置から開位置へ切り替え、また戻すために使用できる手動バルブを含むことができる。容器が保管又は輸送されるときは、入口及び出口が閉鎖される。容器が使用のために堆積装置に取り付けられるとき、入口バルブ及び出口バルブは開放されてもよく、キャリアガスが入口から流入し、出口から出て、前駆体蒸気を搬送してよい。いくつかの実施形態では、容器はフローオーバー設計を有し、入口と出口が前駆体表面の上方に位置付けられている。例えば、円筒形の容器では、入口と出口がほぼ同じ垂直高さに位置付けられてよい(例えば、入口と出口との間の垂直距離は、円筒の高さの約20%未満であってよい)。他の実施形態では、容器はバブラー設計を有し、入口は前駆体表面よりも下に位置付けられ、出口は入口の上方(例えば、前駆体表面の上方)に位置付けられている。例えば、円筒形容器において、入口と出口は、互いに垂直方向に離れて位置付けられてよい(例えば、入口と出口との間の垂直距離は、円筒高さの約30%超、例えば約50%超、又は約80%超であってよい)。
モリブデン含有材料の堆積
モリブデン含有材料は、本明細書に記載の前駆体を用いて、CVD(熱CVD及びPECVDを含む)、及びALD(熱ALD及びPEALDを含む)などの種々の堆積法によって堆積できる。例示的方法を、図4Aに示すプロセスフロー図によって説明する。プロセスは、401において、本明細書に記載のモリブデン前駆体を、半導体基板を収容したプロセスチャンバ内に導入することによって始まる。前駆体は、気化形態で、アルゴン、ヘリウム、又は窒素(N2)などの不活性ガスの流れで導入され得る。操作403(モリブデン含有前駆体401の導入前、導入後、又は導入中に起こり得る)において、第2の反応物が、基板を収容したプロセスチャンバに導入される。いくつかの実施形態では、モリブデン前駆体の導入と、第2の反応物の導入とは、逐次的である。第2の反応物の化学的性質は、標的モリブデン含有皮膜の化学的性質に依存する。例えば、モリブデン金属の堆積の場合、第2の反応物は、典型的には還元性反応物(プラズマ中で活性化すると還元環境を形成する反応物を含む)である。モリブデン金属の形成に好適な反応物の例としては、水素(H2)、アンモニア(NH3)、ヒドラジン(N24)、アミン、ジボラン(B26)、シラン(SiH4)、ジシラン(Si26)、アルコール、硫化水素(H2S)、又はチオールが挙げられる。いくつかの実施形態では、H2が、モリブデン金属の堆積に好ましい還元性反応物である。いくつかの実施形態では、モリブデン含有前駆体は、数種の反応物と逐次的に反応する。例えば、いくつかの実施形態では、モリブデン金属は、モリブデン含有前駆体の層を基板上に吸着し、それを第2の反応物と反応させ、次いでそれを第3の反応物と反応させることで堆積される。一実施態様では、モリブデン含有前駆体(第1の反応物)を、最初に、水、H2S、及びチオール(第2の反応物)のうちの少なくとも1つと反応させる。次に、反応した前駆体を含有する基板を、水素(H2)(第3の反応物)で処理する。いくつかの実施形態では、反応物の各々を導入した後、プロセスチャンバをパージ及び/又は排気する。いくつかの実施形態では、第1の反応物(例えば、水、H2S、又はチオール)は、モリブデン前駆体からの配位子の除去を促進するように選択されるのに対し、第3の反応物(例えば、H2)は、配位子の残部(例えば、C、N、S、O-含有不純物)を基板の表面から除去するように、及び/又はモリブデンをゼロ酸化状態に還元して高純度モリブデン金属を形成するように、選択される。
モリブデン金属以外のモリブデン含有皮膜が堆積される場合、第2の反応物は、それがモリブデン含有皮膜の必要元素の供給源として機能するように選択できるが、いくつかの実施形態では、これらの元素は配位子のみから供給されてよい。プロセス条件(例えば温度、圧力、反応物流量、及び任意選択でプラズマ出力)は、特定の皮膜の化学的性質に有利となるように調節できる。例えば、ボラン及びシラン反応物を使用して、ホウ化モリブデン又はケイ化モリブデン含有材料を堆積できるのに対し、いくつかの実施形態では、異なる条件下で、これらの反応物を、単独で又は他の反応物(例えば、H2)と組合せて、モリブデン金属を生じてよい。窒化モリブデンの堆積のために、窒素を含有する第2の反応物がいくつかの実施形態で選択されてもよく、プロセス条件は、皮膜への窒素の包含を可能にするように調節できる。例えば、NH3、N24、N2、アルキルアミン、及びアルキルヒドラジンを、窒化モリブデンの堆積のための第2の反応物として使用してよい。炭化モリブデンの堆積のために、炭素を含有する第2の反応物が使用されてもよく、プロセス条件は、皮膜への炭素の包含を可能にするように調節できる。例えば、炭化水素を、第2の反応物として導入して、炭化モリブデンを形成してよい。炭窒化モリブデン皮膜は、窒素含有反応物及び/又は炭素含有反応物を導入することによって形成できる。いくつかの実施形態では、モリブデン前駆体の窒素含有配位子及び炭素含有配位子は、窒素及び/又は炭素の供給源として機能できる。酸化モリブデン皮膜は、酸素を含有する第2の反応物、例えば、O2、O3、N2O、CO2などを導入することによって形成できる。第2の反応物は、N2、アルゴン、又はヘリウムなどの不活性キャリアガスとの混合物で、プロセスチャンバに導入されてよい。硫化モリブデン皮膜は、硫黄含有反応物を使用して、又は硫黄含有モリブデン前駆体由来の硫黄が皮膜に包含されるようにプロセス条件を調節することによって、堆積できる。硫黄含有反応物としては、H2S、ジアルキルスルフィド、ジアルキルジスルフィド、及びチオール、例えばメタンチオール、tert-ブチルチオールが挙げられる。
いくつかの実施形態では、前駆体と第2の反応物とを、プロセスチャンバの本体内で混合させる。他の実施形態では、モリブデン前駆体が導入され、基板の表面に吸着された後、プロセスチャンバを不活性ガスでパージ及び/又は排気して、未吸着の前駆体をプロセスチャンバから除去する。いくつかの実施形態では、基板上の前駆体の層は、吸着制限層である。他の実施形態では、プロセスチャンバのパージ及び/又は排気の前に、より厚いモリブデン前駆体の層が基板の表面に形成し得る。前駆体及び第2の反応物が逐次的に導入されるとき、前駆体と第2の反応物の導入の順序は逆としてよいことに注意されたい。いくつかの実施形態では、第2の反応物が最初に導入されて、基板の表面に吸着される。次いで、プロセスチャンバをパージ及び/又は排気して、第2の反応物をプロセスチャンバから除去する。
操作405を参照すると、このモリブデン前駆体は、第2の反応物と反応してモリブデン含有材料の層を基板上に形成し、反応は基板の表面上及び/又はプロセスチャンバの本体内で起こり、任意選択でプラズマ支援される。例えば、CVDプロセスでは、前駆体は、プロセスチャンバ内で第2の反応物と反応してよい。反応は、熱的に実施されてよく、又はプラズマ活性化を用いて実施されてよい。ALDプロセスでは、反応は表面のみで起こり、表面上に吸着された物質の量によって(モリブデン前駆体の量によって、及び/又は吸着された第2の反応物の量によって)制限される。表面制限反応(例えば、ALD)では、プラズマ活性化も可能である。例えば、吸着されたモリブデン前駆体の層は、水素を含有する第2の反応物(例えば、H2、NH3、CO、アルコールなど)を含むガス中で生じたプラズマと反応して、モリブデン金属層を形成してよい。概して、プラズマは、基板を収容したプロセスチャンバ内で直接発生されてもよく(直接プラズマ)、又は別のコンパートメントで遠隔的に発生されて、得られたプラズマ活性化種がプロセスチャンバに供給されてよい(リモートプラズマ)。反応プロセスの間の温度は、例えば、約20~600℃であり得る。約450℃以下、例えば約400℃以下、例えば約100~400℃での低温堆積は、いくつかの実施形態で実施され、モリブデン金属の堆積に特に有利である。プロセスチャンバ内の圧力は、約0.1~100トル、例えばPEALD法では約0.5~5トル、熱ALDでは1~60トルであり得る。
反応完了後、操作407に示すように、形成されたモリブデン含有層を任意選択でアニールすることができる。アニール処理は、温度を上昇させることで実施できる。例えば、反応が比較的低温、例えば、約450℃未満、約400℃未満などの温度で実施される場合、アニール中に、温度を50~200℃上昇することができる。いくつかの実施形態では、アニール処理は約300~500℃の温度で実施される。
モリブデン金属皮膜を形成するための表面ベースの堆積プロセスの一例を、図4Bに示すプロセスフロー図で説明する。操作411では、モリブデン前駆体の層及び/又は還元性反応物の層が、基板の表面上に形成される。いくつかの実施形態では、前記層は、吸着制限層である。次に、操作413において、プロセスチャンバをパージ及び/又は排気する。このステップは、モリブデン前駆体及び/又は還元性反応物が基板の表面上のみに存在し、プロセスチャンバ内に存在しないことを確実とする。次に、415において、モリブデン前駆体を、基板の表面上で、任意選択でプラズマ活性化を用いて、還元性反応物と反応させる。例えば、411において、モリブデン前駆体のみが基板表面上に吸着された場合、還元試薬をプロセスチャンバに導入して、前記表面上のモリブデン前駆体と反応させる。411において、モリブデン前駆体層と還元性反応物層との両方が基板表面上に形成された場合、413において、(例えば、表面のプラズマ処理、又は温度上昇を用いて)反応を活性化できる。次に、417において、プロセスチャンバをパージ及び/又は排気し、419において、操作411~417を繰返して、より多くのモリブデン金属を形成する。いくつかの実施形態では、操作411~417の1サイクルごとに、平均で約0.1~5.0Åのモリブデン金属を堆積する。いくつかの実施形態では、2~100サイクルが実施される。例えば、2~20サイクルを実施できる。厚さが約5~5,000Å、例えば5~500Åの厚さを有するモリブデン層を、層厚を高レベルで制御して形成できる。この方法は、卓越したステップカバレッジを有するモリブデン金属の共形層を形成するために使用できる。
モリブデン含有皮膜は、金属(例えば、銅、ニッケル、コバルト、タングステンなど)、誘電体(例えば、酸化ケイ素ベースの誘電体、窒化ケイ素、炭化ケイ素、金属酸化物、金属窒化物など)、並びに非晶質及び結晶性ケイ素などの種々の表面上に堆積できる。いくつかの実施形態では、モリブデン含有皮膜(例えば、モリブデン金属)は、露出誘電体層と露出金属層とを含有する基板上に堆積される。
いくつかの実施形態では、モリブデン含有皮膜は、露出金属の存在下で誘電体上に選択的に堆積され、他の実施形態では、露出誘電体の存在下で金属上に堆積される。本明細書で使用するとき、「選択的堆積」は、非標的表面への堆積よりも高い速度(rate)での標的表面への堆積を指す。いくつかの実施形態では、選択率(非標的表面への堆積速度に対する標的表面への堆積速度の比)は2より大きく、例えば5より大きい。いくつかの実施形態では、モリブデン含有皮膜は、露出金属表面に実質的に堆積することなく、誘電体(例えば、酸化ケイ素ベースの誘電体)上に堆積される。
金属存在下での誘電体への堆積の選択性は、有効なプロトン受容体である配位子を含有するモリブデン前駆体を用いることによって達成できる。例えば、塩基性脱プロトン化窒素原子を含有する配位子を、基板表面上のSi-OH基でプロトン化して、酸化ケイ素ベースの誘電体への優先的堆積を生じることができる。これらの配位子の例としては、アミジネート、アミデート、イミノピロリジネート、β-イミノアミド、α-イミノアルコキシド、β-アミノアルコキシド、β-ジケチミネート、β-ケトイミネート、β-ジケトネート、及びピラゾレートが挙げられる。モリブデンアミジネート前駆体とSi-OH部分を含有する誘電体表面との反応を、図5に示す。
誘電体の存在下での金属への堆積の選択性は、電子受容体として機能し得るレドックスノンイノセント配位子の使用により達成できる。前記配位子としては、ラジカルアニオンDAD配位子6、ラジカルアニオンジチオレン21及びα-イミノチオレン23が挙げられる。いくつかの実施形態では、モリブデンの化合物を含有するモリブデン含有前駆体を、ジチオレン21ラジカルアニオン配位子及び/又はα-イミノチオレン23ラジカルアニオン配位子と反応させて、モリブデン含有層を形成することによって、モリブデン含有皮膜が、露出誘電体の存在下で金属表面に選択的に堆積される。21及び23におけるR基は、前述の任意の基であることができる。いくつかの実施形態では、各Rは、独立して、H、アルキル、及びフルオロアルキルから選択される。モリブデン含有皮膜は、半導体加工の様々な用途向けに堆積できる。いくつかの実施形態では、モリブデン含有皮膜(例えば、モリブデン金属)は、1つ以上の凹状又は凸状フィーチャを有する基板上に、約0.1~10nmの厚さの共形層として堆積される。いくつかの実施形態では、凹状フィーチャには、モリブデン含有材料(例えば、モリブデン金属)が充填される。1つの例示的基板の断面概略図を図6Aに示す。図は、凹状フィーチャ603を有する基板601を示す。概して、基板601は、誘電体、金属、金属窒化物などの種々の材料を含んでもよい。モリブデン含有材料605の共形層は、本明細書に記載の方法及び前駆体を使用して(例えば、ALDを使用して)、基板601に堆積される。得られた構造を図6Bに示す。いくつかの用途では、プロセスが継続し、図6Cに示すように、凹状フィーチャ603にモリブデン含有材料605(例えば、モリブデン金属)が充填される。いくつかの実施形態では、図6A~6Cに示すように、一連のALDサイクルを用いて、共形皮膜を複数回堆積して、モリブデン含有皮膜が凹状フィーチャ内に堆積される。他の実施形態では、凹状フィーチャは、ボトムアップ皮膜成長を使用して充填してよい。
本明細書で提供されるモリブデン含有皮膜、及び特に高純度モリブデン金属は、相互連結メタライゼーション(例えば、凹状フィーチャを充填してコンタクトを形成するため)での使用、FinFETにおけるロジックゲートアプリケーションでの使用、及び接着層又は拡散バリアとしての使用、及び3D NAND製造における使用が可能である。用途の例として、ロジック及びメモリのコンタクト充填、DRAM埋め込みワードライン充填、垂直集積メモリゲート、及びワードライン充填、並びにシリコン貫通ビア(TSV)による3D集積が挙げられる。モリブデンの抵抗率はタングステンの抵抗率よりもスケール変更に優れ、いくつかの実施形態では、モリブデンは、狭小な凹状フィーチャ、例えば、幅が約20nm未満のフィーチャの充填に特に有利な金属である。例示的用途の1つでは、モリブデン金属は、バリアレスコンタクトの製造に使用される。この用途では、モリブデン金属は、幅が約5~100nm、例えば、約5~20nmの凹状フィーチャ(コンタクトホール)内に直接堆積され、前記凹状フィーチャは誘電体層に形成され、露出誘電体(例えば、酸化ケイ素、窒化ケイ素)、又はLow-k材料(例えばシリコンオキシカーバイド)を側壁に含み、露出ケイ素又はシリコンゲルマニウムを底部に含む。代替的実施形態では、堆積前のコンタクトホールを、WN、MoN、MoC、又はTiNなどのバリア層でライニングし、その上にモリブデン金属を堆積してよい。
装置
本明細書で説明される堆積法は、様々な装置で実施することができる。好適な装置はプロセスチャンバを含み、このプロセスチャンバは、反応物を導入するための1つ以上の入口と、プロセスチャンバ内に設けられ、堆積中に基板を所定の位置に保持するように構成された基板ホルダとを有し、任意選択で、プロセスガスでプラズマを発生するように構成されたプラズマ発生機構を有する。装置は、本明細書で説明される方法ステップのいずれかを引き起こすためのプログラム命令を有するコントローラを含んでもよい。本明細書に記載の堆積法は、カリフォルニア州フレモントのLam Research社から入手可能な対応するALD及びCVD装置、例えばAltus(登録商標)、Vector(登録商標)、及びStriker(登録商標)ツールで実施されてよい。
例えば、いくつかの実施形態では、装置は、以下の命令を含むプログラム命令を有するコントローラを含む:モリブデン含有前駆体のプロセスチャンバへの導入を引き起こすための命令(前駆体は、本明細書に記載の前駆体のいずれかである);及びモリブデン前駆体と第2の反応物との間に反応を起こし、基板上にモリブデン含有材料の層を形成するための命令。コントローラは、本明細書で説明される方法のいずれかを引き起こすためのプログラム命令を含んでもよい。
提供される方法を使用したモリブデン含有皮膜の堆積に適した堆積装置の例を図7に示す。図7は、原子層堆積(ALD)及び/又は化学気相堆積(CVD)を使用して材料を堆積するために使用されてよいプロセスステーション700の一実施形態を概略的に示しており、ALD又はCVDのいずれかはプラズマ支援されてよい。簡略化のため、プロセスステーション700は、低圧環境を維持するためのプロセスチャンバ本体702を有する独立型プロセスステーションとして図示されている。しかし、複数のプロセスステーション700が共通のプロセスツール環境に含まれてよいことが認識されるであろう。さらに、いくつかの実施形態では、プロセスステーション700の1つ以上のハードウェアパラメータ(以下で詳細に説明されるものを含む)を、1つ以上のコンピュータコントローラによってプログラム的に調整されてよいことが認識されるであろう。
プロセスステーション700は、プロセスガスを分配シャワーヘッド706に供給するための反応物供給システム701と流体的に連通している。反応物供給システム701は、シャワーヘッド706に供給するプロセスガスをブレンド及び/又はコンディショニングするための混合容器704を含む。1つ以上の混合容器入口弁720は、混合容器704へのプロセスガスの導入を制御してよい。同様に、シャワーヘッド入口弁705は、シャワーヘッド706へのプロセスガスの導入を制御してよい。
一部のモリブデン含有前駆体は、気化されてプロセスステーションに供給される前に、固体又は液体の形態で保存されてよい。例えば、図7の実施形態は、混合容器704に供給される固体反応物を気化させるための気化ポイント703を含む。いくつかの実施形態では、気化ポイント703は、加熱気化器であってよい。いくつかの実施形態では、不活性ガスの流れは、加熱された固体モリブデン前駆体を通過し、又は加熱された液体モリブデン前駆体中をバブリングされて、準大気圧下、前駆体蒸気をプロセスチャンバへと搬送する。そのような気化器から発生した前駆体蒸気は、下流の供給配管内で凝縮する可能性がある。凝縮した反応物に不適合ガスが曝露されると、小粒子が形成されることがある。これらの小粒子は、配管を詰まらせ、弁の操作を妨げ、基板を汚染するなどの恐れがある。これらの問題に対処するためのいくつかのアプローチは、残留反応物を除去するために供給配管を掃引及び/又は排気することを伴う。しかし、供給配管を掃引すると、プロセスステーションのサイクル時間が増加し、プロセスステーションのスループットが低下する可能性がある。したがって、いくつかの実施形態では、気化ポイント703の下流の供給配管をヒートトレースしてよい。いくつかの例では、混合容器704もヒートトレースしてよい。1つの非限定的な例では、気化ポイント703の下流の配管は、混合容器704で約100℃から約200℃に及ぶ昇温プロファイルを有する。
シャワーヘッド706は、プロセスガスを基板712に向けて分配する。図7に示す実施形態では、基板712は、シャワーヘッド706の下に位置し、台座708上に載置された状態で示されている。シャワーヘッド706は、任意の適切な形状を有してもよく、プロセスガスを基板712に分配するための任意の適切な数及び配置のポートを有してよいことが認識されるであろう。明白には示されていないが、いくつかの実施形態では、シャワーヘッド706は、少なくとも2つの導管を有するデュアルプレナムシャワーヘッドであり、その第1の種類の導管はモリブデン含有前駆体蒸気の供給専用であり、第2の種類の導管は第2の(又は他の)反応物の供給専用である。これらの実施形態では、モリブデン含有前駆体及び反応物は、プロセスチャンバに入る前に導管内で混合されることがなく、連続してチャンバに供給される場合に導管を共有しない。
いくつかの実施形態では、微小体積707がシャワーヘッド706の下に位置する。プロセスステーションの体積全体ではなく、微小体積でALD及び/又はCVDプロセスを実施することにより、反応物への曝露及び掃引時間を短縮すること、プロセス条件(例えば、圧力、温度など)を変更する時間を短縮すること、プロセスステーションロボットのプロセスガスへの曝露を制限することなどが可能である。例示的な微小体積サイズとして、0.1リットル~2リットルの体積が挙げられるが、これに限定されない。この微小体積も、生産性スループットに影響する。サイクルあたりの堆積速度が低下する一方で、サイクル時間も同時に減少する。ある特定の場合、後者の効果は、皮膜の所与の目標厚さに対するモジュールの全体的なスループットを改善するのに十分なほど大きい。
いくつかの実施形態では、台座708は、基板712を微小体積707に曝露するために、及び/又は微小体積707の体積を変化させるために、上昇又は下降されてよい。例えば、基板移送段階では、台座708を下降させ、基板712を台座708上に載置できるようにしてよい。堆積プロセス段階では、台座708を上昇させ、基板712を微小体積707内に位置決めしてよい。いくつかの実施形態では、微小体積707は、基板712と台座708の一部とを完全に取り囲み、堆積プロセス中に高フローインピーダンスの領域を形成してよい。
任意選択で、台座708は、堆積プロセスの一部の間に下降及び/又は上昇させ、微小体積707内のプロセス圧力、反応物濃度などを調整してよい。堆積プロセス中にプロセスチャンバ本体702がベース圧力を維持する1つのシナリオでは、台座708を下降させることにより、微小体積707を排気させてよい。微小体積とプロセスチャンバ体積の比率の例として、1:700~1:10の体積比が挙げられるが、これに限定されない。いくつかの実施形態では、台座の高さは、適切なコンピュータコントローラによってプログラム的に調整されてよいことが認識されるであろう。
本明細書で説明される微小体積の変形の例として、高さ調整可能な台座に言及しているが、いくつかの実施形態では、シャワーヘッド706の位置を台座708に対して調整し、微小体積707の体積を変化させてよいことが認識されるであろう。さらに、台座708及び/又はシャワーヘッド706の垂直位置を、本開示の範囲内の任意の適切な機構によって変更してよいことが認識されるであろう。いくつかの実施形態では、台座708は、基板712の向きを回転させるための回転軸を含んでもよい。いくつかの実施形態では、これらの例示的な調整の1つ以上が、1つ以上の適切なコンピュータコントローラによってプログラム的に実施されてよいことが認識されるであろう。
図7に示す実施形態に戻ると、シャワーヘッド706及び台座708は、プラズマに電力を供給するためのRF電源714及び整合ネットワーク716と電気的に通信する。他の実施形態では、提供される方法を使用してモリブデン含有皮膜を堆積するために、プラズマ発生器のない装置が使用される。いくつかの実施形態では、プロセスステーション圧力、ガス濃度、無線周波数(RF)源電力、RF源周波数、及びプラズマ電力パルスタイミングのうちの1つ以上を制御することによって、プラズマエネルギーを制御してよい。例えば、RF電源714及び整合ネットワーク716は、ラジカル種の所望の組成を有するプラズマを形成するために任意の適切な電力で操作されてよい。同様に、RF電源714は、任意の適切な周波数のRF電力を提供してよい。いくつかの実施形態では、RF電源714は、高周波RF電源と低周波RF電源とを互いに独立制御するように構成されてよい。例示的な低周波RF周波数としては、50kHz~700kHzの周波数が挙げられるが、これに限定されない。例示的な高周波RF周波数としては、1.8MHz~2.45GHzの周波数が挙げられるが、これに限定されない。表面反応のためのプラズマエネルギーを提供するために、任意の適切なパラメータが離散的又は連続的に調整されてよいことが認識されるであろう。1つの非限定的な例では、連続的に電力が供給されるプラズマと比較して基板表面とのイオン衝突を低減するために、プラズマ電力を断続的にパルス化してよい。
いくつかの実施形態では、1つ以上のプラズマモニタによって、プラズマをin situで監視してよい。1つのシナリオでは、1つ以上の電圧、電流センサ(例えば、VIプローブ)によって、プラズマ電力を監視してよい。別のシナリオでは、1つ以上の発光分光センサ(OES)によって、プラズマ密度及び/又はプロセスガス濃度を測定してよい。いくつかの実施形態では、そのようなin situのプラズマモニタからの測定値に基づいて、1つ以上のプラズマパラメータをプログラム的に調整してよい。例えば、OESセンサは、プラズマ電力のプログラム制御を提供するためのフィードバックループで使用されてよい。いくつかの実施形態では、プラズマ及び他のプロセス特性を監視するために他のモニタが使用されてよいことが認識されるであろう。このようなモニタとしては、赤外線(IR)モニタ、音響モニタ、及び圧力トランスデューサが挙げられるが、これらに限定されない。
いくつかの実施形態では、プラズマは、入力/出力制御(IOC)シーケンス命令を介して制御されてよい。一例では、プラズマプロセス段階のプラズマ条件を設定するための命令が、堆積プロセスレシピの対応するプラズマ活性化レシピ段階に含まれてよい。場合によっては、プロセスレシピ段階が順に配置されてもよく、それにより堆積プロセス段階の全ての命令がそのプロセス段階と同時に実行される。いくつかの実施形態では、1つ以上のプラズマパラメータを設定するための命令は、プラズマプロセス段階に先行するレシピ段階に含まれてよい。例えば、第1のレシピ段階は、不活性ガス及び/又は反応物ガスの流量を設定するための命令、プラズマ発生器を電力設定点に設定するための命令、並びに第1のレシピ段階の時間遅延命令を含んでもよい。続く第2のレシピ段階は、プラズマ発生器を有効にするための命令、及び第2のレシピ段階の時間遅延命令を含んでもよい。第3のレシピ段階は、プラズマ発生器を無効にするための命令、及び第3のレシピ段階の時間遅延命令を含んでもよい。これらのレシピ段階は、本開示の範囲内で、任意の適切な方法でさらに細分化及び/又は反復されてよいことが認識されるであろう。
いくつかの実施形態では、台座708は、ヒータ710を介して温度制御されてよい。さらに、いくつかの実施形態では、堆積プロセスステーション700の圧力制御は、バタフライ弁718によって行われてよい。図7の実施形態に示すように、バタフライ弁718は、下流の真空ポンプ(図示せず)によって提供される真空を絞る。しかし、いくつかの実施形態では、プロセスステーション700の圧力制御はまた、プロセスステーション700に導入される1種以上のガスの流量を変えることによって調節されてもよい。
図8は、インバウンドロードロック802及びアウトバウンドロードロック804を備え、これらのいずれか又は両方がリモートプラズマ源を備えてよいマルチステーションプロセスツール800の一実施形態の概略図を示す。かかるツールは、本明細書で提供する方法を用いて基板を加工するために使用されてよい。ロボット806は、大気圧において、ポッド808を通してロードされたカセットから、大気圧ポート810を介してインバウンドロードロック802にウエハを移動させるように構成される。ウエハは、ロボット806によって、インバウンドロードロック802の台座812上に載置され、大気圧ポート810が閉じられ、ロードロックがポンプダウンされる。インバウンドロードロック802がリモートプラズマ源を備える場合、ウエハは、プロセスチャンバ814に導入される前にロードロックにおいてリモートプラズマ処理を受けてよい。更に、ウエハはまた、例えば、水分及び吸着したガスを除去するために、インバウンドロードロック802においても加熱されてよい。次に、プロセスチャンバ814へのチャンバ移送ポート816が開かれ、別のロボット(図示せず)が、ウエハをリアクタ内に移動させ、リアクタ内に示す第1のステーションの台座上に加工のために載置する。なお、図8に図示される実施形態はロードロックを含んでいるが、いくつかの実施形態では、プロセスステーションにウエハを直接進入させてよいことが認識されるであろう。
図示のプロセスチャンバ814は、図8に示す実施形態において1から4まで番号が付けられた4つのプロセスステーションを備える。各ステーションは、加熱された台座(ステーション1に対して818で示す)と、ガスライン入口とを有する。いくつかの実施形態では、各プロセスステーションは、異なる目的又は複数の目的を有してよいことが認識されるであろう。図示のプロセスチャンバ814は4つのステーションを備えるが、本開示によるプロセスチャンバは、任意の適切な数のステーションを有してよいことが理解されよう。例えば、いくつかの実施形態では、プロセスチャンバは、5つ以上のステーションを有してもよく、他の実施形態では、プロセスチャンバは、3つ以下のステーションを有してよい。
図8はまた、プロセスチャンバ814内でウエハを移送するためのウエハハンドリングシステム890の一実施形態を図示する。いくつかの実施形態では、ウエハハンドリングシステム890は、様々なプロセスステーション間及び/又はプロセスステーションとロードロックとの間でウエハを移送してよい。任意の適切なウエハハンドリングシステムが用いられてよいことが認識されるであろう。非限定的な例として、ウエハカルーセル及びウエハハンドリングロボットが挙げられる。図8はまた、プロセスツール800のプロセス条件及びハードウェア状態を制御するために用いられるシステムコントローラ850の一実施形態を図示する。システムコントローラ850は、1つ以上のメモリデバイス856と、1つ以上の大容量記憶デバイス854と、1つ以上のプロセッサ852とを含んでもよい。プロセッサ852は、CPU又はコンピュータ、アナログ及び/又はデジタル入出力接続、ステッピングモータコントローラボードなどを含んでもよい。
いくつかの実施形態では、システムコントローラ850は、プロセスツール800の活動の全てを制御する。システムコントローラ850は、大容量記憶デバイス854に記憶され、メモリデバイス856にロードされ、プロセッサ852で実行されるシステム制御ソフトウェア858を実行する。システム制御ソフトウェア858は、タイミング、ガスの混合、チャンバ圧力及び/又はステーション圧力、チャンバ温度及び/又はステーション温度、パージ条件及びパージタイミング、ウエハ温度、RF電力レベル、RF周波数、基板、台座、チャック位置及び/又はサセプタ位置、並びにプロセスツール800によって実施される特定のプロセスの他のパラメータを制御するための命令を含んでもよい。システム制御ソフトウェア858は、任意の適切な方法で構成されてよい。例えば、様々なプロセスツール構成要素サブルーチン又は制御オブジェクトは、開示される方法に従って様々なプロセスツールプロセスを実施するために必要なプロセスツール構成要素の操作を制御するために書かれてよい。システム制御ソフトウェア858は、任意の適切なコンピュータ読み取り可能プログラム言語でコードされてよい。
いくつかの実施形態では、システム制御ソフトウェア858は、上記の様々なパラメータを制御するための入力/出力制御(IOC)シーケンス命令を含んでよい。例えば、ALDプロセスの各段階は、システムコントローラ850によって実行するための1つ以上の命令を含んでもよい。ALDプロセス段階に対するプロセス条件を設定するための命令は、対応するALDレシピ段階に含まれてよい。いくつかの実施形態では、ALDレシピ段階は、ALDプロセス段階に対する全ての命令がそのプロセス段階と同時に実行されるように、逐次的に配置されてよい。
いくつかの実施形態では、システムコントローラ850に関連する大容量記憶デバイス854及び/又はメモリデバイス856に記憶された他のコンピュータソフトウェア及び/又はプログラムが用いられてよい。この目的のためのプログラムの例又はプログラムのセクションの例は、基板位置決めプログラム、プロセスガス制御プログラム、圧力制御プログラム、ヒータ制御プログラム、及びプラズマ制御プログラムを含む。
基板位置決めプログラムは、基板を台座818上にロードし、基板とプロセスツール800の他の部分との間隔を制御するために使用されるプロセスツール構成要素のプログラムコードを含んでもよい。
プロセスガス制御プログラムは、プロセスステーションの圧力を安定化するために、ガス組成及び流量を制御するためのコード、及び任意で堆積前にガスを1つ以上のプロセスステーションに流すためのコードを含んでもよい。プロセスガス制御プログラムは、ガス組成及び流量を、開示されたいずれかの範囲内に制御するためのコードを含んでよい。圧力制御プログラムは、例えば、プロセスステーションの排気システムのスロットル弁、プロセスステーションへのガス流などを調節することによってプロセスステーションの圧力を制御するためのコードを含んでもよい。圧力制御プログラムは、プロセスステーションの圧力を、開示されたいずれかの圧力範囲内に維持するためのコードを含んでよい。
ヒータ制御プログラムは、基板を加熱するために用いられる加熱ユニットへの電流を制御するためのコードを備えてよい。あるいは、ヒータ制御プログラムは、基材への熱伝導ガス(ヘリウムなど)の供給を制御してよい。ヒータ制御プログラムは、基板の温度を、開示されたいずれかの範囲内に維持するための命令を含んでよい。
プラズマ制御プログラムは、例えば本明細書に開示されるRF電力レベルのいずれかを使用して、1つ以上のプロセスステーションのプロセス電極に供給されるRF電力レベル及び周波数を設定するためのコードを含んでよい。プラズマ制御プログラムは、各プラズマ曝露期間を制御するためのコードを含んでよい。
いくつかの実施形態では、システムコントローラ850に関連するユーザインターフェースが存在してよい。ユーザインターフェースは、ディスプレイ画面、装置及び/又はプロセス条件のグラフィカルソフトウェアディスプレイ、並びにポインティングデバイス、キーボード、タッチスクリーン、マイクロフォンなどのユーザ入力デバイスを含んでもよい。
いくつかの実施形態では、システムコントローラ850によって調整されたパラメータは、プロセス条件に関係するものであってよい。非限定的な例として、プロセスガス組成及び流量、温度、圧力、プラズマ条件(RF電力レベル、周波数、及び曝露時間など)などが挙げられる。これらのパラメータは、レシピの形態でユーザに提供されてもよく、ユーザインターフェースを利用して入力されてよい。
プロセスを監視するための信号は、様々なプロセスツールセンサからシステムコントローラ850のアナログ及び/又はデジタル入力接続によって提供されてよい。プロセスを制御するための信号は、プロセスツール800のアナログ及びデジタル出力接続で出力されてよい。監視されてよいプロセスツールセンサの非限定的な例は、マスフローコントローラ、圧力センサ(圧力計など)、熱電対などを含む。適切にプログラムされたフィードバック及び制御アルゴリズムをこれらのセンサからのデータと共に使用して、プロセス条件を維持してよい。
開示される実施形態の実施には、任意の好適なチャンバを使用してよい。例示的な堆積装置は、カリフォルニア州フリーモントのLam Research社から入手可能なAltus(登録商標)製品系列の装置、又は様々な他の市販の加工システムのうち任意のものを含むが、これに限定されない。2つ以上のステーションが、同じ機能を実施してよい。同様に、2つ以上のステーションが、異なる機能を実施してよい。各ステーションは、所望に応じて特定の機能/方法を実施するように設計/構成することができる。
図9は、特定の実施形態に従って、皮膜堆積プロセスを実行するのに適した加工システムを示すブロック図である。システム900は、移送モジュール903を含む。移送モジュール903は、加工中の基板が様々なリアクタモジュール間で移動される時の汚染のリスクを最小限に抑えるために、清浄な加圧環境を提供する。移送モジュール903には、2つのマルチステーションリアクタ909及び910が取り付けられており、各々が特定の実施形態による原子層堆積(ALD)及び/又は化学気相堆積(CVD)を実施することが可能である。リアクタ909及び910は、開示される実施形態による操作を連続的又は非連続的に実施してよい複数のステーション911、913、915、及び917を含んでもよい。ステーションは、加熱された台座又は基板支持体、1つ以上ガス入口又はシャワーヘッド又は分散プレートを含んでもよい。
移送モジュール903には、プラズマ又は化学的(非プラズマ)前洗浄、又は開示された方法に関連して説明された任意の他のプロセスを実施することが可能な、1つ以上のシングル又はマルチステーションモジュール907が取り付けられてよい。モジュール907は、場合によって、例えば、堆積プロセスのための基板を準備するための様々な処理に使用されてよい。モジュール907はまた、エッチング又は研磨などの様々な他のプロセスを実施するように設計/構成されてよい。システム900は、加工前及び加工後のウエハを格納する1つ以上のウエハソースモジュール901も含む。大気移送チャンバ919内の大気ロボット(図示せず)は、最初にウエハをソースモジュール901からロードロック921へ取り出してよい。移送モジュール903内のウエハ移送デバイス(一般的には、ロボットアームユニット)は、ロードロック921から移送モジュール903に取り付けられたモジュールへのウエハの移動、及びモジュール間でのウエハの移動を行う。
様々な実施形態において、システムコントローラ929を用いて、堆積中のプロセス条件を制御する。コントローラ929は、典型的には、1つ以上のメモリデバイスと、1つ以上のプロセッサとを含む。プロセッサは、CPU又はコンピュータ、アナログ及び/又はデジタル入力/出力接続、ステッパモータコントローラボードなどを含む。
コントローラ929は、堆積装置の活動の全てを制御してよい。システムコントローラ929は、タイミング、ガスの混合、チャンバ圧力、チャンバ温度、ウエハ温度、無線周波数(RF)電力レベル、ウエハチャック位置又は台座位置、及び特定のプロセスの他のパラメータを制御するための一連の命令を含むシステム制御ソフトウェアを実施する。コントローラ929に関連するメモリデバイスに格納された他のコンピュータプログラムが、いくつかの実施形態では用いられてよい。
典型的には、コントローラ929に関連したユーザインターフェースが存在する。ユーザインターフェースは、ディスプレイ画面、装置及び/又はプロセス条件のグラフィカルソフトウェアディスプレイ、並びにポインティングデバイス、キーボード、タッチスクリーン、マイクロフォンなどのユーザ入力デバイスを含んでもよい。
システム制御ロジックは、任意の適切な方法で構成されてよい。一般に、ロジックは、ハードウェア及び/又はソフトウェアで設計又は構成され得る。駆動回路を制御するための命令は、ハードコードされてもよく、又はソフトウェアとして提供されてもよい。命令は、「プログラミング」によって提供されてよい。かかるプログラミングは、デジタル信号プロセッサ、特定用途向け集積回路、及びハードウェアとして実装された特定のアルゴリズムを有する他のデバイス内にハードコードされたロジックなど、任意の形態のロジックを含むと理解される。プログラミングはまた、汎用プロセッサで実行されてよいソフトウェア又はファームウェア命令も含むと理解される。システム実行ソフトウェアは、任意の適切なコンピュータ可読プログラミング言語でコード化されてよい。
ゲルマニウム含有還元剤パルス、水素流、及びタングステン含有前駆体パルス、並びにプロセスシーケンスにおける他のプロセスを制御するためのコンピュータプログラムコードは、任意の従来のコンピュータ可読プログラミング言語(例えば、アセンブリ言語、C、C++、パスカル、フォートランなど)で書くことができる。コンパイルされたオブジェクトコード又はスクリプトが、プログラム内に特定されたタスクを実行するために、プロセッサによって実行される。また、示されているように、プログラムコードは、ハードコードされてよい。
コントローラパラメータは、例えば、プロセスガス組成及び流量、温度、圧力、冷却ガス圧力、基板温度、並びにチャンバ壁温度などのプロセス条件に関連している。これらのパラメータは、レシピの形態でユーザに提供され、ユーザインターフェースを用いて入力されてよい。プロセスを監視するための信号は、システムコントローラ929のアナログ及び/又はデジタル入力接続によって提供されてよい。プロセスを制御するための信号は、堆積装置900のアナログ及びデジタル出力接続で出力される。
システムソフトウェアは、多くの異なる方法で設計又は構成されてよい。例えば、様々なチャンバ構成要素サブルーチン又は制御オブジェクトは、開示される実施形態に従って堆積プロセス(及び場合によっては他のプロセス)を実施するために必要なチャンバ構成要素の操作を制御するために書かれてよい。この目的のためのプログラム又はプログラムのセクションの例には、基板位置決めコード、プロセスガス制御コード、圧力制御コード、及びヒータ制御コードが挙げられる。
いくつかの実施態様では、コントローラ929はシステムの一部であり、そのようなシステムは上述した例の一部であってよい。かかるシステムは、1つ又は複数のプロセスツール、1つ又は複数のチャンバ、加工のための1つ又は複数のプラットフォーム、及び/又は、特定の加工構成要素(ウエハ台座、ガスフローシステムなど)など、半導体加工装置を備え得る。これらのシステムは、半導体ウエハ又は基板の加工前、加工中、及び加工後に、システムの操作を制御するための電子機器と一体化されてよい。電子機器は、「コントローラ」と呼ばれてもよく、1つ又は複数のシステムの様々な構成要素又は副部品を制御してよい。コントローラ929は、加工要件及び/又はシステムのタイプに応じて、プロセスガスの供給、温度設定(例えば、加熱及び/又は冷却)、圧力設定、真空設定、電力設定、いくつかのシステムにおける高周波(RF)発生器設定、RF整合回路設定、周波数設定、流量設定、流体供給設定、位置及び操作設定、並びに、ツール及び他の移動ツール及び/又は特定のシステムと接続又は結合されたロードロックの内外へのウエハ移動など、本明細書に開示のプロセスのいずれを制御するようプログラムされてよい。
広義には、コントローラは、命令を受信し、命令を発行し、操作を制御し、洗浄操作を可能にし、エンドポイント測定を可能にするなどの様々な集積回路、ロジック、メモリ、及び/又はソフトウェアを有する電子機器として定義されてよい。集積回路は、プログラム命令を記憶するファームウェアの形式のチップ、デジタル信号プロセッサ(DSP)、特定用途向け集積回路(ASIC)として定義されたチップ、及び/又は1つ又は複数のマイクロプロセッサ、すなわちプログラム命令(例えば、ソフトウェア)を実行するマイクロコントローラを含んでもよい。プログラム命令は、様々な個々の設定(又はプログラムファイル)の形式でコントローラに通信される命令であって、特定のプロセスを半導体ウエハ上で、又は半導体ウエハ用に、又はシステムに対して実施するための操作パラメータを定義してよい。操作パラメータは、いくつかの実施形態では、1つ以上の層、材料、金属、酸化物、ケイ素、二酸化ケイ素、表面、回路、及び/又はウエハダイの製作における1つ以上の加工ステップを実現するためプロセスエンジニアによって定義されるレシピの一部であってよい。
コントローラは、いくつかの実施態様では、システムと統合又は結合されるか、他の方法でシステムにネットワーク接続されるコンピュータの一部であってもよく、又はそのようなコンピュータに結合されてもよく、又はそれらの組み合わせであってよい。例えば、コントローラは、「クラウド」内にあってもよく、又はファブホストコンピュータシステムの全部若しくは一部であってよい。これにより、ウエハ加工のリモートアクセスが可能となる。コンピュータは、システムへのリモートアクセスを可能にして、製作操作の現在の進捗状況を監視し、過去の製作操作の履歴を検討し、複数の製作操作から傾向又は性能基準を検討し、現在の加工のパラメータを変更し、現在の加工に続く加工ステップを設定するか、又は新しいプロセスを開始してよい。いくつかの例では、リモートコンピュータ(例えば、サーバ)は、ネットワークを通じてプロセスレシピをシステムに提供することができ、そのようなネットワークは、ローカルネットワーク又はインターネットを含んでいてよい。リモートコンピュータは、パラメータ及び/又は設定の入力又はプログラミングを可能にするユーザインターフェースを含んでもよく、そのようなパラメータ及び/又は設定は、その後リモートコンピュータからシステムに通信される。いくつかの例では、コントローラは命令をデータの形式で受信し、そのようなデータは、1つ以上の操作中に実施される各加工ステップのためのパラメータを指定する。パラメータは、実施されるプロセスのタイプ、及びコントローラが連動又は制御するように構成されたツールのタイプに特有のものであってよいことを理解されたい。したがって、上述したように、コントローラは、例えば、互いにネットワーク接続され、共通の目的(本明細書で説明されるプロセス及び制御など)に向けて協働する1つ以上の個別のコントローラを備えることによって分散されてよい。このような目的のための分散型コントローラの例として、チャンバ上の1つ以上の集積回路であって、(例えば、プラットフォームレベルで、又はリモートコンピュータの一部として)遠隔配置されておりチャンバにおけるプロセスを制御するよう組み合わせられる1つ以上の集積回路と通信するものが挙げられるであろう。
限定するものではないが、例示的なシステムとしては、プラズマエッチングチャンバ又はモジュール、堆積チャンバ又はモジュール、スピンリンスチャンバ又はモジュール、金属めっきチャンバ又はモジュール、洗浄チャンバ又はモジュール、ベベルエッジエッチングチャンバ又はモジュール、物理気相堆積(PVD)チャンバ又はモジュール、化学気相堆積(CVD)チャンバ又はモジュール、原子層堆積(ALD)チャンバ又はモジュール、原子層エッチング(ALE)チャンバ又はモジュール、イオン注入チャンバ又はモジュール、追跡チャンバ又はモジュール、並びに半導体ウエハの製作及び/又は製造に関連するか使用されてよい任意の他の半導体加工システムが挙げられる。
上述のように、ツールによって実施される1つ以上のプロセスステップに応じて、コントローラは、1つ以上の他のツール回路もしくはモジュール、他のツール構成要素、クラスタツール、他のツールインターフェース、隣接するツール、近接するツール、工場全体に位置するツール、メインコンピュータ、別のコントローラ、又は半導体製造工場内のツール場所及び/若しくはロードポートに対してウエハの容器を搬入及び搬出する材料移送に使用されるツールと通信してよい。
さらなる実施態様
本明細書で説明される装置及びプロセスは、例えば、半導体デバイス、ディスプレイ、LED、太陽光パネルなどの製作又は製造のために、リソグラフィパターニングツール又はプロセスと併せて使用されてよい。通例、必ずしもそうとは限らないが、かかる装置及びプロセスは、共通の製造施設で一緒に利用又は実行されている。皮膜のリソグラフィパターニングは、一般的には、以下のステップのいくつか又は全てを含み、各ステップは使用可能な多くのツールを用いて可能となる:(1)スピンオンツール又はスプレーオンツールを使用して、ワークピース(すなわち、基板)にフォトレジストを塗布するステップ、(2)ホットプレート又は炉又はUV硬化ツールを使用してフォトレジストを硬化するステップ、(3)ウエハステッパなどのツールを用いて可視光又はUV光又はX線光でフォトレジストを露光するステップ、(4)ウェットベンチなどのツールを使用して、レジストを現像してレジストを選択的に除去し、それによってレジストをパターニングするステップ、(5)ドライエッチングツール又はプラズマ支援エッチングツールを使用することによって、下層の皮膜又はワークピースにレジストパターンを転写するステップ、及び(6)RF又はマイクロ波プラズマレジストストリッパなどのツールを使用してレジストを除去するステップ。

Claims (27)

  1. モリブデン含有層を半導体基板上に形成する方法であって、
    (a)前記半導体基板を収容したプロセスチャンバにモリブデン含有前駆体を導入する工程であって、前記モリブデン含有前駆体はMo2nであり、式中、各Lは、独立して、アミデート、アミジネート及びグアニジネート配位子からなる群から選択され、nは2~5であり、前記モリブデン含有前駆体は複数のモリブデン-モリブデン結合を含む、工程と、
    (b)前記モリブデン含有前駆体を反応させて、モリブデン含有層を前記半導体基板上に形成する工程と、
    を含む、方法。
  2. 請求項1に記載の方法であって、前記モリブデン含有層は、モリブデン金属である、方法。
  3. 請求項1に記載の方法であって、前記モリブデン含有前駆体はMo2nであり、式中、各Lは、独立して、アミジネート又はグアニジネート配位子であり、nは2~5であり、前記アミジネート配位子は:
    Figure 2022524041000011
    であり、式中、各Rは、独立して、H、アルキル、フルオロアルキル、アルキルシリル、アルキルアミノ、及びアルコキシ置換基からなる群から選択され、前記グアニジネート配位子は:
    Figure 2022524041000012
    であり、式中、各Rは、独立して、H、アルキル、フルオロアルキル、アルキルシリル、アルキルアミノ、及びアルコキシ置換基からなる群から選択される、方法。
  4. 請求項1に記載の方法であって、前記モリブデン含有前駆体はMo23又はMo24であり、式中、Lは、アミジネートである、方法。
  5. 請求項1に記載の方法であって、前記モリブデン含有前駆体はMo23又はMo24であり、式中、Lは、グアニジネートである、方法。
  6. 請求項1に記載の方法であって、(b)は、前記モリブデン含有前駆体を、水素(H2)と反応させることを含む、方法。
  7. 請求項1に記載の方法であって、(b)は、前記モリブデン含有前駆体を、水素(H2)、アンモニア(NH3)、ジボラン(B26)、水、H2S、チオール、アルコール、アミン、ヒドラジン、シラン(SiH4)及びジシラン(Si26)からなる群から選択される少なくとも1つの反応物と反応させて、モリブデン金属の層を形成することを含む、方法。
  8. 請求項7に記載の方法であって、前記モリブデン金属は、熱ALD又はPEALDによって堆積される、方法。
  9. 請求項1に記載の方法であって、前記モリブデン含有層は、凹状フィーチャを有する基板上に共形的に堆積される、方法。
  10. モリブデン含有層を半導体基板上に形成する方法であって、
    (a)前記半導体基板を収容したプロセスチャンバ内にモリブデン含有前駆体を導入する工程であって、前記前駆体は、
    モリブデンと、
    モリブデンとの結合を形成する少なくとも1つのハロゲンと、
    モリブデンとの結合を形成するN、O、及びSからなる群から選択される元素を含む少なくとも1つの有機配位子と、を含む化合物である、工程と、
    (b)前記モリブデン含有前駆体を少なくとも1つの反応物と反応させて、モリブデン金属を前記半導体基板上に形成する、工程と、
    を含む、方法。
  11. 請求項10に記載の方法であって、(b)は、前記モリブデン含有前駆体を、水素(H2)、アンモニア(NH3)、ジボラン(B26)、水、H2S、チオール、アルコール、アミン、ヒドラジン、シラン(SiH4)及びジシラン(Si26)からなる群から選択される少なくとも1つの反応物と反応させて、モリブデン金属の層を形成することを含む、方法。
  12. 請求項11に記載の方法であって、(b)は、前記モリブデン含有前駆体を、プラズマあり又はなしで、水素(H2)と反応させることを含む、方法。
  13. 請求項10に記載の方法であって、(b)における前記反応は、前記基板の表面上のみで実施される、方法。
  14. 請求項10に記載の方法であって、(b)における前記反応は、前記半導体基板の表面上で実施され、前記方法は、前記モリブデン含有前駆体を前記基板上に吸着し、未吸着のモリブデン含有前駆体を、前記反応の前に前記プロセスチャンバから除去することと、前記吸着されたモリブデン含有前駆体を、水、アルコール、H2S、及びチオールからなる群から選択される反応物と反応させ、前記反応の後、前記半導体基板の表面をプラズマあり又はなしで水素(H2)と反応させて、前記モリブデン金属の層を形成することと、を含む、方法。
  15. 請求項10に記載の方法であって、前記前駆体は、Mo(X)m(L)nであり、式中、
    各Xは、独立して、F、Cl、Br、及びIからなる群から選択されるハライドであり、
    各Lは、独立して、アミジネート、アミン、アミデート、イミノピロリジネート、ジアザジエン、β-イミノアミド、α-イミノアルコキシド、β-アミノアルコキシド、β-ジケチミネート、β-ケトイミネート、β-ジケトネート、チオエーテル、チオレート、ジチオレート、ジチオレン、及びピラゾレートからなる群から選択される有機配位子であり、mは1~4であり、nは1~3である、
    方法。
  16. モリブデン金属層を半導体基板上に形成する方法であって、
    (a)前記半導体基板を収容したプロセスチャンバ内にモリブデン含有前駆体を導入する工程であって、前記前駆体は、
    モリブデンと、
    モリブデンとのモリブデン-硫黄結合を形成する少なくとも1つの硫黄含有配位子とを含む化合物であり、前記化合物はモリブデン-炭素結合を含まない、工程と、
    (b)前記モリブデン含有前駆体を反応させて、モリブデン金属を前記半導体基板上に形成する工程と、
    を含む、方法。
  17. 請求項16に記載の方法であって、前記前駆体において、モリブデンはモリブデン-硫黄結合のみを形成する、方法。
  18. 請求項16に記載の方法であって、前記少なくとも1つの硫黄含有配位子は、18、19、20、21、22、23、24、25からなる群から選択され、式中、各Rは、独立して、H、アルキル、フルオロアルキル、アルキルアミノ、アルキルシリル、及びアルコキシからなる群から選択される、方法。
  19. 請求項16に記載の方法であって、(b)は、前記モリブデン含有前駆体を、水素(H2)、アンモニア(NH3)、ジボラン(B26)、水、H2S、チオール、アルコール、アミン、シラン(SiH4)、ジシラン(Si26)、及びヒドラジンからなる群から選択される反応物と反応させて、モリブデン金属の層を形成することを含む、方法。
  20. 請求項16に記載の方法であって、(b)における前記反応は前記半導体基板の表面上で実施され、かつ前記方法は、前記モリブデン含有前駆体を前記基板上に吸着し、未吸着のモリブデン含有前駆体を、前記反応の前に前記プロセスチャンバから除去することと、前記吸着されたモリブデン含有前駆体を、水、アルコール、H2S、及びチオールからなる群から選択される反応物と反応させ、前記反応の後、前記半導体基板の表面をプラズマあり又はなしで水素(H2)と反応させて、前記モリブデン金属の層を形成することと、を含む、方法。
  21. モリブデン含有皮膜の堆積のための前駆体であって、前記前駆体は、
    モリブデンと、
    モリブデンとの結合を形成する少なくとも1つのハロゲンと、
    モリブデンとの結合を形成するN、O、及びSからなる群から選択される元素を含む少なくとも1つの有機配位子と、
    を含む化合物であり、但し、前記化合物は、イミド及びグアニジネートの両方の有機配位子を同時に含有するモリブデン錯体ではないことを条件とする、前駆体。
  22. 請求項21に記載の前駆体であって、前記有機配位子は二座配位子である、前駆体。
  23. 請求項21に記載の前駆体であって、前記前駆体はモリブデン-炭素結合を含有しない、前駆体。
  24. モリブデン含有皮膜の堆積のための前駆体であって、前記前駆体はMo2nであり、式中、各Lは、独立して、アミジネート又はグアニジネート配位子であり、nは2~5であり、前記前駆体は複数のモリブデン-モリブデン結合を含み、前記アミジネート配位子は、
    Figure 2022524041000013
    であり、式中、各Rは、独立して、H、アルキル、フルオロアルキル、アルキルシリル、アルキルアミノ、及びアルコキシ置換基からなる群から選択され、前記グアニジネート配位子は:
    Figure 2022524041000014
    であり、式中、各Rは、独立して、H、アルキル、フルオロアルキル、アルキルシリル、アルキルアミノ、及びアルコキシ置換基からなる群から選択される、前駆体。
  25. 半導体基板を加工するためのシステムであって、
    (a)基板ホルダと、反応物をプロセスチャンバに導入するための1つ以上の入口とを有する、プロセスチャンバ;及び
    (b)システムコントローラであって、
    (i)モリブデン含有前駆体の前記プロセスチャンバへの導入を引き起こすためのプログラム命令であって、前記モリブデン含有前駆体はMo2nであり、式中、各Lは、独立して、アミデート、アミジネート及びグアニジネート配位子からなる群から選択され、式中、nは2~5であり、前記モリブデン含有前駆体は複数のモリブデン-モリブデン結合を含む、プログラム命令;及び
    (ii)前記モリブデン含有前駆体の反応を引き起こし、モリブデン含有層を前記半導体基板上に形成するためのプログラム命令
    を含む、システムコントローラ
    を含む、システム。
  26. 半導体基板を加工するためのシステムであって、
    (a)基板ホルダと、反応物をプロセスチャンバに導入するための1つ以上の入口とを有する、プロセスチャンバ;及び
    (b)システムコントローラであって
    (i)モリブデン含有前駆体の前記プロセスチャンバへの導入を引き起こすためのプログラム命令であって、前記モリブデン含有前駆体は、
    モリブデンと、
    モリブデンとの結合を形成する少なくとも1つのハロゲンと、
    モリブデンとの結合を形成するN、O、及びSからなる群から選択される元素を含む少なくとも1つの有機配位子と、を含む、化合物である、プログラム命令;及び
    (ii)前記モリブデン含有前駆体の反応を引き起こし、モリブデン含有層を前記半導体基板上に形成するためのプログラム命令
    を含む、システムコントローラ
    を含む、システム。
  27. 半導体基板を加工するためのシステムであって、
    (a)基板ホルダと、反応物をプロセスチャンバに導入するための1つ以上の入口とを有する、プロセスチャンバ;及び
    (b)システムコントローラであって、
    (i)モリブデン含有前駆体の前記プロセスチャンバへの導入を引き起こすためのプログラム命令であって、前記モリブデン含有前駆体は、
    モリブデンと、
    モリブデンとのモリブデン-硫黄結合を形成する少なくとも1つの硫黄含有配位子とを含む化合物であり、前記化合物はモリブデン-炭素結合を含まない、プログラム命令;及び
    (ii)前記モリブデン含有前駆体の反応を引き起こし、モリブデン含有層を前記半導体基板上に形成するためのプログラム命令
    を含む、システムコントローラ
    を含む、システム。
JP2021552861A 2019-03-11 2020-03-06 モリブデン含有皮膜の堆積のための前駆体 Pending JP2022524041A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201962816648P 2019-03-11 2019-03-11
US62/816,648 2019-03-11
PCT/US2020/021543 WO2020185618A1 (en) 2019-03-11 2020-03-06 Precursors for deposition of molybdenum-containing films

Publications (1)

Publication Number Publication Date
JP2022524041A true JP2022524041A (ja) 2022-04-27

Family

ID=72428076

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2021552861A Pending JP2022524041A (ja) 2019-03-11 2020-03-06 モリブデン含有皮膜の堆積のための前駆体

Country Status (7)

Country Link
US (2) US11821071B2 (ja)
JP (1) JP2022524041A (ja)
KR (1) KR20210127262A (ja)
CN (1) CN113557320A (ja)
SG (1) SG11202109796QA (ja)
TW (1) TW202102709A (ja)
WO (1) WO2020185618A1 (ja)

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2019213604A1 (en) 2018-05-03 2019-11-07 Lam Research Corporation Method of depositing tungsten and other metals in 3d nand structures
WO2020159882A1 (en) 2019-01-28 2020-08-06 Lam Research Corporation Deposition of metal films
KR20210127262A (ko) 2019-03-11 2021-10-21 램 리써치 코포레이션 몰리브덴-함유 막들의 증착을 위한 전구체들
SG11202111277UA (en) 2019-04-11 2021-11-29 Lam Res Corp High step coverage tungsten deposition
TW202200828A (zh) * 2020-06-24 2022-01-01 荷蘭商Asm Ip私人控股有限公司 含鉬薄膜的氣相沉積
US20220220139A1 (en) * 2021-01-12 2022-07-14 Applied Materials, Inc. Molybdenum (0) precursors for deposition of molybdenum films
US11434254B2 (en) 2021-01-12 2022-09-06 Applied Materials, Inc. Dinuclear molybdenum precursors for deposition of molybdenum-containing films
KR20230148733A (ko) * 2021-02-23 2023-10-25 램 리써치 코포레이션 유전체 표면들 상의 몰리브덴에 비-금속 혼입
US20220277961A1 (en) * 2021-02-26 2022-09-01 Applied Materials, Inc. Low Resistivity Metal Contact Stack
US11760768B2 (en) 2021-04-21 2023-09-19 Applied Materials, Inc. Molybdenum(0) precursors for deposition of molybdenum films
WO2023196437A1 (en) * 2022-04-06 2023-10-12 Lam Research Corporation Deposition of metal-containing films and chamber clean
US20230343643A1 (en) * 2022-04-25 2023-10-26 Applied Materials, Inc. Gradient oxidation and etch for pvd metal as bottom liner in bottom up gap fill
WO2023250500A1 (en) * 2022-06-23 2023-12-28 Lam Research Corporation Plasma enhanced low temperature atomic layer deposition of metals
US20240102157A1 (en) * 2022-09-22 2024-03-28 Applied Materials, Inc. Plasma-enhanced molybdenum deposition

Family Cites Families (149)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US1012671A (en) 1911-05-16 1911-12-26 Tailors Accessories Co Cleaning-machine.
JP2536377B2 (ja) 1992-11-27 1996-09-18 日本電気株式会社 半導体装置およびその製造方法
US5643394A (en) 1994-09-16 1997-07-01 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
US6114242A (en) 1997-12-05 2000-09-05 Taiwan Semiconductor Manufacturing Company MOCVD molybdenum nitride diffusion barrier for Cu metallization
US6103609A (en) 1997-12-11 2000-08-15 Lg Semicon Co., Ltd. Method for fabricating semiconductor device
US6958174B1 (en) 1999-03-15 2005-10-25 Regents Of The University Of Colorado Solid material comprising a thin metal film on its surface and methods for producing the same
KR100319494B1 (ko) 1999-07-15 2002-01-09 김용일 원자층 에피택시 공정을 위한 반도체 박막 증착장치
FI20000099A0 (fi) 2000-01-18 2000-01-18 Asm Microchemistry Ltd Menetelmä metalliohutkalvojen kasvattamiseksi
JP2001284360A (ja) 2000-03-31 2001-10-12 Hitachi Ltd 半導体装置
US7101795B1 (en) * 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US7964505B2 (en) 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US6585823B1 (en) 2000-07-07 2003-07-01 Asm International, N.V. Atomic layer deposition
US20030019428A1 (en) 2001-04-28 2003-01-30 Applied Materials, Inc. Chemical vapor deposition chamber
US7005372B2 (en) 2003-01-21 2006-02-28 Novellus Systems, Inc. Deposition of tungsten nitride
US7955972B2 (en) 2001-05-22 2011-06-07 Novellus Systems, Inc. Methods for growing low-resistivity tungsten for high aspect ratio and small features
US9076843B2 (en) 2001-05-22 2015-07-07 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
US7141494B2 (en) 2001-05-22 2006-11-28 Novellus Systems, Inc. Method for reducing tungsten film roughness and improving step coverage
US7262125B2 (en) 2001-05-22 2007-08-28 Novellus Systems, Inc. Method of forming low-resistivity tungsten interconnects
US6635965B1 (en) 2001-05-22 2003-10-21 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
US7589017B2 (en) 2001-05-22 2009-09-15 Novellus Systems, Inc. Methods for growing low-resistivity tungsten film
US20070009658A1 (en) 2001-07-13 2007-01-11 Yoo Jong H Pulse nucleation enhanced nucleation technique for improved step coverage and better gap fill for WCVD process
TW581822B (en) 2001-07-16 2004-04-01 Applied Materials Inc Formation of composite tungsten films
KR101013231B1 (ko) 2001-09-14 2011-02-10 에이에스엠 인터내셔널 엔.브이. 환원펄스를 이용한 원자층증착에 의한 질화금속증착
TW589684B (en) 2001-10-10 2004-06-01 Applied Materials Inc Method for depositing refractory metal layers employing sequential deposition techniques
KR20030043201A (ko) 2001-11-27 2003-06-02 주식회사 하이닉스반도체 반도체 소자의 콘택 플러그 형성방법
US20030194825A1 (en) 2002-04-10 2003-10-16 Kam Law Deposition of gate metallization for active matrix liquid crystal display (AMLCD) applications
US7279432B2 (en) 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
EP1543177A1 (en) 2002-07-12 2005-06-22 President And Fellows Of Harvard College Vapor deposition of tungsten nitride
US6844258B1 (en) 2003-05-09 2005-01-18 Novellus Systems, Inc. Selective refractory metal and nitride capping
JP2005026380A (ja) 2003-06-30 2005-01-27 Toshiba Corp 不揮発性メモリを含む半導体装置及びその製造方法
US7282738B2 (en) 2003-07-18 2007-10-16 Corning Incorporated Fabrication of crystalline materials over substrates
WO2009105668A1 (en) 2008-02-20 2009-08-27 President And Fellows Of Harvard College Bicyclic guanidines, metal complexes thereof and their use in vapor deposition
JP2005150416A (ja) 2003-11-17 2005-06-09 Hitachi Ltd 半導体集積回路装置及びその製造方法
KR20050054122A (ko) 2003-12-04 2005-06-10 성명모 자외선 원자층 증착법을 이용한 박막 제조 방법
US7115304B2 (en) 2004-02-19 2006-10-03 Nanosolar, Inc. High throughput surface treatment on coiled flexible substrates
DE102004010954A1 (de) 2004-03-03 2005-10-06 Novaled Gmbh Verwendung eines Metallkomplexes als n-Dotand für ein organisches halbleitendes Matrixmaterial, organisches Halbleitermaterial und elektronisches Bauteil
US6987063B2 (en) 2004-06-10 2006-01-17 Freescale Semiconductor, Inc. Method to reduce impurity elements during semiconductor film deposition
US20050282384A1 (en) 2004-06-17 2005-12-22 Hidemi Nawafune Method for forming protective film and electroless plating bath
KR100615093B1 (ko) 2004-08-24 2006-08-22 삼성전자주식회사 나노크리스탈을 갖는 비휘발성 메모리 소자의 제조방법
US7250367B2 (en) * 2004-09-01 2007-07-31 Micron Technology, Inc. Deposition methods using heteroleptic precursors
US20060068098A1 (en) 2004-09-27 2006-03-30 Tokyo Electron Limited Deposition of ruthenium metal layers in a thermal chemical vapor deposition process
US7659203B2 (en) 2005-03-18 2010-02-09 Applied Materials, Inc. Electroless deposition process on a silicon contact
EP1728894B1 (en) 2005-06-01 2008-10-15 Interuniversitair Microelektronica Centrum ( Imec) Atomic layer deposition (ald) method for producing a high quality layer
US20070066060A1 (en) 2005-09-19 2007-03-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices and fabrication methods thereof
US8278216B1 (en) 2006-08-18 2012-10-02 Novellus Systems, Inc. Selective capping of copper
US20080206987A1 (en) 2007-01-29 2008-08-28 Gelatos Avgerinos V Process for tungsten nitride deposition by a temperature controlled lid assembly
JP2008205219A (ja) 2007-02-20 2008-09-04 Masato Toshima シャワーヘッドおよびこれを用いたcvd装置
US7786006B2 (en) 2007-02-26 2010-08-31 Tokyo Electron Limited Interconnect structures with a metal nitride diffusion barrier containing ruthenium and method of forming
CN100577866C (zh) 2007-02-27 2010-01-06 中微半导体设备(上海)有限公司 应用于等离子体反应室中的气体喷头组件、其制造方法及其翻新再利用的方法
US20080268642A1 (en) 2007-04-20 2008-10-30 Kazutaka Yanagita Deposition of transition metal carbide containing films
US8017182B2 (en) 2007-06-21 2011-09-13 Asm International N.V. Method for depositing thin films by mixed pulsed CVD and ALD
KR100890047B1 (ko) 2007-06-28 2009-03-25 주식회사 하이닉스반도체 반도체소자의 배선 형성방법
US8142847B2 (en) 2007-07-13 2012-03-27 Rohm And Haas Electronic Materials Llc Precursor compositions and methods
US8017183B2 (en) 2007-09-26 2011-09-13 Eastman Kodak Company Organosiloxane materials for selective area deposition of inorganic materials
US7772114B2 (en) 2007-12-05 2010-08-10 Novellus Systems, Inc. Method for improving uniformity and adhesion of low resistivity tungsten film
US8053365B2 (en) 2007-12-21 2011-11-08 Novellus Systems, Inc. Methods for forming all tungsten contacts and lines
KR20090101592A (ko) 2008-03-24 2009-09-29 삼성전자주식회사 산화막 형성 방법 및 이를 이용한 게이트 형성 방법
WO2009125255A1 (en) 2008-04-11 2009-10-15 Freescale Semiconductor, Inc. Surface treatment in semiconductor manufacturing
US8058170B2 (en) 2008-06-12 2011-11-15 Novellus Systems, Inc. Method for depositing thin tungsten film with low resistivity and robust micro-adhesion characteristics
KR101263856B1 (ko) 2008-12-31 2013-05-13 어플라이드 머티어리얼스, 인코포레이티드 비저항이 감소되고 표면 형태가 개선된 텅스텐 필름을 증착하는 방법
KR20100096488A (ko) 2009-02-24 2010-09-02 삼성전자주식회사 리세스 채널 구조를 갖는 반도체 소자
US20100267230A1 (en) 2009-04-16 2010-10-21 Anand Chandrashekar Method for forming tungsten contacts and interconnects with small critical dimensions
US20110020546A1 (en) 2009-05-15 2011-01-27 Asm International N.V. Low Temperature ALD of Noble Metals
US8207062B2 (en) 2009-09-09 2012-06-26 Novellus Systems, Inc. Method for improving adhesion of low resistivity tungsten/tungsten nitride layers
US8642797B2 (en) * 2010-02-25 2014-02-04 Air Products And Chemicals, Inc. Amidate precursors for depositing metal containing films
US20110256692A1 (en) 2010-04-14 2011-10-20 Applied Materials, Inc. Multiple precursor concentric delivery showerhead
IL213195A0 (en) 2010-05-31 2011-07-31 Rohm & Haas Elect Mat Photoresist compositions and emthods of forming photolithographic patterns
TWI509695B (zh) 2010-06-10 2015-11-21 Asm Int 使膜選擇性沈積於基板上的方法
TW201314739A (zh) 2010-09-27 2013-04-01 Astrowatt Inc 包含半導體層及含金屬層之電子裝置及其形成方法
WO2012057884A1 (en) 2010-10-29 2012-05-03 Applied Materials, Inc. Nitrogen-containing ligands and their use in atomic layer deposition methods
US8969823B2 (en) 2011-01-21 2015-03-03 Uchicago Argonne, Llc Microchannel plate detector and methods for their fabrication
JP5730670B2 (ja) 2011-05-27 2015-06-10 株式会社Adeka 酸化モリブデンを含有する薄膜の製造方法、及び酸化モリブデンを含有する薄膜の形成用原料
US9112003B2 (en) 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
SG11201404375PA (en) 2012-01-26 2014-10-30 Sigma Aldrich Co Llc Molybdenum allyl complexes and use thereof in thin film deposition
CN110004429B (zh) 2012-03-27 2021-08-31 诺发***公司 钨特征填充
JP2014074190A (ja) 2012-10-02 2014-04-24 Tokyo Electron Ltd 成膜装置
US9169556B2 (en) 2012-10-11 2015-10-27 Applied Materials, Inc. Tungsten growth modulation by controlling surface composition
US9230815B2 (en) 2012-10-26 2016-01-05 Appled Materials, Inc. Methods for depositing fluorine/carbon-free conformal tungsten
US9546419B2 (en) 2012-11-26 2017-01-17 Applied Materials, Inc. Method of reducing tungsten film roughness and resistivity
WO2014140672A1 (en) 2013-03-15 2014-09-18 L'air Liquide, Societe Anonyme Pour I'etude Et I'exploitation Des Procedes Georges Claude Bis(alkylimido)-bis(alkylamido)molybdenum molecules for deposition of molybdenum-containing films
US9082826B2 (en) 2013-05-24 2015-07-14 Lam Research Corporation Methods and apparatuses for void-free tungsten fill in three-dimensional semiconductor features
US9748105B2 (en) 2013-08-16 2017-08-29 Applied Materials, Inc. Tungsten deposition with tungsten hexafluoride (WF6) etchback
US9589808B2 (en) 2013-12-19 2017-03-07 Lam Research Corporation Method for depositing extremely low resistivity tungsten
TWI672737B (zh) 2013-12-27 2019-09-21 美商蘭姆研究公司 允許低電阻率鎢特徵物填充之鎢成核程序
JP2015177006A (ja) 2014-03-14 2015-10-05 株式会社東芝 半導体装置及びその製造方法
JP6379550B2 (ja) 2014-03-18 2018-08-29 東京エレクトロン株式会社 成膜装置
US9595470B2 (en) 2014-05-09 2017-03-14 Lam Research Corporation Methods of preparing tungsten and tungsten nitride thin films using tungsten chloride precursor
US20150348840A1 (en) 2014-05-31 2015-12-03 Lam Research Corporation Methods of filling high aspect ratio features with fluorine free tungsten
US9551074B2 (en) 2014-06-05 2017-01-24 Lam Research Corporation Electroless plating solution with at least two borane containing reducing agents
US9624577B2 (en) 2014-07-22 2017-04-18 Applied Materials, Inc. Deposition of metal doped amorphous carbon film
TWI656232B (zh) 2014-08-14 2019-04-11 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 鉬組成物及其用於形成氧化鉬膜之用途
KR20170029637A (ko) 2014-08-27 2017-03-15 울트라테크 인크. 개선된 스루 실리콘 비아
KR102156409B1 (ko) 2014-09-16 2020-09-15 에스케이하이닉스 주식회사 패턴 형성 방법
JP2016098406A (ja) 2014-11-21 2016-05-30 東京エレクトロン株式会社 モリブデン膜の成膜方法
US20160168699A1 (en) 2014-12-12 2016-06-16 Asm Ip Holding B.V. Method for depositing metal-containing film using particle-reduction step
US9502263B2 (en) 2014-12-15 2016-11-22 Applied Materials, Inc. UV assisted CVD AlN film for BEOL etch stop application
US9443865B2 (en) 2014-12-18 2016-09-13 Sandisk Technologies Llc Fabricating 3D NAND memory having monolithic crystalline silicon vertical NAND channel
US9520295B2 (en) 2015-02-03 2016-12-13 Lam Research Corporation Metal doping of amorphous carbon and silicon films used as hardmasks in substrate processing systems
US9953984B2 (en) 2015-02-11 2018-04-24 Lam Research Corporation Tungsten for wordline applications
KR102440302B1 (ko) 2015-04-13 2022-09-05 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체 장치 및 그 제작 방법
US10079144B2 (en) 2015-04-22 2018-09-18 Samsung Electronics Co., Ltd. Composition for layered transition metal chalcogenide compound layer and method of forming layered transition metal chalcogenide compound layer
US9978605B2 (en) 2015-05-27 2018-05-22 Lam Research Corporation Method of forming low resistivity fluorine free tungsten film without nucleation
KR102430540B1 (ko) 2015-05-27 2022-08-08 에이에스엠 아이피 홀딩 비.브이. 몰리브덴 또는 텅스텐 함유 박막의 ald용 전구체의 합성 및 사용
US9754824B2 (en) 2015-05-27 2017-09-05 Lam Research Corporation Tungsten films having low fluorine content
US9613818B2 (en) 2015-05-27 2017-04-04 Lam Research Corporation Deposition of low fluorine tungsten by sequential CVD process
US9972504B2 (en) 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
US10121671B2 (en) 2015-08-28 2018-11-06 Applied Materials, Inc. Methods of depositing metal films using metal oxyhalide precursors
US9853123B2 (en) 2015-10-28 2017-12-26 United Microelectronics Corp. Semiconductor structure and fabrication method thereof
US10535558B2 (en) 2016-02-09 2020-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming trenches
US10995405B2 (en) * 2016-02-19 2021-05-04 Merck Patent Gmbh Deposition of molybdenum thin films using a molybdenum carbonyl precursor
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
TWI732846B (zh) 2016-04-25 2021-07-11 美商應用材料股份有限公司 透過控制前驅物混合來強化金屬的空間ald
US10014212B2 (en) 2016-06-08 2018-07-03 Asm Ip Holding B.V. Selective deposition of metallic films
JP6793243B2 (ja) 2016-07-14 2020-12-02 インテグリス・インコーポレーテッド MoOCl4を使用することによるCVD Mo堆積
JP6998873B2 (ja) 2016-07-26 2022-01-18 東京エレクトロン株式会社 タングステン膜の成膜方法
US10573522B2 (en) 2016-08-16 2020-02-25 Lam Research Corporation Method for preventing line bending during metal fill process
US20180142345A1 (en) 2016-11-23 2018-05-24 Entegris, Inc. Low temperature molybdenum film deposition utilizing boron nucleation layers
US10453744B2 (en) 2016-11-23 2019-10-22 Entegris, Inc. Low temperature molybdenum film deposition utilizing boron nucleation layers
JP2018098287A (ja) 2016-12-09 2018-06-21 東芝メモリ株式会社 半導体装置の製造方法
US10283404B2 (en) 2017-03-30 2019-05-07 Lam Research Corporation Selective deposition of WCN barrier/adhesion layer for interconnect
KR102466639B1 (ko) 2017-04-10 2022-11-11 램 리써치 코포레이션 몰리브덴을 함유하는 저 저항률 막들
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US20190067003A1 (en) 2017-08-30 2019-02-28 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film on a dielectric surface of a substrate and related semiconductor device structures
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
US10096475B1 (en) 2017-11-17 2018-10-09 Lam Research Corporation System and method for depositing a homogenous interface for PECVD metal-doped carbon hardmasks
KR20200079339A (ko) 2017-11-20 2020-07-02 램 리써치 코포레이션 자기 제한 성장
CN111566786B (zh) 2017-12-14 2024-03-15 应用材料公司 蚀刻金属氧化物而蚀刻残留物较少的方法
US11560625B2 (en) 2018-01-19 2023-01-24 Entegris, Inc. Vapor deposition of molybdenum using a bis(alkyl-arene) molybdenum precursor
TW201939628A (zh) 2018-03-02 2019-10-01 美商微材料有限責任公司 移除金屬氧化物的方法
WO2019213604A1 (en) 2018-05-03 2019-11-07 Lam Research Corporation Method of depositing tungsten and other metals in 3d nand structures
US10643846B2 (en) 2018-06-28 2020-05-05 Lam Research Corporation Selective growth of metal-containing hardmask thin films
WO2020023790A1 (en) 2018-07-26 2020-01-30 Lam Research Corporation Deposition of pure metal films
WO2020028587A1 (en) 2018-07-31 2020-02-06 Lam Research Corporation Multi-layer feature fill
JP2020056104A (ja) 2018-10-02 2020-04-09 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US11387112B2 (en) 2018-10-04 2022-07-12 Tokyo Electron Limited Surface processing method and processing system
JP2022509621A (ja) 2018-11-19 2022-01-21 ラム リサーチ コーポレーション タングステン用モリブデンテンプレート
WO2020159882A1 (en) 2019-01-28 2020-08-06 Lam Research Corporation Deposition of metal films
KR20210127262A (ko) 2019-03-11 2021-10-21 램 리써치 코포레이션 몰리브덴-함유 막들의 증착을 위한 전구체들
US11282745B2 (en) 2019-04-28 2022-03-22 Applied Materials, Inc. Methods for filling features with ruthenium
US11891690B2 (en) 2019-08-12 2024-02-06 Applied Materials, Inc. Molybdenum thin films by oxidation-reduction
EP4018471A4 (en) 2019-08-22 2024-01-17 Lam Research Corporation SUBSTANTIALLY CARBON-FREE MOLYBDENUM AND TUNGSTEN FILMS IN SEMICONDUCTOR DEVICE MANUFACTURING
US20220328317A1 (en) 2019-09-03 2022-10-13 Lam Research Corporation Molybdenum deposition
JP2022551965A (ja) 2019-10-15 2022-12-14 ラム リサーチ コーポレーション モリブデン充填
WO2021183728A1 (en) 2020-03-11 2021-09-16 Applied Materials, Inc. Gap fill methods using catalyzed deposition
TW202200828A (zh) 2020-06-24 2022-01-01 荷蘭商Asm Ip私人控股有限公司 含鉬薄膜的氣相沉積
KR20220058434A (ko) 2020-10-30 2022-05-09 에이에스엠 아이피 홀딩 비.브이. 몰리브덴 증착 방법
US20220220139A1 (en) 2021-01-12 2022-07-14 Applied Materials, Inc. Molybdenum (0) precursors for deposition of molybdenum films
US11530477B2 (en) 2021-01-12 2022-12-20 Applied Materials, Inc. Cycloheptatriene molybdenum (0) precursors for deposition of molybdenum films
US11434254B2 (en) 2021-01-12 2022-09-06 Applied Materials, Inc. Dinuclear molybdenum precursors for deposition of molybdenum-containing films

Also Published As

Publication number Publication date
US11821071B2 (en) 2023-11-21
US20220170155A1 (en) 2022-06-02
US20240052486A1 (en) 2024-02-15
SG11202109796QA (en) 2021-10-28
WO2020185618A1 (en) 2020-09-17
TW202102709A (zh) 2021-01-16
CN113557320A (zh) 2021-10-26
KR20210127262A (ko) 2021-10-21

Similar Documents

Publication Publication Date Title
JP2022524041A (ja) モリブデン含有皮膜の堆積のための前駆体
JP4870759B2 (ja) 3成分膜の新規な堆積方法
JP5746034B2 (ja) 薄膜堆積のためのニオブおよびバナジウムの有機金属前駆体
US20220298624A1 (en) Substantially carbon-free molybdenum-containing and tungsten-containing films in semiconductor device manufacturing
TW201629253A (zh) 含矽膜之原子層沉積中的選擇性抑制
US20230130557A1 (en) Reactant gas pulse delivery
JP2023527774A (ja) 低抵抗率の接点および相互接続
KR102653603B1 (ko) 코발트-함유 막 형성 조성물, 이의 합성, 및 막 증착에서의 용도
US10011903B2 (en) Manganese-containing film forming compositions, their synthesis, and use in film deposition
US20150368282A1 (en) Cobalt-containing compounds, their synthesis, and use in cobalt-containing film deposition
JP2022545217A (ja) 金属充填プロセス中のラインベンディングの低減
US20230098270A1 (en) Precursors for high-temperature deposition of silicon-containing films
WO2023114640A1 (en) Deposition of metals in recessed features with the use of halogen-containing deposition inhibitors
WO2023250500A1 (en) Plasma enhanced low temperature atomic layer deposition of metals
JP2023550331A (ja) 低抵抗率コンタクト及びインターコネクト
WO2024081263A1 (en) Deposition of metal-containing films
TW202419663A (zh) 金屬的電漿增強低溫原子層沉積
WO2023114648A1 (en) Low temperature molybdenum deposition assisted by silicon-containing reactants
JP2023526789A (ja) 誘電体表面の湿式官能化
JP2024511271A (ja) 原子層堆積のための還元剤
CN115702474A (zh) 高选择性掺杂硬掩模膜
TW202340510A (zh) 用於針對低溫前驅物改進保形性的原子層沉積脈衝序列工程

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20230227

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20240222

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20240326

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20240620