JP2023527774A - 低抵抗率の接点および相互接続 - Google Patents
低抵抗率の接点および相互接続 Download PDFInfo
- Publication number
- JP2023527774A JP2023527774A JP2022571128A JP2022571128A JP2023527774A JP 2023527774 A JP2023527774 A JP 2023527774A JP 2022571128 A JP2022571128 A JP 2022571128A JP 2022571128 A JP2022571128 A JP 2022571128A JP 2023527774 A JP2023527774 A JP 2023527774A
- Authority
- JP
- Japan
- Prior art keywords
- metal
- conductive material
- feature
- tungsten
- metal halide
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Pending
Links
- 238000000034 method Methods 0.000 claims abstract description 120
- 229910052751 metal Inorganic materials 0.000 claims abstract description 69
- 239000002184 metal Substances 0.000 claims abstract description 69
- 230000008569 process Effects 0.000 claims abstract description 68
- 238000000151 deposition Methods 0.000 claims abstract description 58
- 239000004020 conductor Substances 0.000 claims abstract description 51
- 230000008021 deposition Effects 0.000 claims abstract description 43
- 238000000231 atomic layer deposition Methods 0.000 claims abstract description 30
- 238000005229 chemical vapour deposition Methods 0.000 claims abstract description 25
- 238000011049 filling Methods 0.000 claims abstract description 20
- 229910001507 metal halide Inorganic materials 0.000 claims description 52
- 150000005309 metal halides Chemical class 0.000 claims description 52
- 239000000758 substrate Substances 0.000 claims description 33
- 229910052750 molybdenum Inorganic materials 0.000 claims description 18
- 229910044991 metal oxide Inorganic materials 0.000 claims description 16
- 150000004706 metal oxides Chemical class 0.000 claims description 16
- 230000006911 nucleation Effects 0.000 claims description 16
- 238000010899 nucleation Methods 0.000 claims description 16
- 229910052721 tungsten Inorganic materials 0.000 claims description 16
- 239000011733 molybdenum Substances 0.000 claims description 14
- ZOKXTWBITQBERF-UHFFFAOYSA-N Molybdenum Chemical compound [Mo] ZOKXTWBITQBERF-UHFFFAOYSA-N 0.000 claims description 13
- 238000011282 treatment Methods 0.000 claims description 12
- 239000010937 tungsten Substances 0.000 claims description 12
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 claims description 11
- 239000010941 cobalt Substances 0.000 claims description 11
- 229910017052 cobalt Inorganic materials 0.000 claims description 11
- 239000011651 chromium Substances 0.000 claims description 10
- GUTLYIVDDKVIGB-UHFFFAOYSA-N cobalt atom Chemical compound [Co] GUTLYIVDDKVIGB-UHFFFAOYSA-N 0.000 claims description 10
- 229910052707 ruthenium Inorganic materials 0.000 claims description 10
- 239000010936 titanium Substances 0.000 claims description 10
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 claims description 10
- NXHILIPIEUBEPD-UHFFFAOYSA-H tungsten hexafluoride Chemical compound F[W](F)(F)(F)(F)F NXHILIPIEUBEPD-UHFFFAOYSA-H 0.000 claims description 10
- KJTLSVCANCCWHF-UHFFFAOYSA-N Ruthenium Chemical compound [Ru] KJTLSVCANCCWHF-UHFFFAOYSA-N 0.000 claims description 9
- GICWIDZXWJGTCI-UHFFFAOYSA-I molybdenum pentachloride Chemical compound Cl[Mo](Cl)(Cl)(Cl)Cl GICWIDZXWJGTCI-UHFFFAOYSA-I 0.000 claims description 9
- 229910052736 halogen Inorganic materials 0.000 claims description 8
- 150000002367 halogens Chemical class 0.000 claims description 8
- WSWMGHRLUYADNA-UHFFFAOYSA-N 7-nitro-1,2,3,4-tetrahydroquinoline Chemical compound C1CCNC2=CC([N+](=O)[O-])=CC=C21 WSWMGHRLUYADNA-UHFFFAOYSA-N 0.000 claims description 7
- 229910052804 chromium Inorganic materials 0.000 claims description 7
- 229910052715 tantalum Inorganic materials 0.000 claims description 7
- YRGLXIVYESZPLQ-UHFFFAOYSA-I tantalum pentafluoride Chemical compound F[Ta](F)(F)(F)F YRGLXIVYESZPLQ-UHFFFAOYSA-I 0.000 claims description 7
- 229910052719 titanium Inorganic materials 0.000 claims description 7
- XJDNKRIXUMDJCW-UHFFFAOYSA-J titanium tetrachloride Chemical compound Cl[Ti](Cl)(Cl)Cl XJDNKRIXUMDJCW-UHFFFAOYSA-J 0.000 claims description 7
- KPGXUAIFQMJJFB-UHFFFAOYSA-H tungsten hexachloride Chemical compound Cl[W](Cl)(Cl)(Cl)(Cl)Cl KPGXUAIFQMJJFB-UHFFFAOYSA-H 0.000 claims description 7
- WIDQNNDDTXUPAN-UHFFFAOYSA-I tungsten(v) chloride Chemical compound Cl[W](Cl)(Cl)(Cl)Cl WIDQNNDDTXUPAN-UHFFFAOYSA-I 0.000 claims description 7
- 229910021566 Chromium(V) fluoride Inorganic materials 0.000 claims description 6
- OMKYWARVLGERCK-UHFFFAOYSA-I chromium pentafluoride Chemical compound F[Cr](F)(F)(F)F OMKYWARVLGERCK-UHFFFAOYSA-I 0.000 claims description 6
- YHBDIEWMOMLKOO-UHFFFAOYSA-I pentachloroniobium Chemical compound Cl[Nb](Cl)(Cl)(Cl)Cl YHBDIEWMOMLKOO-UHFFFAOYSA-I 0.000 claims description 5
- 230000009467 reduction Effects 0.000 claims description 5
- 229910003091 WCl6 Inorganic materials 0.000 claims description 4
- 229910052741 iridium Inorganic materials 0.000 claims description 4
- DSYRJFDOOSKABR-UHFFFAOYSA-I niobium(v) bromide Chemical compound [Br-].[Br-].[Br-].[Br-].[Br-].[Nb+5] DSYRJFDOOSKABR-UHFFFAOYSA-I 0.000 claims description 4
- VYZAMTAEIAYCRO-UHFFFAOYSA-N Chromium Chemical compound [Cr] VYZAMTAEIAYCRO-UHFFFAOYSA-N 0.000 claims description 3
- MEOSMFUUJVIIKB-UHFFFAOYSA-N [W].[C] Chemical compound [W].[C] MEOSMFUUJVIIKB-UHFFFAOYSA-N 0.000 claims description 3
- UQZIWOQVLUASCR-UHFFFAOYSA-N alumane;titanium Chemical compound [AlH3].[Ti] UQZIWOQVLUASCR-UHFFFAOYSA-N 0.000 claims description 3
- GPBUGPUPKAGMDK-UHFFFAOYSA-N azanylidynemolybdenum Chemical compound [Mo]#N GPBUGPUPKAGMDK-UHFFFAOYSA-N 0.000 claims description 3
- IVHJCRXBQPGLOV-UHFFFAOYSA-N azanylidynetungsten Chemical compound [W]#N IVHJCRXBQPGLOV-UHFFFAOYSA-N 0.000 claims description 3
- OQVJXQJWYQNWTI-UHFFFAOYSA-H hexabromotungsten Chemical compound Br[W](Br)(Br)(Br)(Br)Br OQVJXQJWYQNWTI-UHFFFAOYSA-H 0.000 claims description 3
- GKOZUEZYRPOHIO-UHFFFAOYSA-N iridium atom Chemical compound [Ir] GKOZUEZYRPOHIO-UHFFFAOYSA-N 0.000 claims description 3
- NFVUDQKTAWONMJ-UHFFFAOYSA-I pentafluorovanadium Chemical compound [F-].[F-].[F-].[F-].[F-].[V+5] NFVUDQKTAWONMJ-UHFFFAOYSA-I 0.000 claims description 3
- MZLGASXMSKOWSE-UHFFFAOYSA-N tantalum nitride Chemical compound [Ta]#N MZLGASXMSKOWSE-UHFFFAOYSA-N 0.000 claims description 3
- OEIMLTQPLAGXMX-UHFFFAOYSA-I tantalum(v) chloride Chemical compound Cl[Ta](Cl)(Cl)(Cl)Cl OEIMLTQPLAGXMX-UHFFFAOYSA-I 0.000 claims description 3
- UONOETXJSWQNOL-UHFFFAOYSA-N tungsten carbide Chemical compound [W+]#[C-] UONOETXJSWQNOL-UHFFFAOYSA-N 0.000 claims description 3
- LEONUFNNVUYDNQ-UHFFFAOYSA-N vanadium atom Chemical compound [V] LEONUFNNVUYDNQ-UHFFFAOYSA-N 0.000 claims description 3
- 229910010038 TiAl Inorganic materials 0.000 claims description 2
- 229910015221 MoCl5 Inorganic materials 0.000 claims 1
- 229910015255 MoF6 Inorganic materials 0.000 claims 1
- 229910019804 NbCl5 Inorganic materials 0.000 claims 1
- 229910004546 TaF5 Inorganic materials 0.000 claims 1
- 229910003074 TiCl4 Inorganic materials 0.000 claims 1
- RLCOZMCCEKDUPY-UHFFFAOYSA-H molybdenum hexafluoride Chemical compound F[Mo](F)(F)(F)(F)F RLCOZMCCEKDUPY-UHFFFAOYSA-H 0.000 claims 1
- 239000000376 reactant Substances 0.000 abstract description 20
- 238000004140 cleaning Methods 0.000 abstract description 12
- 230000004888 barrier function Effects 0.000 abstract description 9
- 239000007789 gas Substances 0.000 description 42
- 239000002243 precursor Substances 0.000 description 39
- -1 poly(2-ethyl-2-oxazoline) Polymers 0.000 description 20
- 238000012545 processing Methods 0.000 description 19
- 235000012431 wafers Nutrition 0.000 description 18
- 239000007788 liquid Substances 0.000 description 17
- NJPPVKZQTLUDBO-UHFFFAOYSA-N novaluron Chemical compound C1=C(Cl)C(OC(F)(F)C(OC(F)(F)F)F)=CC=C1NC(=O)NC(=O)C1=C(F)C=CC=C1F NJPPVKZQTLUDBO-UHFFFAOYSA-N 0.000 description 15
- 239000003638 chemical reducing agent Substances 0.000 description 13
- 239000000463 material Substances 0.000 description 13
- 229910052760 oxygen Inorganic materials 0.000 description 12
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 11
- 239000001301 oxygen Substances 0.000 description 11
- 238000004519 manufacturing process Methods 0.000 description 10
- 238000010926 purge Methods 0.000 description 10
- 239000004065 semiconductor Substances 0.000 description 10
- 238000012546 transfer Methods 0.000 description 10
- 230000008016 vaporization Effects 0.000 description 9
- 239000000460 chlorine Substances 0.000 description 8
- 238000002156 mixing Methods 0.000 description 8
- 238000009834 vaporization Methods 0.000 description 8
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 7
- 239000012159 carrier gas Substances 0.000 description 7
- 229910052710 silicon Inorganic materials 0.000 description 7
- 239000010703 silicon Substances 0.000 description 7
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 6
- ZAMOUSCENKQFHK-UHFFFAOYSA-N Chlorine atom Chemical compound [Cl] ZAMOUSCENKQFHK-UHFFFAOYSA-N 0.000 description 6
- 229910052801 chlorine Inorganic materials 0.000 description 6
- 238000010586 diagram Methods 0.000 description 6
- YCKRFDGAMUMZLT-UHFFFAOYSA-N Fluorine atom Chemical compound [F] YCKRFDGAMUMZLT-UHFFFAOYSA-N 0.000 description 5
- 229910052731 fluorine Inorganic materials 0.000 description 5
- 239000011737 fluorine Substances 0.000 description 5
- 150000004820 halides Chemical class 0.000 description 5
- 239000003446 ligand Substances 0.000 description 5
- OAKJQQAXSVQMHS-UHFFFAOYSA-N Hydrazine Chemical compound NN OAKJQQAXSVQMHS-UHFFFAOYSA-N 0.000 description 4
- 150000001875 compounds Chemical class 0.000 description 4
- 230000007423 decrease Effects 0.000 description 4
- 229910052739 hydrogen Inorganic materials 0.000 description 4
- 238000011068 loading method Methods 0.000 description 4
- 239000000203 mixture Substances 0.000 description 4
- 150000004767 nitrides Chemical class 0.000 description 4
- 239000013110 organic ligand Substances 0.000 description 4
- 238000007254 oxidation reaction Methods 0.000 description 4
- 238000005240 physical vapour deposition Methods 0.000 description 4
- 239000007787 solid Substances 0.000 description 4
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 description 3
- PXHVJJICTQNCMI-UHFFFAOYSA-N Nickel Chemical compound [Ni] PXHVJJICTQNCMI-UHFFFAOYSA-N 0.000 description 3
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 3
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 description 3
- 229910052786 argon Inorganic materials 0.000 description 3
- 238000001636 atomic emission spectroscopy Methods 0.000 description 3
- 238000006243 chemical reaction Methods 0.000 description 3
- 238000004891 communication Methods 0.000 description 3
- 239000010949 copper Substances 0.000 description 3
- 238000005137 deposition process Methods 0.000 description 3
- 230000000694 effects Effects 0.000 description 3
- 239000001257 hydrogen Substances 0.000 description 3
- 239000011261 inert gas Substances 0.000 description 3
- 150000002736 metal compounds Chemical class 0.000 description 3
- 125000002524 organometallic group Chemical group 0.000 description 3
- 230000003647 oxidation Effects 0.000 description 3
- 238000000059 patterning Methods 0.000 description 3
- 238000012552 review Methods 0.000 description 3
- GUVRBAGPIYLISA-UHFFFAOYSA-N tantalum atom Chemical compound [Ta] GUVRBAGPIYLISA-UHFFFAOYSA-N 0.000 description 3
- ZCYVEMRRCGMTRW-UHFFFAOYSA-N 7553-56-2 Chemical compound [I] ZCYVEMRRCGMTRW-UHFFFAOYSA-N 0.000 description 2
- QGZKDVFQNNGYKY-UHFFFAOYSA-N Ammonia Chemical compound N QGZKDVFQNNGYKY-UHFFFAOYSA-N 0.000 description 2
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 2
- ZOXJGFHDIHLPTG-UHFFFAOYSA-N Boron Chemical compound [B] ZOXJGFHDIHLPTG-UHFFFAOYSA-N 0.000 description 2
- WKBOTKDWSSQWDR-UHFFFAOYSA-N Bromine atom Chemical compound [Br] WKBOTKDWSSQWDR-UHFFFAOYSA-N 0.000 description 2
- 229910015686 MoOCl4 Inorganic materials 0.000 description 2
- BLRPTPMANUNPDV-UHFFFAOYSA-N Silane Chemical compound [SiH4] BLRPTPMANUNPDV-UHFFFAOYSA-N 0.000 description 2
- BOTDANWDWHJENH-UHFFFAOYSA-N Tetraethyl orthosilicate Chemical compound CCO[Si](OCC)(OCC)OCC BOTDANWDWHJENH-UHFFFAOYSA-N 0.000 description 2
- 230000009471 action Effects 0.000 description 2
- 229920006187 aquazol Polymers 0.000 description 2
- 239000012861 aquazol Substances 0.000 description 2
- 229910052796 boron Inorganic materials 0.000 description 2
- GDTBXPJZTBHREO-UHFFFAOYSA-N bromine Substances BrBr GDTBXPJZTBHREO-UHFFFAOYSA-N 0.000 description 2
- 229910052794 bromium Inorganic materials 0.000 description 2
- 238000004422 calculation algorithm Methods 0.000 description 2
- 229910052799 carbon Inorganic materials 0.000 description 2
- 238000004590 computer program Methods 0.000 description 2
- 125000000058 cyclopentadienyl group Chemical group C1(=CC=CC1)* 0.000 description 2
- 125000004989 dicarbonyl group Chemical group 0.000 description 2
- 239000003989 dielectric material Substances 0.000 description 2
- ASLHVQCNFUOEEN-UHFFFAOYSA-N dioxomolybdenum;dihydrochloride Chemical compound Cl.Cl.O=[Mo]=O ASLHVQCNFUOEEN-UHFFFAOYSA-N 0.000 description 2
- 238000009826 distribution Methods 0.000 description 2
- ZSWFCLXCOIISFI-UHFFFAOYSA-N endo-cyclopentadiene Natural products C1C=CC=C1 ZSWFCLXCOIISFI-UHFFFAOYSA-N 0.000 description 2
- 238000005530 etching Methods 0.000 description 2
- 239000012530 fluid Substances 0.000 description 2
- 238000010438 heat treatment Methods 0.000 description 2
- 239000001307 helium Substances 0.000 description 2
- 229910052734 helium Inorganic materials 0.000 description 2
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 description 2
- 238000011065 in-situ storage Methods 0.000 description 2
- 238000010348 incorporation Methods 0.000 description 2
- 229910052740 iodine Inorganic materials 0.000 description 2
- 239000011630 iodine Substances 0.000 description 2
- 238000001459 lithography Methods 0.000 description 2
- 238000005259 measurement Methods 0.000 description 2
- 150000002739 metals Chemical class 0.000 description 2
- 230000001590 oxidative effect Effects 0.000 description 2
- SFPKXFFNQYDGAH-UHFFFAOYSA-N oxomolybdenum;tetrahydrochloride Chemical compound Cl.Cl.Cl.Cl.[Mo]=O SFPKXFFNQYDGAH-UHFFFAOYSA-N 0.000 description 2
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 2
- 238000007747 plating Methods 0.000 description 2
- 239000010948 rhodium Substances 0.000 description 2
- 229910052814 silicon oxide Inorganic materials 0.000 description 2
- 229910052717 sulfur Inorganic materials 0.000 description 2
- UYEGPKGLVUUIGD-UHFFFAOYSA-J tetrachloro(oxo)molybdenum Chemical compound Cl[Mo](Cl)(Cl)(Cl)=O UYEGPKGLVUUIGD-UHFFFAOYSA-J 0.000 description 2
- MNWRORMXBIWXCI-UHFFFAOYSA-N tetrakis(dimethylamido)titanium Chemical compound CN(C)[Ti](N(C)C)(N(C)C)N(C)C MNWRORMXBIWXCI-UHFFFAOYSA-N 0.000 description 2
- 229910052723 transition metal Inorganic materials 0.000 description 2
- 150000003624 transition metals Chemical class 0.000 description 2
- UXVOMHPBSSIGNQ-UHFFFAOYSA-I tungsten(v) bromide Chemical compound Br[W](Br)(Br)(Br)Br UXVOMHPBSSIGNQ-UHFFFAOYSA-I 0.000 description 2
- 238000011144 upstream manufacturing Methods 0.000 description 2
- KOPFEFZSAMLEHK-UHFFFAOYSA-N 1h-pyrazole-5-carboxylic acid Chemical class OC(=O)C=1C=CNN=1 KOPFEFZSAMLEHK-UHFFFAOYSA-N 0.000 description 1
- RLJUCKFARAQBDA-UHFFFAOYSA-N C(C)C1(C=CC=C1)[W](N=O)(=C=O)=C=O Chemical compound C(C)C1(C=CC=C1)[W](N=O)(=C=O)=C=O RLJUCKFARAQBDA-UHFFFAOYSA-N 0.000 description 1
- XOSBQSGUNCVAIL-UHFFFAOYSA-N CC(=C[Ru]C1(C=CC=C1)CC)C=C(C)C Chemical compound CC(=C[Ru]C1(C=CC=C1)CC)C=C(C)C XOSBQSGUNCVAIL-UHFFFAOYSA-N 0.000 description 1
- JVCWKXBYGCJHDF-UHFFFAOYSA-N CC(C)(C)N=[W](N(C)C)(=NC(C)(C)C)N(C)C Chemical compound CC(C)(C)N=[W](N(C)C)(=NC(C)(C)C)N(C)C JVCWKXBYGCJHDF-UHFFFAOYSA-N 0.000 description 1
- OAMOJYZDVHZSDW-UHFFFAOYSA-N CC1(C=CC=C1)[W](N=O)(=C=O)=C=O Chemical compound CC1(C=CC=C1)[W](N=O)(=C=O)=C=O OAMOJYZDVHZSDW-UHFFFAOYSA-N 0.000 description 1
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 1
- QPLDLSVMHZLSFG-UHFFFAOYSA-N Copper oxide Chemical compound [Cu]=O QPLDLSVMHZLSFG-UHFFFAOYSA-N 0.000 description 1
- 239000005751 Copper oxide Substances 0.000 description 1
- 229910015617 MoNx Inorganic materials 0.000 description 1
- 229910015656 MoOF4 Inorganic materials 0.000 description 1
- QMTOADWOYGJTAJ-UHFFFAOYSA-N OC(=O)N1CCCC1=N Chemical class OC(=O)N1CCCC1=N QMTOADWOYGJTAJ-UHFFFAOYSA-N 0.000 description 1
- 239000007983 Tris buffer Substances 0.000 description 1
- 238000003848 UV Light-Curing Methods 0.000 description 1
- CTUKRAIAEPZKSG-UHFFFAOYSA-L [Br-].[Br-].O=[Mo+2]=O Chemical compound [Br-].[Br-].O=[Mo+2]=O CTUKRAIAEPZKSG-UHFFFAOYSA-L 0.000 description 1
- RRKNUGROPHXWKT-UHFFFAOYSA-J [Nb+4].[I-].[I-].[I-].[I-] Chemical compound [Nb+4].[I-].[I-].[I-].[I-] RRKNUGROPHXWKT-UHFFFAOYSA-J 0.000 description 1
- 150000004703 alkoxides Chemical class 0.000 description 1
- 125000003282 alkyl amino group Chemical group 0.000 description 1
- 125000000217 alkyl group Chemical group 0.000 description 1
- 125000005103 alkyl silyl group Chemical group 0.000 description 1
- 229940059260 amidate Drugs 0.000 description 1
- 150000001412 amines Chemical class 0.000 description 1
- 229910021529 ammonia Inorganic materials 0.000 description 1
- 125000000129 anionic group Chemical group 0.000 description 1
- 230000008901 benefit Effects 0.000 description 1
- UORVGPXVDQYIDP-UHFFFAOYSA-N borane Chemical class B UORVGPXVDQYIDP-UHFFFAOYSA-N 0.000 description 1
- 229910000085 borane Inorganic materials 0.000 description 1
- AEVRNKXPLOTCBW-UHFFFAOYSA-N carbon monoxide;cobalt;cyclopenta-1,3-diene Chemical compound [Co].[O+]#[C-].[O+]#[C-].C=1C=C[CH-]C=1 AEVRNKXPLOTCBW-UHFFFAOYSA-N 0.000 description 1
- FQNHWXHRAUXLFU-UHFFFAOYSA-N carbon monoxide;tungsten Chemical group [W].[O+]#[C-].[O+]#[C-].[O+]#[C-].[O+]#[C-].[O+]#[C-].[O+]#[C-] FQNHWXHRAUXLFU-UHFFFAOYSA-N 0.000 description 1
- 230000008859 change Effects 0.000 description 1
- 239000011248 coating agent Substances 0.000 description 1
- 238000000576 coating method Methods 0.000 description 1
- 230000003750 conditioning effect Effects 0.000 description 1
- 238000011109 contamination Methods 0.000 description 1
- 238000001816 cooling Methods 0.000 description 1
- 239000000112 cooling gas Substances 0.000 description 1
- 229910052802 copper Inorganic materials 0.000 description 1
- 229910000431 copper oxide Inorganic materials 0.000 description 1
- 238000001723 curing Methods 0.000 description 1
- 238000000354 decomposition reaction Methods 0.000 description 1
- 238000003795 desorption Methods 0.000 description 1
- ZOCHARZZJNPSEU-UHFFFAOYSA-N diboron Chemical compound B#B ZOCHARZZJNPSEU-UHFFFAOYSA-N 0.000 description 1
- BMSDTRMGXCBBBH-UHFFFAOYSA-L diiodochromium Chemical compound [Cr+2].[I-].[I-] BMSDTRMGXCBBBH-UHFFFAOYSA-L 0.000 description 1
- 239000000539 dimer Substances 0.000 description 1
- VSLPMIMVDUOYFW-UHFFFAOYSA-N dimethylazanide;tantalum(5+) Chemical compound [Ta+5].C[N-]C.C[N-]C.C[N-]C.C[N-]C.C[N-]C VSLPMIMVDUOYFW-UHFFFAOYSA-N 0.000 description 1
- 125000001495 ethyl group Chemical group [H]C([H])([H])C([H])([H])* 0.000 description 1
- NPUKDXXFDDZOKR-LLVKDONJSA-N etomidate Chemical compound CCOC(=O)C1=CN=CN1[C@H](C)C1=CC=CC=C1 NPUKDXXFDDZOKR-LLVKDONJSA-N 0.000 description 1
- 230000009969 flowable effect Effects 0.000 description 1
- 125000003709 fluoroalkyl group Chemical group 0.000 description 1
- 229910000078 germane Inorganic materials 0.000 description 1
- 229910052732 germanium Inorganic materials 0.000 description 1
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 description 1
- 239000012535 impurity Substances 0.000 description 1
- 238000002347 injection Methods 0.000 description 1
- 239000007924 injection Substances 0.000 description 1
- MDKDXDROOSZTMM-UHFFFAOYSA-N iodo hypoiodite molybdenum Chemical compound [Mo].IOI MDKDXDROOSZTMM-UHFFFAOYSA-N 0.000 description 1
- 238000005468 ion implantation Methods 0.000 description 1
- 239000010410 layer Substances 0.000 description 1
- 239000012705 liquid precursor Substances 0.000 description 1
- 230000007246 mechanism Effects 0.000 description 1
- 238000001465 metallisation Methods 0.000 description 1
- 238000012986 modification Methods 0.000 description 1
- 230000004048 modification Effects 0.000 description 1
- 239000005078 molybdenum compound Substances 0.000 description 1
- 150000002752 molybdenum compounds Chemical class 0.000 description 1
- 238000012544 monitoring process Methods 0.000 description 1
- QKCGXXHCELUCKW-UHFFFAOYSA-N n-[4-[4-(dinaphthalen-2-ylamino)phenyl]phenyl]-n-naphthalen-2-ylnaphthalen-2-amine Chemical compound C1=CC=CC2=CC(N(C=3C=CC(=CC=3)C=3C=CC(=CC=3)N(C=3C=C4C=CC=CC4=CC=3)C=3C=C4C=CC=CC4=CC=3)C3=CC4=CC=CC=C4C=C3)=CC=C21 QKCGXXHCELUCKW-UHFFFAOYSA-N 0.000 description 1
- 230000007935 neutral effect Effects 0.000 description 1
- 229910052759 nickel Inorganic materials 0.000 description 1
- 229910052758 niobium Inorganic materials 0.000 description 1
- 239000010955 niobium Substances 0.000 description 1
- 229910052757 nitrogen Inorganic materials 0.000 description 1
- QJGQUHMNIGDVPM-UHFFFAOYSA-N nitrogen group Chemical group [N] QJGQUHMNIGDVPM-UHFFFAOYSA-N 0.000 description 1
- HCUBBWLKCMNCRQ-UHFFFAOYSA-N oxomolybdenum;tetrahydrofluoride Chemical compound F.F.F.F.[Mo]=O HCUBBWLKCMNCRQ-UHFFFAOYSA-N 0.000 description 1
- 239000002245 particle Substances 0.000 description 1
- 238000002161 passivation Methods 0.000 description 1
- QHIRVZBLPRTQQO-UHFFFAOYSA-I pentafluorotungsten Chemical compound F[W](F)(F)(F)F QHIRVZBLPRTQQO-UHFFFAOYSA-I 0.000 description 1
- 229920002120 photoresistant polymer Polymers 0.000 description 1
- 150000003254 radicals Chemical group 0.000 description 1
- 238000011946 reduction process Methods 0.000 description 1
- 230000004044 response Effects 0.000 description 1
- 230000000284 resting effect Effects 0.000 description 1
- 229910052703 rhodium Inorganic materials 0.000 description 1
- MHOVAHRLVXNVSD-UHFFFAOYSA-N rhodium atom Chemical compound [Rh] MHOVAHRLVXNVSD-UHFFFAOYSA-N 0.000 description 1
- 239000000523 sample Substances 0.000 description 1
- 238000013515 script Methods 0.000 description 1
- 229910000077 silane Inorganic materials 0.000 description 1
- 150000004756 silanes Chemical class 0.000 description 1
- 235000012239 silicon dioxide Nutrition 0.000 description 1
- 239000000377 silicon dioxide Substances 0.000 description 1
- LIVNPJMFVYWSIS-UHFFFAOYSA-N silicon monoxide Chemical class [Si-]#[O+] LIVNPJMFVYWSIS-UHFFFAOYSA-N 0.000 description 1
- 238000004544 sputter deposition Methods 0.000 description 1
- 238000000859 sublimation Methods 0.000 description 1
- 230000008022 sublimation Effects 0.000 description 1
- 239000000126 substance Substances 0.000 description 1
- 125000001424 substituent group Chemical group 0.000 description 1
- 239000011593 sulfur Substances 0.000 description 1
- 238000006557 surface reaction Methods 0.000 description 1
- MISXNQITXACHNJ-UHFFFAOYSA-I tantalum(5+);pentaiodide Chemical compound [I-].[I-].[I-].[I-].[I-].[Ta+5] MISXNQITXACHNJ-UHFFFAOYSA-I 0.000 description 1
- YXPHMGGSLJFAPL-UHFFFAOYSA-J tetrabromotungsten Chemical compound Br[W](Br)(Br)Br YXPHMGGSLJFAPL-UHFFFAOYSA-J 0.000 description 1
- YNQWRUOYFUZKDU-UHFFFAOYSA-J tetrafluoro(oxo)molybdenum Chemical compound F[Mo](F)(F)(F)=O YNQWRUOYFUZKDU-UHFFFAOYSA-J 0.000 description 1
- XRXPBLNWIMLYNO-UHFFFAOYSA-J tetrafluorotungsten Chemical compound F[W](F)(F)F XRXPBLNWIMLYNO-UHFFFAOYSA-J 0.000 description 1
- 238000005979 thermal decomposition reaction Methods 0.000 description 1
- 150000003568 thioethers Chemical class 0.000 description 1
- 150000007944 thiolates Chemical class 0.000 description 1
- NGKVXLAPSYULSX-UHFFFAOYSA-N tungsten(vi) oxytetrafluoride Chemical compound F.F.F.F.[W]=O NGKVXLAPSYULSX-UHFFFAOYSA-N 0.000 description 1
- 229910052720 vanadium Inorganic materials 0.000 description 1
- 239000006200 vaporizer Substances 0.000 description 1
- 239000011800 void material Substances 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76877—Filling of holes, grooves or trenches, e.g. vias, with conductive material
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02041—Cleaning
- H01L21/02057—Cleaning during device manufacture
- H01L21/0206—Cleaning during device manufacture during, before or after processing of insulating layers
- H01L21/02063—Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/28—Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
- H01L21/283—Deposition of conductive or insulating materials for electrodes conducting electric current
- H01L21/285—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
- H01L21/28506—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
- H01L21/28512—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
- H01L21/28556—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/28—Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
- H01L21/283—Deposition of conductive or insulating materials for electrodes conducting electric current
- H01L21/285—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
- H01L21/28506—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
- H01L21/28512—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
- H01L21/28556—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
- H01L21/28562—Selective deposition
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/28—Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
- H01L21/283—Deposition of conductive or insulating materials for electrodes conducting electric current
- H01L21/285—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
- H01L21/28506—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
- H01L21/28512—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
- H01L21/28568—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising transition metals
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76802—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
- H01L21/76814—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76841—Barrier, adhesion or liner layers
- H01L21/76871—Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
- H01L21/76876—Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/522—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
- H01L23/532—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
- H01L23/53204—Conductive materials
- H01L23/53209—Conductive materials based on metals, e.g. alloys, metal silicides
- H01L23/53257—Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
- H01L23/53266—Additional layers associated with refractory-metal layers, e.g. adhesion, barrier, cladding layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/522—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
- H01L23/532—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
- H01L23/53204—Conductive materials
- H01L23/53209—Conductive materials based on metals, e.g. alloys, metal silicides
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/522—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
- H01L23/532—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
- H01L23/53204—Conductive materials
- H01L23/53209—Conductive materials based on metals, e.g. alloys, metal silicides
- H01L23/53242—Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a noble metal, e.g. gold
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/522—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
- H01L23/532—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
- H01L23/53204—Conductive materials
- H01L23/53209—Conductive materials based on metals, e.g. alloys, metal silicides
- H01L23/53257—Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
Landscapes
- Engineering & Computer Science (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Computer Hardware Design (AREA)
- Physics & Mathematics (AREA)
- Power Engineering (AREA)
- Manufacturing & Machinery (AREA)
- Chemical & Material Sciences (AREA)
- Chemical Kinetics & Catalysis (AREA)
- General Chemical & Material Sciences (AREA)
- Crystallography & Structural Chemistry (AREA)
- Chemical Vapour Deposition (AREA)
- Electrodes Of Semiconductors (AREA)
- Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
Abstract
【解決手段】導電性材料で金属表面および誘電体表面を含むフィーチャを充填する方法は、誘電体表面にほとんどまたは全く損傷を与えずに金属表面を洗浄することを伴う。洗浄後、フィーチャを1つまたは複数の反応剤に曝露させ、原子層堆積(ALD)または化学気相堆積(CVD)プロセスにおいて導電性材料でフィーチャを充填することができる。堆積は、金属表面に対して選択的または非選択的であり得る。いくつかの実施形態では、充填されたフィーチャはバリアレスであり、それにより導電性材料は、バリアまたは接着層を介在させることなく金属表面および誘電体表面に直接接触する。【選択図】図3
Description
[参照による援用]
本出願の一部として、本明細書と同時にPCT出願願書が提出される。この同時出願されたPCT出願願書に明記され、本出願が利益または優先権を主張する各出願は、参照によりその全体があらゆる目的で本明細書に組み込まれる。
本出願の一部として、本明細書と同時にPCT出願願書が提出される。この同時出願されたPCT出願願書に明記され、本出願が利益または優先権を主張する各出願は、参照によりその全体があらゆる目的で本明細書に組み込まれる。
ここで提供される背景の説明は、本開示の内容を概ね提示することを目的とする。この背景技術のセクションで説明される範囲内における、現時点で名前を挙げられている発明者らによる研究、ならびに出願の時点で先行技術として別途みなされ得ない説明の態様は、明示または暗示を問わず、本開示に対抗する先行技術として認められない。
金属の堆積は、多くの半導体製作プロセスの不可欠な部分である。これらの材料は、水平相互接続、隣接する金属層の間のビア、および金属層とデバイスとの間の接点に使用することができる。しかし、デバイスが縮小し、より複雑なパターニングスキームが産業において利用されるにつれて、低抵抗率の金属膜の堆積が課題になっている。
本開示の一態様は、基板上にフィーチャを設けることであって、フィーチャは、その上に形成された金属酸化物の層を有する金属表面、および誘電体表面を含むことと、フィーチャを金属ハロゲン化物に曝露し、金属表面から金属酸化物の層を除去することとを含む方法に関する。
いくつかの実施形態では、方法は、導電性材料でフィーチャを充填することをさらに含む。いくつかのそのような実施形態では、導電性材料は、介在層なしで金属表面および誘電体表面に直接接触する。いくつかのそのような実施形態では、フィーチャを金属ハロゲン化物に曝露すること、および導電性材料でフィーチャを充填することは、同じチャンバで実施される。いくつかのそのような実施形態では、フィーチャを金属ハロゲン化物に曝露すること、および導電性材料でフィーチャを充填することは、同じチャンバの異なるステーションで実施される。いくつかの実施形態では、フィーチャを金属ハロゲン化物に曝露すること、および導電性材料でフィーチャを充填することは、異なるチャンバで実施される。
いくつかの実施形態では、導電性材料でフィーチャを充填することは、バルク導電性材料を堆積する前に導電性材料の核形成層を堆積することを含む。いくつかの実施形態では、導電性材料でフィーチャを充填することは、核形成層を堆積することなくバルク導電性材料を堆積することを含む。
いくつかの実施形態では、フィーチャを充填することは、バルク導電性材料を堆積するために、プラズマ強化プロセスまたは熱プロセスを含む原子層堆積プロセスまたは化学気相堆積プロセスを含む。
いくつかのそのような実施形態では、バルク導電性材料の堆積は、誘電体表面と比較して金属表面に対して選択的である。
いくつかのそのような実施形態では、バルク導電性材料の堆積は、金属表面および誘電体表面に対して非選択的である。様々な実施形態によれば、導電性材料は、モリブデン(Mo)、ルテニウム(Ru)、タングステン(W)、イリジウム(Ir)、クロム(Cr)、コバルト(Co)、および窒化チタン(TiN)から選択されてもよい。
いくつかの実施形態では、金属表面は、窒化チタン(TiN)表面、窒化モリブデン(MoNx)表面、窒化タングステン(WN)表面、窒化炭素タングステン(WCxNy)表面、炭化タングステン(WCx)表面、炭化チタンアルミニウム(TiAlxCy)表面、または窒化タンタル(TaN)表面のうちの1つである。
いくつかの実施形態では、金属ハロゲン化物の金属は、Mo、W、Cr、Ti、Ta、およびバナジウム(V)のうちの1つである。
いくつかの実施形態では、金属ハロゲン化物は、六フッ化タングステン(WF6)、六塩化タングステン(WCl6)、五塩化タングステン(WCl5)、六臭化タングステン(WBr6)のうちの1つである。
いくつかの実施形態では、金属ハロゲン化物は、六フッ化モリブデン(MoF6)および五塩化モリブデン(MoCl5)のうちの1つである。
いくつかの実施形態では、金属ハロゲン化物は、五塩化ニオブ(NbCl5)および五臭化ニオブ(NbBr5)のうちの1つである。
いくつかの実施形態では、金属ハロゲン化物は、五フッ化タンタル(TaF5)および五塩化タンタル(TaCl5)のうちの1つである。
いくつかの実施形態では。金属ハロゲン化物は、五フッ化バナジウム(VF5)、五フッ化クロム(CrF5)、および四塩化チタン(TiCl4)のうちの1つである。
いくつかの実施形態では、方法は、金属酸化物の層を除去した後に残留ハロゲンを除去するために還元処理を実施することをさらに伴う。
本開示のこれらおよび他の態様は、図面を参照して以下でさらに説明される。
導電性材料で金属表面および誘電体表面を含むフィーチャを充填する方法が提供される。方法は、誘電体表面にほとんどまたは全く損傷を与えずに金属表面を洗浄することを伴う。洗浄後、フィーチャを1つまたは複数の反応剤に曝露させ、原子層堆積(ALD)または化学気相堆積(CVD)プロセスにおいて導電性材料でフィーチャを充填することができる。堆積は、金属表面に対して選択的または非選択的であり得る。いくつかの実施形態では、充填されたフィーチャはバリアレスであり、それにより導電性材料は、バリアまたは接着層を介在させることなく金属表面および誘電体表面に直接接触する。
金属表面および誘電体表面を含むフィーチャの金属表面を洗浄する方法もまた、提供される。方法は、フィーチャにおける導電性材料の堆積前に実施することができる。いくつかの実施形態では、充填されたフィーチャはバリアレスであり、それにより導電性材料は、バリアまたは接着層を介在させることなく金属表面および誘電体表面に直接接触する。
図1は、様々な実施形態によるフィーチャ100の一例を図示する。フィーチャ100は、底面102と、1つまたは複数の側壁表面104とを含む。底面102は、金属接点106の金属面である。フィーチャ100は導電性材料で充填され、下にある金属接点106に対する電気的接続を提供する相互接続108を形成する。
金属接点106およびその表面(底面102)は、コバルト(Co)、ルテニウム(Ru)、銅(Cu)、タングステン(W)、モリブデン(Mo)、ニッケル(Ni)、イリジウム(Ir)、ロジウム(Rh)、タンタル(Ta)、およびチタン(Ti)などの任意の適切な金属であってもよい。いくつかの実施形態では、金属表面102は、元素金属表面である。いくつかの実施形態では、金属接点106およびその表面(底面102)は、窒化チタン(TiN)表面、窒化モリブデン(MoNx)表面、窒化タングステン(WN)表面、窒化炭素タングステン(WCxNy)表面、炭化タングステン(WCx)表面、炭化チタンアルミニウム(TiAlxCy)表面、または窒化タンタル(TaN)表面などの金属化合物であってもよい。これらの表面は、誘電体酸化物に関して堆積選択性を示し得る。底面102は、図1の例では下にある金属接点106の一部である。底面102は、バリアまたは接着層などの薄層ではなく、下にある層の主導体の一部であってもよい。
1つまたは複数の側壁表面104は、誘電体表面である。そのような表面は、ポリ(2-エチル-2-オキサゾリン)(PEOX)などのアルコキシド、およびテトラエチルオルソシリケート(TEOS)酸化物、流動性ケイ素系酸化物、炭素ドープケイ素系酸化物などを含むケイ素系酸化物を含む。いくつかの実施形態では、これらの表面は、フィーチャを囲む主誘電体層109の一部である。いくつかの実施形態では、側壁表面は、酸化物ではなく窒化物(例えば、SixNy)であってもよい。窒化物は、ケイ素系窒化物またはケイ素系酸窒化物であり得る。
相互接続108は、Mo、Ru、W、Ir、クロム(Cr)、Co、TiN、および他の遷移金属または遷移金属の化合物であってもよい。相互接続108は、1つまたは複数の側壁表面104の誘電体材料および金属接点106の金属表面に直接接触する。図1の例では、バリア層または接着層は相互接続108と金属接点106との間、および相互接続108と金属接点106との間に配置されない。TiN/Tiなどの材料は相互接続構造における共通のバリア/接着層であるが、図1に関して説明した実施形態では、使用される場合、TiNまたは他の金属窒化物は、バリア層ではなく金属接点の主導体である。
相互接続108は、ソース/ドレイン(S/D)接続、ミドルオブライン(MOL)構造、またはバックエンドオブライン(BEOL)構造を含む、部分的に製作された半導体デバイスの任意の適切な部分の一部であってもよい。さらに、これは相互接続と呼ばれるが、金属ラインなどの誘電体内に埋め込まれた任意の導電膜を含んでもよい。
図2は、導電性材料の堆積を実施することができるパターニングされたフィーチャの例示的な実施形態を示す。パターニングされたフィーチャは、誘電体層におけるパターニング動作の結果として形成されたビアまたはトレンチまたは他の適切なフィーチャであり得る。フィーチャ210は、フィーチャの底部からフィーチャ開口部214まで徐々に拡大する開いたプロファイルを有するパターニングされたフィーチャの一例を示す。
フィーチャ220は、フィーチャの底部からフィーチャ開口部214まで狭まるリエントラント型プロファイルを有するパターニングされたフィーチャの一例を示す。リエントラント型プロファイルはまた、フィーチャ開口部214にオーバーハングを含んでもよい。フィーチャ230は、金属アンダーカットプロファイルを有するフィーチャを示す。様々な実施態様によれば、プロファイルは、フィーチャ230の側壁基部218の下に金属表面202を有する。底面202と側壁基部218との間には、ボイドが存在し得る。上記のプロファイルの各々において、底面202は、上述のように金属表面である。金属酸化物216が、底面202上に形成され得る。フィーチャ240は、実質的に垂直な側壁を有するパターニングされたフィーチャの一例を示す。金属酸化物は、元素金属の酸化物(例えば、Cu表面上の酸化銅)または金属化合物の酸化物(例えば、TiN表面上の酸窒化チタン)であり得る。
図3は、導電性材料でフィーチャを充填する堆積方法300の一例を示すフロー図である。図4は、図3の方法の実施形態の特定の動作後のパターニングされたフィーチャの断面概略図の例を示す。特に、図4は、選択的堆積および非選択的堆積の例を示す。
図3では、動作305において、未充填フィーチャを含む基板が設けられる。上で示したように、フィーチャは、部分的に製作された半導体デバイスの一部であってもよい。フィーチャは、上述の金属表面および誘電体表面を含む。金属表面は、空気または別の酸化環境への曝露から形成され得る金属酸化物を含む。基板は、以下でさらに説明するように処理チャンバに提供することができる。
図4では、410および420において、底面402および側壁表面404、ならびに底面上に形成された金属酸化物416を含むパターニングされたフィーチャが示されている。
図3に戻ると、基板は、動作315において酸化物を還元するために金属ハロゲン化物に曝露される。金属ハロゲン化物は、基板を収容するチャンバにガスとして提供され、チャンバにパルス化されるかまたは連続的に流されてもよい。金属ハロゲン化物は、誘電体にほとんどまたは全く損傷を与えず、フィーチャの底面上の酸化物を効果的に還元することができる。これは、誘電体を損傷する可能性がある他のハロゲン化物処理とは異なる。例えば、三フッ化窒素が誘電体をエッチングすることで、フィーチャの限界寸法が増加する。ハロゲン化物化合物は、アンモニアまたはヒドラジンなどの他の還元剤よりも酸化層を除去するのに効果的である。
いくつかの実施形態では、金属ハロゲン化物がパルス化され、パルスは不活性パージガスによって分離される。不活性パージガスの例には、アルゴン(Ar)が挙げられる。これにより、連続流による飽和を回避することができる。
金属ハロゲン化物は、揮発性であるか、または基板温度以下で基板に送給されるのに十分な蒸気圧を有する任意のものである。動作315中の例示的な基板温度は、100℃~450℃の範囲である。一部の金属ハロゲン化物については、温度が高いと誘電体エッチングが行われる場合がある。金属ハロゲン化物は、Mo、W、Cr、Ti、Ta、およびバナジウム(V)を含む任意の適切な金属、ならびにフッ素(F)、塩素(Cl)、臭素(Br)、およびヨウ素(I)を含む任意のハロゲン化物を含有し得る。使用することができるハロゲン化タングステンの例には、六フッ化タングステン(WF6)、六塩化タングステン(WCl6)、五塩化タングステン(WCl5)、および六臭化タングステン(WBr6)が挙げられる。使用することができるハロゲン化モリブデンの例には、六フッ化モリブデン(MoF6)および五塩化モリブデン(MoCl5)が挙げられる。使用することができるハロゲン化ニオブの例には、五塩化ニオブ(NbCl5)、四ヨウ化ニオブ(NbI4)、および五臭化ニオブ(NbBr5)が挙げられる。使用することができるハロゲン化タンタルの例には、五フッ化タンタル(TaF5)、五ヨウ化タンタル(TaI5)、および五塩化タンタル(TaCl5)が挙げられる。使用することができるハロゲン化バナジウムの例には、五フッ化バナジウム(VF5)が挙げられる。使用することができるハロゲン化クロムの例には、五フッ化クロム(CrF5)および二ヨウ化クロム(CrI2)が挙げられる。使用することができるハロゲン化チタンの例には、四塩化チタン(TiCl4)が挙げられる。
金属ハロゲン化物は、アルゴン(Ar)、ヘリウム(He)などの不活性ガスと混合することができる。これは、金属ハロゲン化物を希釈し、還元速度を制御するために行われ得る。動作315中のチャンバ圧力の例は、1~30Torrの範囲である。処理時間は、2秒~4分、または2秒~60秒の範囲であり得る。いくつかの実施形態では、処理時間は、約2分~3分であり得る。いくつかの実施形態では、1~60秒、または1~10秒のパルスが使用される。
特定の金属ハロゲン化物への曝露は、ガス源、ガス入口、および/またはチャンバ内で形成される他のハロゲン化物への曝露を含み得ることが理解される。例えば、WBr6は、五臭化タングステン(WBr5)および四臭化タングステン(WBr4)に分解し、WF6は、五フッ化タングステン(WF5)および四フッ化タングステン(WF4)に分解し得る。金属ハロゲン化物は、二量体および他のオリゴマーを含む様々な形態をとることができ、例えば、MoCl5は、二量体Mo2Cl10を形成する。金属ハロゲン化物は、無酸素であってもよい。(一部の金属オキシハロゲン化物、四塩化酸化モリブデン(MoOCl4)は金属酸化物をエッチング/還元することができるが、それらは一般に、金属ハロゲン化物よりも効果的ではない。他の金属オキシハロゲン化物は、ALDまたはCVD堆積に関して以下に列挙されている。)特定の金属ハロゲン化物の選択は、酸化ケイ素または他の誘電体材料に対する金属酸化物のエッチング選択性に依存する。
図4では、430および440において、底面402および側壁表面404を含むパターニングされたフィーチャが示されており、ここでは金属酸化物は底面から除去され、したがって堆積の準備ができている。いくつかの実施形態では、金属酸化物を除去する際に偶発的に、または例えば、アスペクト比を増加させるために意図的に、接点自体の一部が除去されてもよい。エッチングされた材料の例示的な量は、酸化物のみを除去するために5~6オングストローム、または下にある接点を除去するために最大20オングストロームまたはそれ以上の範囲であり得る。
325において、導電性材料がフィーチャに堆積される。上で示したように、これはバリアまたは接着層なしで行われる。動作325は、ALD、CVD、またはPVDプロセスのいずれかを伴い得る。ALDおよびCVDプロセスは、プラズマ強化(PEALDまたはPECVD)プロセスまたは熱ALDまたはCVDプロセスであってもよい。フィーチャは、誘電体表面と金属表面の両方を含み、堆積は、金属表面に対して選択的または非選択的であり得る。選択性は、特定の前駆体および反応条件に依存する場合があり、その例は以下の説明でさらに提供される。
図4では、450において、選択的堆積中のパターニングされたフィーチャが示されている。充填はボトムアップであり、側壁上への堆積はほとんどまたは全くない。いくつかの実施形態では、ある程度の量の材料が側壁上に堆積することがある。460において、非選択的堆積中のパターニングされたフィーチャが示されている。充填は、共形的である。充填されたフィーチャは、470および480において示されている。
以下でさらに説明するように、他の実施形態では、スパッタリングおよび他の物理気相堆積(PVD)またはめっきプロセスなどの他の方法を使用して、金属ハロゲン化物還元動作後に金属を堆積することができる。導電性材料の堆積は、バルク堆積プロセスであり、バルク堆積前に核形成層の堆積を含んでも含まなくてもよい。
動作315および325は、同じチャンバまたは異なるチャンバで実施されてもよく、共通の真空下で統合されてもされなくてもよい。いくつかの実施形態では、動作315および325は、マルチステーションチャンバの異なるステーションで実施される。
上で示したように、いくつかの実施形態では、動作325は、CVDまたはALDによるバルク導電性材料の堆積を含む。この説明の場面において、CVDは、反応剤が同時にリアクタ内に気相で存在し、一般に同時に導入されるプロセスを指し、ALDは、典型的にはパージによって分離された連続パルスで反応剤を導入するプロセスを指す。導電性材料でフィーチャを充填するためにALDおよび/またはCVD反応に使用することができる例示的な反応剤および反応条件を、以下に示す。
いくつかの実施形態では、フィーチャ表面は、動作315中に金属ハロゲン化物からのハロゲンを取り込みやすい場合がある。動作325は、取り込まれたハロゲンの脱着あるいは除去を助けるために、比較的高い温度を使用することができる。いくつかの実施形態では、比較的高い温度でH2のような還元ガスへの曝露が行われ、残留ハロゲンを除去することができる。このような動作は、動作315と325との間で行うことができる。
いくつかの実施態様では、本明細書に記載の方法は、バルク導電層の堆積前に核形成層の堆積を伴う。核形成層は、典型的には、その上へのバルク導電性材料のその後の堆積を容易にする薄い共形層である。特定の実施態様では、核形成層は、ALD技法を使用して堆積される。核形成層の厚さは、核形成層の堆積方法、ならびにバルク堆積の所望の品質に依存し得る。一般に、核形成層の厚さは、高品質で均一なバルク堆積をサポートするのに十分である。核形成層はバルク層よりも高い抵抗率を有するため、一般にバルク層よりも厚くない。例としては、10Å~100Åの範囲であり得る。特定の実施形態では、核形成層を使用せずにバルク導電性材料をフィーチャに直接堆積させることができる。バルク導電性材料は、ALDまたはCVDによって堆積させることができる。粒子サイズは大きく、抵抗率は核形成層よりも小さい。
CVDまたはALDプロセスでは、金属含有前駆体を還元剤または他の反応剤と反応させ、金属または金属化合物材料を形成することができる。
タングステンまたはタングステン含有材料のALDおよびCVDのためのW含有前駆体の例には、WF6、WCl6、WCl5、およびタングステンヘキサカルボニル(W(CO)6)が挙げられる。いくつかの実施形態では、WO2Cl2、WOBr4、WOCl4、およびWOF4を含むオキシハロゲン化タングステンが使用されてもよい。MDNOW(メチルシクロペンタジエニル-ジカルボニルニトロシル-タングステン)およびEDNOW(エチルシクロペンタジエニル-ジカルボニルニトロシル-タングステン)などの有機金属前駆体もまた、使用することができる。いくつかの実施形態では、ビス(tert-ブチルイミノ)ビス(ジメチルアミノ)タングステン(W[N(C4H9)]2[N(CH3)2]2などの窒素含有タングステン含有有機金属前駆体を使用して、タングステンまたは窒化タングステン膜を堆積することができる。
モリブデンまたはモリブデン含有材料のALDまたはCVDのためのMo含有前駆体の例には、MoF6、MoCl5、二酸化二塩化モリブデン(MoO2Cl2)、四塩化酸化モリブデン(MoOCl4)、およびヘキサカルボニルモリブデン(Mo(CO)6)が挙げられる。式MoxOxHzの他のMoオキシハロゲン化物であり、Hは、ハロゲン(フッ素(F)、塩素(Cl)、臭素(Br)、またはヨウ素(I))であり、x、y、およびzは、安定な分子を形成することができるゼロよりも大きい任意の数である。これらには、四フッ化酸化モリブデン(MoOF4)、二酸化二臭化モリブデン(MoO2Br2)、オキシヨウ化モリブデンMoO2IおよびMo4O11Iが挙げられる。有機金属前駆体もまた、シクロペンタジエニル配位子を有するMo前駆体を含む例で使用することができる。さらなる例には、式Mo2Lnの前駆体が挙げられ、各Lは、アミデート配位子、アミジネート配位子、およびグアニジネート配位子から独立して選択され、nは、2~5である。Mo2Ln前駆体は、複数のモリブデン-モリブデン結合(二重結合または2~5の結合次数を有する任意の複数の結合など)を含む。さらなる例には、ハライド含有ヘテロレプティックモリブデン化合物(すなわち、異なるタイプの配位子を有する化合物)が挙げられる。そのような前駆体の特定の例は、モリブデン、モリブデンと結合を形成する少なくとも1つのハロゲン化物、ならびにN、O、およびS元素のいずれかを有する少なくとも1つの有機配位子を含む化合物であり、これらの元素のいずれかの原子は、モリブデンと結合を形成する。窒素または酸素結合を提供する適切な有機配位子の例には、アミジネート、アミデート、イミノピロリジネート、ジアザジエン、ベータイミノアミド、アルファイミノアルコキシド、ベータアミノアルコキシド、ベータジケチミネート、ベータケトイミネート、ベータジケトネート、アミン、およびピラゾレートが挙げられる。硫黄結合を提供する適切な有機配位子の例には、チオエーテル、チオラート、ジチオレン、ジチオラート、およびα-イミノチオレンが挙げられる。これらの配位子は、置換または非置換であってもよい。いくつかの実施形態では、これらの配位子は、H、アルキル、フルオロアルキル、アルキルシリル、アルキルアミノ、およびアルコキシ置換基からなる群から独立して選択される1つまたは複数の置換基を含む。有機配位子は、中性またはアニオン性(例えば、モノアニオン性またはジアニオン性)であることができ、モリブデンは、+1、+2、+3、+4、+5、および+6などの様々な酸化状態であることができる。
ALDもしくはCVDまたはルテニウムもしくはルテニウム含有のためのRu含有前駆体の例には、(エチルベンジル)(1-エチル-1,4-シクロヘキサジエニル)Ru(0)、(1-イソプロピル-4-メチルベンジル)(1,3-シクロヘキサジエニル)Ru(0)、2,3-ジメチル-1,3-ブタジエニル)Ru(0)トリカルボニル、(1,3-シクロヘキサジエニル)Ru(0)トリカルボニル、および(シクロペンタジエニル)(エチル)Ru(II)ジカルボニルが挙げられ、これらは酸化反応に使用することができる。非酸化反応剤と反応するルテニウム前駆体の例には、ビス(5-メチル-2,4-ヘキサンジケトナト)Ru(II)ジカルボニルおよびビス(エチルシクロペンタジエニル)Ru(II)が挙げられる。ルテニウム前駆体の追加の例には、Ru3(CO)12、(2,4-ジメチルペンタジエニル)(エチルシクロペンタジエニル)ルテニウム、トリカルボニル(h4-シクロヘキサ-1,3-ジエン)ルテニウムおよび同様の類似体、ならびに(η4-2,3-ジメチルブタジエン)(トリカルボニル)ルテニウムが挙げられる。
コバルトまたはコバルト含有材料のALDまたはCVDのためのCo含有前駆体の例には、トリス(2,2,6,6-テトラメチル-3,5-ヘプタンジオナト)コバルト、ビス(シクロペンタジエニル)コバルト、ジコバルトヘキサカルボニルブチルアセチレン、ジカルボニルシクロペンタジエニルコバルト(I)、コバルトカルボニル、様々なコバルトアミジネート前駆体、コバルトジアザジエニル錯体、コバルトアミジネート/グアニジネート前駆体、およびそれらの組み合わせが挙げられる。ALDまたはCVDのためのTi含有前駆体の例には、TiCl4およびテトラキス(ジメチルアミノ)チタン(TDMAT)が挙げられる。タンタルまたはタンタル含有材料のALDまたはCVDのためのTa含有前駆体の例には、TaF5およびペンタキス-ジメチルアミノタンタル(PDMAT)が挙げられる。
還元剤の例には、水素(H2)、ジボラン(B2H6)および他のボランを含むホウ素含有還元剤、シラン(SiH4)および他のシランを含むケイ素含有還元剤、ヒドラジン、ならびにゲルマンが挙げられ得る。いくつかの実施態様では、金属含有前駆体のパルスは、例えば、S/W/S/W/B/Wなどの1つまたは複数の還元剤のパルスと交互にすることができ、Wは、タングステン含有前駆体を表し、Sは、ケイ素含有前駆体を表し、Bは、ホウ素含有前駆体を表す。いくつかの実施態様では、別々の反応剤は使用しなくてもよく、例えば、金属含有前駆体が熱分解またはプラズマ支援分解を受けてもよい。いくつかの実施形態では、H2がバルク層堆積のための還元剤として使用され、高純度膜を堆積する。
上述のように、堆積の選択性は、堆積される材料、前駆体、およびプロセス条件に依存し得る。一例では、金属ハロゲン化物前駆体から堆積されたモリブデンは酸化物表面上で成長するが、Mo含有前駆体、温度、および反応剤分圧を制御することによって選択的に堆積され得る。オキシハロゲン化モリブデンを、動作325において金属表面上に選択的に堆積するために使用することができる。温度は、選択性、粒子サイズ、および抵抗に影響を及ぼす。温度が高いとMo膜の選択性を低下させ、側壁表面404の酸化物または窒化物上、ならびに金属含有底面402上での成長をもたらし得る。しかし、温度が低すぎる場合、不純物レベルが増加して粒子サイズが減少し、抵抗が増加する可能性がある。基板温度は、塩素含有化学物質を使用してMoを選択的に堆積するために、350℃~600℃(両端を含む)とすることができる。上記のように、選択性は、温度が低下するにつれて向上し得る。したがって、いくつかの実施形態では、基板温度は、塩素含有前駆体の場合において約350℃~550℃、または350℃~450℃であってもよい。フッ素含有化学物質についての基板温度はより低くてもよく、例えば、150℃~350℃であってもよい。
非選択的に(またはあまり選択的でなく)堆積を行うために、側壁表面およびフィールド領域上での核形成を可能にするように温度を制御することができる。これは、フィーチャが十分に充填される際に適切であり得、したがって共形成長を使用して、ボイドのリスクなしに良好なフィーチャ充填を達成することができる。温度は、デバイス構造における熱収支によって許容される場合、少なくとも500℃であり、800℃まで高くてもよい。
酸素含有前駆体からの純粋な金属膜の堆積は、堆積プロセス中の膜への酸素の取り込みが容易であるため困難である。酸素が取り込まれると、抵抗率が増加する。本明細書に記載の方法および装置は、いくつかの実施形態では、1原子パーセント未満の酸素を有する堆積の純粋な金属膜に対して実施され得る。還元剤対金属オキシハロゲン化物前駆体の比率は、1よりもかなり大きく、堆積された膜は、1原子パーセント以下の酸素しか含有しない。少なくとも100:1のモル比が、使用されてもよい。いくつかの実施形態では、堆積された膜は、1E18原子/cm3以下のハロゲン濃度を有する。1原子パーセント以下の酸素を有する純粋な膜を堆積するために、還元剤対金属前駆体の比率は、1よりもかなり大きく、例えば、少なくとも20:1または少なくとも50:1である。温度の例は、塩素含有前駆体については350℃~600℃、フッ素含有前駆体については150℃~500℃の範囲であり得る。チャンバ圧力の例は、1torr~100torrの範囲であり得る。純粋な膜を得るために使用される還元剤:前駆体の比率は、温度が上昇するにつれて低くなり得る。いくつかの実施形態では、塩素含有前駆体についての温度は、少なくとも400℃である。還元剤の分圧の増加に伴って、より高い圧力を使用して還元剤:前駆体の比率を減少させることもできる。
上で示したように、いくつかの実施形態では、比較的高い堆積温度(例えば、500℃以上)が、金属ハロゲン化物処理後に残留フッ素または他のハロゲンを除去するのに有用であり得る。したがって、いくつかの実施形態では、基板温度は、動作315と325との間で少なくとも50℃、100℃、または150℃上昇する。
上記の説明では、誘電体表面を含むフィーチャの金属表面が金属ハロゲン化物に曝露される。他の実施形態では、任意の金属含有表面を上述の金属ハロゲン化物に曝露し、その上に形成された酸化物を除去することができる。例えば、図2に示すようなフィーチャは、少なくとも誘電体側壁表面をコーティングする薄いバリアおよび/または接着層を有することができる。金属ハロゲン化物処理は、バリアおよび/または接着層を洗浄するために使用されてもよい。
図5Aは、Co酸化物が形成されたCo表面上にMoをALD堆積する前の、WF6処理を実施した場合と実施しなかった場合のCo/Mo界面における酸素含有量の比較を示す。グラフから分かるように、酸素含有量は、界面で一桁減少する。様々な実施形態によれば、界面における残留酸素は、1E20原子/cm3以下であり得る。
図5Bは、パージによって分離されたMoCl5のパルスを使用するTiN表面のエッチングを示す。分かるように、エッチングされる材料の量は、パルス/パージサイクルの数に直線的に関連し、エッチングされる量のデジタル制御を可能にする。図5Bの例では、酸窒化チタンと下にある窒化チタンの両方がエッチングされた。
装置
上で示したように、図3の動作315および325は、同じまたは異なるチャンバおよび同じまたは異なるステーションで実施することができる。図6は、動作315および/または動作325に使用することができるプロセスステーション600の一実施形態の概略図を図示する。プロセスステーション600は、プロセスガスを分配シャワーヘッド606に送給するための反応剤送給システム601aと流体連通する。反応剤送給システム601aは、シャワーヘッド606に送給するためのプロセスガス(金属ハロゲン化物還元処理のための金属ハロゲン化物ガスおよび不活性ガス、または堆積のための金属前駆体含有ガスおよび水素含有ガスなど)をブレンドおよび/または調整するための混合容器604を含む。1つまたは複数の混合容器入口弁620は、混合容器604へのプロセスガスの導入を制御することができる。
上で示したように、図3の動作315および325は、同じまたは異なるチャンバおよび同じまたは異なるステーションで実施することができる。図6は、動作315および/または動作325に使用することができるプロセスステーション600の一実施形態の概略図を図示する。プロセスステーション600は、プロセスガスを分配シャワーヘッド606に送給するための反応剤送給システム601aと流体連通する。反応剤送給システム601aは、シャワーヘッド606に送給するためのプロセスガス(金属ハロゲン化物還元処理のための金属ハロゲン化物ガスおよび不活性ガス、または堆積のための金属前駆体含有ガスおよび水素含有ガスなど)をブレンドおよび/または調整するための混合容器604を含む。1つまたは複数の混合容器入口弁620は、混合容器604へのプロセスガスの導入を制御することができる。
図6の実施形態は、混合容器604に供給されるプロセス固体ための気化ポイント605を含む。別のシナリオでは、気化プロセス固体は、シャワーヘッド606に直接供給されてもよい。気化は、昇華、すなわち固体から液体へ、そして蒸気への昇華であり得る。WF6およびMoF6を除いて、金属ハロゲン化物は、一般に室温で固体である。
一例として、図6の一実施形態は、混合容器604に供給される液体反応剤を気化させるための気化ポイント603を含む。いくつかの実施形態では、気化ポイント603は、加熱された気化器であり得る。いくつかの実施形態では、液体前駆体または液体反応剤は、液体インジェクタ(図示せず)で気化されてもよい。例えば、液体インジェクタは、液体反応剤のパルスを混合容器604の上流のキャリアガス流に注入することができる。一実施形態では、液体インジェクタは、液体をより高い圧力からより低い圧力にフラッシュすることによって反応剤を気化させることができる。別の例では、液体インジェクタは、液体を分散した微小液滴に噴霧し、その後、加熱された送給パイプ内で気化させることができる。より小さな液滴は、より大きな液滴よりも速く気化することが可能であり、液体注入と完全な気化との間の遅延を低減する。より速い気化は、気化ポイント603から下流の配管の長さを低減することができる。1つのシナリオでは、液体インジェクタを混合容器604に直接取り付けることができる。別のシナリオでは、液体インジェクタをシャワーヘッド606に直接取り付けることができる。
いくつかの実施形態では、気化されてプロセスチャンバ602に送給される液体の質量流量を制御するために、液体流コントローラ(LFC)を気化ポイント603の上流に設けることができる。例えば、LFCは、LFCの下流に位置する熱質量流量計(MFM)を含み得る。次に、LFCのプランジャ弁は、MFMとの電気通信で比例積分微分(PID)コントローラによって提供されるフィードバック制御信号に応答して調整することができる。しかし、フィードバック制御を使用して液体の流れを安定させるのには、1秒以上かかる場合がある。これにより、液体反応剤を流すための時間が延長される可能性がある。したがって、いくつかの実施形態では、LFCは、フィードバック制御モードと直接制御モードとの間で動的に切り替えられ得る。いくつかの実施形態では、これは、LFCおよびPIDコントローラのセンスチューブを無効にすることによって実施されてもよい。
シャワーヘッド606は、ガスを基板612に向けて分配する。図6に示す実施形態では、基板612は、シャワーヘッド606の下に位置し、台座608上に静止した状態で示されている。シャワーヘッド606は、任意の適切な形状を有してもよく、プロセスガスを基板612に分配するための任意の適切な数および配置のポートを有してもよい。
いくつかの実施形態では、台座608を上昇または下降させ、基板612を基板612とシャワーヘッド606との間の容積に露出させることができる。いくつかの実施形態では、台座608は、ヒータ610を介して温度制御されてもよい。台座608は、様々な開示された実施形態を実施するための動作中、約150℃~約600℃などの任意の適切な温度に設定することができる。いくつかの実施形態では、台座の高さは、適切なコンピュータコントローラ650によってプログラム的に調整することができることが理解されよう。プロセス段階の終わりに、別の基板の移送段階中に台座608を下降させ、台座608から基板612を取り出し可能にすることができる。
いくつかの実施形態では、基板612とシャワーヘッド606との間の容積を変化させるために、台座608に対してシャワーヘッド606の位置を調整することができる。さらに、台座608および/またはシャワーヘッド606の垂直位置は、本開示の範囲内の任意の適切な機構によって変化させてもよいことが理解されよう。いくつかの実施形態では、台座608は、基板612の配向を回転させるための回転軸を含み得る。いくつかの実施形態では、これらの例示的な調整の1つまたは複数は、1つまたは複数の適切なコンピュータコントローラ650によってプログラム的に実施することができることが理解されよう。
プラズマをPECVDまたはPEALDに使用することができるいくつかの実施形態では、シャワーヘッド606および台座608は、プラズマに電力を供給するために、無線周波数(RF)電源614および整合ネットワーク616と電気的に通信する。いくつかの実施形態では、プラズマエネルギーは、プロセスステーション圧力、ガス濃度、RF源電力、RF源周波数、およびプラズマ電力パルスタイミングの1つまたは複数を制御することによって制御することができる。例えば、RF電源614および整合ネットワーク616は、任意の適切な電力で動作してラジカル種の特定の組成を有するプラズマを形成することができる。同様に、RF電源614は、任意の適切な周波数のRF電力を提供することができる。いくつかの実施形態では、RF電源614は、互いに独立して高周波および低周波のRF電源を制御するように構成され得る。例示的な低周波RF周波数は、限定はしないが、0kHz~900kHzの周波数を含み得る。例示的な高周波RF周波数は、限定はしないが、1.8MHz~2.45GHz、または約13.56MHzを超える、または27MHzを超える、または80MHzを超える、または60MHzを超える周波数を含み得る。表面反応のためのプラズマエネルギーを提供するために、任意の適切なパラメータを離散的または連続的に調節することができることが理解されよう。
いくつかの実施形態では、プラズマは、1つまたは複数のプラズマモニタによってin-situで監視することができる。1つのシナリオでは、プラズマ電力は、1つまたは複数の電圧、電流センサ(例えば、VIプローブ)によって監視され得る。別のシナリオでは、プラズマ密度および/またはプロセスガス濃度は、1つまたは複数の発光分光センサ(OES)によって測定されてもよい。いくつかの実施形態では、1つまたは複数のプラズマパラメータは、そのようなin-situプラズマモニタからの測定値に基づいてプログラム的に調整することができる。例えば、OESセンサは、プラズマ電力のプログラム制御を提供するためのフィードバックループで使用され得る。いくつかの実施形態では、他のモニタを使用して、プラズマおよび他のプロセス特性を監視することができることが理解されよう。そのようなモニタには、限定はしないが、赤外線(IR)モニタ、音響モニタ、および圧力変換器が挙げられ得る。
いくつかの実施形態では、コントローラ650に対する命令は、入出力制御(IOC)シーケンス命令を介して制御され得る。一例では、プロセス段階に対する条件を設定するための命令は、プロセスレシピの対応するレシピ段階に含まれてもよい。場合によっては、プロセスレシピ段階は、プロセス段階に対するすべての命令がそのプロセス段階と同時に実行されるように、順に配置されてもよい。いくつかの実施形態では、1つまたは複数のリアクタパラメータを設定するための命令がレシピ段階に含まれ得る。例えば、第1のレシピ段階は、金属ハロゲン化物ガスの流量を設定するための命令、キャリアガス(アルゴンなど)の流量を設定するための命令、および第1のレシピ段階のための時間遅延命令を含んでもよい。第2の後続のレシピ段階は、金属ハロゲン化物ガスの流量を調節または停止するための命令、キャリアガスまたはパージガスの流量を調節するための命令、および第2のレシピ段階のための時間遅延命令を含んでもよい。
ALD堆積の場合、第1のレシピ段階は、第1の反応剤ガス(例えば、金属前駆体ガス)の流量を調節するための命令、キャリアガスまたはパージガスの流量を調節するための命令、および第1のレシピ段階のための時間遅延命令を含んでもよい。第2の後続のレシピ段階は、反応剤ガスの流量を調節または停止するための命令、キャリアガスまたはパージガスの流量を調節するための命令、および第2のレシピ段階のための時間遅延命令を含んでもよい。第3のレシピ段階は、H2などの第2の反応剤ガスを調節するための命令、キャリアガスまたはパージガスの流量を調節するための命令、プラズマを点火するための命令、および第3のレシピ段階のための時間遅延命令を含んでもよい。第4の後続のレシピ段階は、不活性ガスおよび/または反応剤ガスの流量を調節または停止するための命令、キャリアガスまたはパージガスの流量を調節するための命令、および第4のレシピ段階のための時間遅延命令を含んでもよい。これらのレシピ段階は、本開示の範囲内で任意の適切な方法でさらに細分化および/または反復され得ることが理解されよう。
さらに、いくつかの実施形態では、プロセスステーション600についての圧力制御は、バタフライ弁618によって提供され得る。図6の実施形態に示すように、バタフライ弁618は、下流の真空ポンプ(図示せず)によって提供される真空を絞る。しかし、いくつかの実施形態では、プロセスステーション600の圧力制御はまた、プロセスステーション600に導入される1つまたは複数のガスの流量を変化させることによって調整することができる。
上述のように、動作315および325は、シングルもしくはマルチステーションチャンバの単一のステーション、マルチステーションチャンバの異なるステーション、または異なるチャンバで実施され得る。異なるチャンバで実施される場合、動作315および325は、金属ハロゲン化物処理および金属酸化物の除去後の金属の酸化を防止するために、共通の真空環境下で統合することができる。いくつかの実施形態では、動作315および325は、少なくとも比較的短時間の間、酸化を防止するためのパッシベーション効果を提供する金属ハロゲン化物処理と統合されない場合がある。
図7は、複数のチャンバを含む処理システムの一例を示す。システム700は、移送モジュール703を含む。移送モジュール703は、処理中の基板が様々なリアクタモジュール間を移動するときの基板の汚染リスクを最小化するために、清潔な真空環境を提供する。移送モジュール703には、実施形態に従ってALDおよびCVDを実施することが可能なマルチステーションリアクタ709が取り付けられている。いくつかの実施形態では、リアクタ709はまた、ALDまたはCVDの前に金属ハロゲン化物の曝露を実施する。
リアクタ709は、開示された実施形態に従って動作を順次実施することができる複数のステーション711、713、715、および717を含むことができる。例えば、リアクタ709は、ステーション711が本明細書に記載の金属ハロゲン化物還元処理を実施し、ステーション713がALDによる核形成層堆積を実施し、ステーション715および717がALDまたはCVDによるバルク層堆積を実施するように構成することができる。2つ以上のステーションが、例えば、2~6のマルチステーションリアクタに含まれ、動作が適切に分散されてもよい。例えば、2ステーションリアクタは、第1のステーションで基板を金属ハロゲン化物に曝露し、続いて第2のステーションで導電性材料を堆積するように構成することができる。図6に関して上述したように、ステーションは、加熱された台座もしくは基板支持体、1つまたは複数のガス入口、またはシャワーヘッドもしくは分散プレートを含むことができる。
移送モジュール703には、1つまたは複数のシングルまたはマルチステーションモジュール707も取り付けられ得る。いくつかの実施形態では、金属ハロゲン化物の曝露は、モジュール707で実施されてもよく、その後基板は、導電性材料の堆積のために真空下で別のモジュール(例えば、別のモジュール707またはリアクタ709)に移送される。モジュール707は、堆積前にArスパッタ洗浄および/またはH2プラズマ洗浄などの洗浄を実施する前洗浄モジュールであってもよい。いくつかの実施形態では、金属ハロゲン化物の曝露は、スパッタおよび/またはプラズマ洗浄の前または後に、そのような前洗浄モジュールで実施される。
システム700はまた、1つまたは複数のウエハソースモジュール701を含み、ウエハは、処理の前後に格納される。大気移送チャンバ719内の大気ロボット(図示せず)は、最初にウエハをソースモジュール701からロードロック721に移動させることができる。移送モジュール703内のウエハ移送デバイス(一般的には、ロボットアームユニット)は、ウエハをロードロック721から移送モジュール703に取り付けられたモジュールに移動させたり、モジュール間で移動させたりする。
様々な実施形態において、システムコントローラ729を用いて、堆積中のプロセス条件を制御する。コントローラ729は、典型的には、1つまたは複数のメモリデバイスと、1つまたは複数のプロセッサとを含む。プロセッサは、CPUまたはコンピュータ、アナログおよび/またはデジタル入出力接続、ステッピングモータコントローラボードなどを含むことができる。
コントローラ729は、装置の活動のすべてを制御することができる。システムコントローラ729は、タイミング、ガスの混合、チャンバ圧力、チャンバ温度、ウエハ温度、無線周波数(RF)電力レベル、ウエハチャック位置または台座位置、および特定のプロセスの他のパラメータを制御するための一連の命令を含むシステム制御ソフトウェアを実行する。いくつかの実施形態では、コントローラ729に関連するメモリデバイスに格納された他のコンピュータプログラムを用いることができる。
典型的には、コントローラ729に関連するユーザインターフェースが存在する。ユーザインターフェースは、ディスプレイ画面、装置および/またはプロセス条件のグラフィカルソフトウェアディスプレイ、ならびにポインティングデバイス、キーボード、タッチスクリーン、マイクロフォンなどのユーザ入力デバイスを含むことができる。
システム制御論理は、任意の適切な方法で構成することができる。一般に、論理はハードウェアおよび/またはソフトウェアで設計または構成することができる。駆動回路を制御するための命令は、ハードコードされるか、またはソフトウェアとして提供され得る。命令は、「プログラミング」によって提供されてもよい。そのようなプログラミングは、デジタル信号プロセッサのハードコードされた論理、特定用途向け集積回路、およびハードウェアとして実装された特定のアルゴリズムを有する他のデバイスを含む、あらゆる形式の論理を含むと理解される。プログラミングはまた、汎用プロセッサで実行され得るソフトウェアまたはファームウェア命令も含むと理解される。システム制御ソフトウェアは、任意の適切なコンピュータ可読プログラミング言語でコード化され得る。
プロセスシーケンスにおけるゲルマニウム含有還元剤パルス、水素フロー、およびタングステン含有前駆体パルス、ならびに他のプロセスを制御するためのコンピュータプログラムコードは、任意の従来のコンピュータ可読プログラミング言語(例えば、アセンブリ言語、C、C++、パスカル、フォートランなど)で書かれ得る。コンパイルされたオブジェクトコードまたはスクリプトは、プログラムに識別されたタスクを実施するためにプロセッサによって実行される。また、示されているように、プログラムコードはハードコードされてもよい。
コントローラパラメータは、例えば、プロセスガス組成および流量、温度、圧力、冷却ガス圧力、基板温度、ならびにチャンバ壁温度などのプロセス条件に関連している。これらのパラメータは、レシピの形態でユーザに提供され、ユーザインターフェースを利用して入力することができる。
プロセスを監視するための信号は、システムコントローラ729のアナログおよび/またはデジタル入力接続によって提供されてもよい。プロセスを制御するための信号は、堆積装置700のアナログおよびデジタル出力接続で出力される。
システムソフトウェアは、多くの異なる方法で設計または構成することができる。例えば、様々なチャンバ構成要素サブルーチンまたは制御オブジェクトは、開示された実施形態による堆積プロセスを実行するために必要なチャンバ構成要素の動作を制御するために書かれてもよい。この目的のためのプログラムまたはプログラムのセクションの例には、基板位置決めコード、プロセスガス制御コード、圧力制御コード、およびヒータ制御コードが挙げられる。
いくつかの実施態様では、コントローラ729はシステムの一部であり、そのようなシステムは上述した例の一部であってもよい。そのようなシステムは、1つまたは複数の処理ツール、1つまたは複数のチャンバ、1つまたは複数の処理用プラットフォーム、および/または特定の処理構成要素(ウエハ台座、ガス流システムなど)を含む半導体処理機器を含むことができる。これらのシステムは、半導体ウエハまたは基板の処理前、処理中、および処理後のシステム動作を制御するための電子機器と一体化されてもよい。そのような電子機器は「コントローラ」と呼ばれることがあり、1つまたは複数のシステムの様々な構成要素または副部品を制御してもよい。コントローラ729は、処理要件および/またはシステムのタイプに応じて、本明細書に開示されるプロセスのいずれかを制御するようにプログラムされてもよい。そのようなプロセスとしては、処理ガスの送給、温度設定(例えば、加熱および/または冷却)、圧力設定、真空設定、電力設定、一部のシステムにおける無線周波数(RF)発生器設定、RF整合回路設定、周波数設定、流量設定、流体送給設定、位置および動作設定、ツールに対するウエハの搬入と搬出、ならびに、特定のシステムに接続または連動する他の搬送ツールおよび/またはロードロックに対するウエハの搬入と搬出が含まれる。
広義には、コントローラは、命令を受信し、命令を発行し、動作を制御し、洗浄動作を可能にし、エンドポイント測定を可能にするなどの様々な集積回路、論理、メモリ、および/またはソフトウェアを有する電子機器として定義されてもよい。集積回路は、プログラム命令を記憶するファームウェアの形式のチップ、デジタル信号プロセッサ(DSP)、特定用途向け集積回路(ASIC)として定義されたチップ、および/または1つまたは複数のマイクロプロセッサ、すなわちプログラム命令(例えば、ソフトウェア)を実行するマイクロコントローラを含んでもよい。プログラム命令は、様々な個々の設定(またはプログラムファイル)の形式でコントローラに通信される命令であって、特定のプロセスを半導体ウエハ上で、または半導体ウエハ用に、またはシステムに対して実行するための動作パラメータを定義してもよい。動作パラメータは、いくつかの実施形態では、1つまたは複数の層、材料、金属、酸化物、ケイ素、二酸化ケイ素、表面、回路、および/またはウエハダイの製作における1つまたは複数の処理ステップを実現するためプロセスエンジニアによって定義されるレシピの一部であってもよい。
コントローラ729は、いくつかの実施態様では、システムと統合または結合されるか、他の方法でシステムにネットワーク接続されるコンピュータの一部であってもよく、またはそのようなコンピュータに結合されてもよく、またはそれらの組み合わせであってもよい。例えば、コントローラ729は、「クラウド」内にあってもよいし、ファブホストコンピュータシステムのすべてもしくは一部であってもよい。これにより、ウエハ処理のリモートアクセスが可能となる。コンピュータは、システムへのリモートアクセスを可能にして、製作動作の現在の進捗状況を監視し、過去の製作動作の履歴を検討し、複数の製作動作から傾向または性能基準を検討し、現在の処理のパラメータを変更し、現在の処理に続く処理ステップを設定するか、または新しいプロセスを開始してもよい。いくつかの例では、リモートコンピュータ(例えば、サーバ)は、ネットワークを通じてプロセスレシピをシステムに提供することができる。そのようなネットワークは、ローカルネットワークまたはインターネットを含んでいてもよい。リモートコンピュータは、パラメータおよび/または設定のエントリまたはプログラミングを可能にするユーザインターフェースを含んでもよく、そのようなパラメータおよび/または設定は、その後リモートコンピュータからシステムに通信される。いくつかの例では、コントローラは命令をデータの形式で受信する。そのようなデータは、1つまたは複数の動作中に実施される各処理ステップのためのパラメータを特定するものである。パラメータは、実施されるプロセスのタイプ、およびコントローラが連動または制御するように構成されるツールのタイプに特有のものであってもよい。したがって、上述したように、コントローラは、例えば、互いにネットワーク接続され共通の目的(本明細書で説明されるプロセスおよび制御など)に向けて協働する1つまたは複数の個別のコントローラを含むことによって分散されてもよい。このような目的のための分散型コントローラの例として、チャンバ上の1つまたは複数の集積回路であって、(例えば、プラットフォームレベルで、またはリモートコンピュータの一部として)遠隔配置されておりチャンバにおけるプロセスを制御するよう組み合わせられる1つまたは複数の集積回路と通信するものが挙げられるであろう。
例示的なシステムは、プラズマエッチングチャンバまたはモジュール、堆積チャンバまたはモジュール、スピンリンスチャンバまたはモジュール、金属めっきチャンバまたはモジュール、洗浄チャンバまたはモジュール、ベベルエッジエッチングチャンバまたはモジュール、PVDチャンバまたはモジュール、CVDチャンバまたはモジュール、ALDチャンバまたはモジュール、原子層エッチング(ALE)チャンバまたはモジュール、イオン注入チャンバまたはモジュール、追跡チャンバまたはモジュール、ならびに半導体ウエハの製作および/または製造に関連するか使用されてもよい任意の他の半導体処理システムを含むことができるが、これらに限定されない。
上記のように、ツールによって実施される1つまたは複数のプロセスステップに応じて、コントローラは、1つまたは複数の他のツール回路もしくはモジュール、他のツール構成要素、クラスタツール、他のツールインターフェース、隣接するツール、近接するツール、工場全体に位置するツール、メインコンピュータ、別のコントローラ、または半導体製造工場内のツール場所および/もしくはロードポートに対してウエハの容器を搬入および搬出する材料搬送に使用されるツールと通信してもよい。
コントローラ729は、様々なプログラムを含んでもよい。基板位置決めプログラムは、基板を台座またはチャック上にロードし、基板とガス入口および/またはターゲットなどのチャンバの他の部分との間隔を制御するために使用されるチャンバ構成要素を制御するためのプログラムコードを含むことができる。プロセスガス制御プログラムは、チャンバの圧力を安定化するために、ガス組成、流量、パルス時間を制御するためのコード、および任意で堆積前にガスをチャンバに流すためのコードを含むことができる。圧力制御プログラムは、例えば、チャンバの排気システムのスロットル弁を調節することによってチャンバの圧力を制御するためのコードを含み得る。ヒータ制御プログラムは、基板を加熱するために使用される加熱ユニットへの電流を制御するためのコードを含むことができる。あるいは、ヒータ制御プログラムは、ウエハチャックへの熱伝達ガス(ヘリウムなど)の送給を制御することができる。
堆積中に監視することができるチャンバセンサの例は、マスフローコントローラ、圧力センサ(圧力計など)、および台座またはチャック内に位置する熱電対を含む。適切にプログラムされたフィードバックおよび制御アルゴリズムをこれらのセンサからのデータと共に使用して、所望のプロセス条件を維持することができる。
以上、シングルまたはマルチチャンバの半導体処理ツールにおける開示された実施形態の実施について説明した。本明細書で説明される装置およびプロセスは、例えば、半導体デバイス、ディスプレイ、LED、太陽光パネルなどの製作または製造のために、リソグラフィパターニングツールまたはプロセスと併せて使用されてもよい。典型的には、必須ではないが、そのようなツール/プロセスは、共通の製作施設で共に使用または実施される。膜のリソグラフィパターニングは、典型的には、以下のステップのいくつかまたはすべてを含み、各ステップが使用可能な多くのツールを用いて可能にされる:(1)スピンオンツールまたはスプレーオンツールを使用して、ワークピース(すなわち、基板)にフォトレジストを塗布するステップ、(2)ホットプレートまたは炉またはUV硬化ツールを使用してフォトレジストを硬化するステップ、(3)ウエハステッパなどのツールを用いて可視光またはUV光またはX線光でフォトレジストを露光するステップ、(4)ウェットベンチなどのツールを使用して、レジストを現像してレジストを選択的に除去し、それによってレジストをパターニングするステップ、(5)ドライエッチングツールまたはプラズマ支援エッチングツールを使用することによって、下層の膜またはワークピースにレジストパターンを転写するステップ、および(6)RFまたはマイクロ波プラズマレジストストリッパなどのツールを使用してレジストを除去するステップ。
結論
前述の実施形態は、明確な理解のために多少詳しく説明されてきたが、一定の変更および修正が添付の特許請求の範囲の範囲内で実践されてもよいことは明らかであろう。本実施形態のプロセス、システム、および装置の実施には多くの別の方法があることに留意されたい。したがって、本実施形態は、限定ではなく例示と見なされるべきであり、それらの実施形態は本明細書に述べられる詳細に限定されるべきではない。
前述の実施形態は、明確な理解のために多少詳しく説明されてきたが、一定の変更および修正が添付の特許請求の範囲の範囲内で実践されてもよいことは明らかであろう。本実施形態のプロセス、システム、および装置の実施には多くの別の方法があることに留意されたい。したがって、本実施形態は、限定ではなく例示と見なされるべきであり、それらの実施形態は本明細書に述べられる詳細に限定されるべきではない。
Claims (20)
- 基板上にフィーチャを設けることであって、前記フィーチャは、その上に形成された金属酸化物の層を有する金属表面、および誘電体表面を含むことと、
前記フィーチャを金属ハロゲン化物に曝露し、前記金属表面から前記金属酸化物の層を除去することと
を含む、方法。 - 請求項1に記載の方法であって、
導電性材料で前記フィーチャを充填することをさらに含む、方法。 - 請求項2に記載の方法であって、
前記導電性材料は、介在層なしで前記金属表面および前記誘電体表面に直接接触する、方法。 - 請求項2に記載の方法であって、
導電性材料で前記フィーチャを充填することは、バルク導電性材料を堆積する前に前記導電性材料の核形成層を堆積することを含む、方法。 - 請求項2に記載の方法であって、
導電性材料で前記フィーチャを充填することは、核形成層を堆積することなくバルク導電性材料を堆積することを含む、方法。 - 請求項1に記載の方法であって、
前記フィーチャを充填することは、バルク導電性材料を堆積するために、プラズマ強化プロセスまたは熱プロセスを含む原子層堆積プロセスまたは化学気相堆積プロセスを含む、方法。 - 請求項6に記載の方法であって、
前記バルク導電性材料の堆積は、前記誘電体表面と比較して前記金属表面に対して選択的である、方法。 - 請求項6に記載の方法であって、
前記バルク導電性材料の堆積は、前記金属表面および前記誘電体表面に対して非選択的である、方法。 - 請求項2のいずれかに記載の方法であって、
前記フィーチャを前記金属ハロゲン化物に曝露すること、および導電性材料で前記フィーチャを充填することは、同じチャンバで実施される、方法。 - 請求項2に記載の方法であって、
前記フィーチャを前記金属ハロゲン化物に曝露すること、および導電性材料で前記フィーチャを充填することは、前記同じチャンバの異なるステーションで実施される、方法。 - 請求項2のいずれかに記載の方法であって、
前記フィーチャを前記金属ハロゲン化物に曝露すること、および導電性材料で前記フィーチャを充填することは、異なるチャンバで実施される、方法。 - 請求項1に記載の方法であって、
前記導電性材料は、モリブデン(Mo)、ルテニウム(Ru)、タングステン(W)、イリジウム(Ir)、クロム(Cr)、コバルト(Co)、および窒化チタン(TiN)から選択される、方法。 - 請求項1に記載の方法であって、
前記金属表面は、窒化チタン(TiN)表面、窒化モリブデン(MoNx)表面、窒化タングステン(WN)表面、窒化炭素タングステン(WCxNy)表面、炭化タングステン(WCx)表面、炭化チタンアルミニウム(TiAlxCy)表面、または窒化タンタル(TaN)表面のうちの1つである、方法。 - 請求項1~13のいずれかに記載の方法であって、
前記金属ハロゲン化物の金属は、Mo、W、Cr、Ti、Ta、およびバナジウム(V)のうちの1つである、方法。 - 請求項1~13のいずれかに記載の方法であって、
前記金属ハロゲン化物は、六フッ化タングステン(WF6)、六塩化タングステン(WCl6)、五塩化タングステン(WCl5)、六臭化タングステン(WBr6)のうちの1つである、方法。 - 請求項1~13のいずれかに記載の方法であって、
前記金属ハロゲン化物は、六フッ化モリブデン(MoF6)および五塩化モリブデン(MoCl5)のうちの1つである、方法。 - 請求項1~13のいずれかに記載の方法であって、
前記金属ハロゲン化物は、五塩化ニオブ(NbCl5)および五臭化ニオブ(NbBr5)のうちの1つである、方法。 - 請求項1~13のいずれかに記載の方法であって、
前記金属ハロゲン化物は、五フッ化タンタル(TaF5)および五塩化タンタル(TaCl5)のうちの1つである、方法。 - 請求項1~13のいずれかに記載の方法であって、
前記金属ハロゲン化物は、五フッ化バナジウム(VF5)、五フッ化クロム(CrF5)、および四塩化チタン(TiCl4)のうちの1つである、方法。 - 請求項1に記載の方法であって、
前記金属酸化物の層を除去した後に残留ハロゲンを除去するために還元処理を実施することをさらに含む、方法。
Applications Claiming Priority (3)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US202062704694P | 2020-05-22 | 2020-05-22 | |
US62/704,694 | 2020-05-22 | ||
PCT/US2021/033564 WO2021237032A1 (en) | 2020-05-22 | 2021-05-21 | Low resistivity contacts and interconnects |
Publications (1)
Publication Number | Publication Date |
---|---|
JP2023527774A true JP2023527774A (ja) | 2023-06-30 |
Family
ID=78708051
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2022571128A Pending JP2023527774A (ja) | 2020-05-22 | 2021-05-21 | 低抵抗率の接点および相互接続 |
Country Status (6)
Country | Link |
---|---|
US (1) | US20230326790A1 (ja) |
JP (1) | JP2023527774A (ja) |
KR (1) | KR20230027036A (ja) |
CN (1) | CN115668480A (ja) |
TW (1) | TW202209566A (ja) |
WO (1) | WO2021237032A1 (ja) |
Families Citing this family (4)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
SG11202108217UA (en) | 2019-01-28 | 2021-08-30 | Lam Res Corp | Deposition of metal films |
US20230343643A1 (en) * | 2022-04-25 | 2023-10-26 | Applied Materials, Inc. | Gradient oxidation and etch for pvd metal as bottom liner in bottom up gap fill |
WO2023215135A1 (en) * | 2022-05-05 | 2023-11-09 | Lam Research Corporation | Molybdenum halides in memory applications |
CN116153861B (zh) * | 2023-04-19 | 2023-07-11 | 武汉楚兴技术有限公司 | 一种半导体结构及制备方法 |
Family Cites Families (5)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
KR20030043201A (ko) * | 2001-11-27 | 2003-06-02 | 주식회사 하이닉스반도체 | 반도체 소자의 콘택 플러그 형성방법 |
US10014212B2 (en) * | 2016-06-08 | 2018-07-03 | Asm Ip Holding B.V. | Selective deposition of metallic films |
WO2019118684A1 (en) * | 2017-12-14 | 2019-06-20 | Applied Materials, Inc. | Methods of etching metal oxides with less etch residue |
TW201939628A (zh) * | 2018-03-02 | 2019-10-01 | 美商微材料有限責任公司 | 移除金屬氧化物的方法 |
US11387112B2 (en) * | 2018-10-04 | 2022-07-12 | Tokyo Electron Limited | Surface processing method and processing system |
-
2021
- 2021-05-21 TW TW110118417A patent/TW202209566A/zh unknown
- 2021-05-21 JP JP2022571128A patent/JP2023527774A/ja active Pending
- 2021-05-21 CN CN202180036710.8A patent/CN115668480A/zh active Pending
- 2021-05-21 US US17/999,255 patent/US20230326790A1/en active Pending
- 2021-05-21 KR KR1020227044028A patent/KR20230027036A/ko active Search and Examination
- 2021-05-21 WO PCT/US2021/033564 patent/WO2021237032A1/en active Application Filing
Also Published As
Publication number | Publication date |
---|---|
KR20230027036A (ko) | 2023-02-27 |
US20230326790A1 (en) | 2023-10-12 |
CN115668480A (zh) | 2023-01-31 |
TW202209566A (zh) | 2022-03-01 |
WO2021237032A1 (en) | 2021-11-25 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
KR102599906B1 (ko) | Ald에 의한 평활하고 컨포멀한 코발트 막을 형성하기 위한 방법들 및 장치 | |
JP7224335B2 (ja) | モリブデンを含有する低抵抗膜 | |
US10731250B2 (en) | Depositing ruthenium layers in interconnect metallization | |
US20230326790A1 (en) | Low resistivity contacts and interconnects | |
JP2022510428A (ja) | ボイドフリーの低応力充填 | |
KR20160140458A (ko) | 저 불소 함량을 가진 텅스텐 막들 | |
JP2022547025A (ja) | モリブデン堆積 | |
KR20180111598A (ko) | 상호접속부를 위한 wcn 배리어/접착층의 선택적인 증착 | |
JP2022180423A (ja) | タングステン用モリブデンテンプレート | |
US20230260834A1 (en) | Metal oxide diffusion barriers | |
WO2021035254A1 (en) | Reducing line bending during metal fill process | |
US20240047269A1 (en) | Molybdenum deposition in features | |
JP2024514605A (ja) | モリブデンの堆積 | |
JP2023550331A (ja) | 低抵抗率コンタクト及びインターコネクト | |
KR20230155949A (ko) | 금속 충진 프로세스 동안 라인 벤딩 감소 | |
TW202338134A (zh) | 金屬填充中的傾斜襯墊 | |
WO2023038905A1 (en) | Process gas ramp during semiconductor processing |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A621 | Written request for application examination |
Free format text: JAPANESE INTERMEDIATE CODE: A621 Effective date: 20240520 |