KR20230148733A - 유전체 표면들 상의 몰리브덴에 비-금속 혼입 - Google Patents

유전체 표면들 상의 몰리브덴에 비-금속 혼입 Download PDF

Info

Publication number
KR20230148733A
KR20230148733A KR1020227044687A KR20227044687A KR20230148733A KR 20230148733 A KR20230148733 A KR 20230148733A KR 1020227044687 A KR1020227044687 A KR 1020227044687A KR 20227044687 A KR20227044687 A KR 20227044687A KR 20230148733 A KR20230148733 A KR 20230148733A
Authority
KR
South Korea
Prior art keywords
gas
layer
substrate
chamber
process chamber
Prior art date
Application number
KR1020227044687A
Other languages
English (en)
Inventor
로렌스 슐로스
조슈아 콜린스
그리핀 존 케네디
한나 밤놀커
상-협 이
패트릭 반 클림풋
산제이 고피나스
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20230148733A publication Critical patent/KR20230148733A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/08Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
    • C23C16/14Deposition of only one other metal element
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28568Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising transition metals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32051Deposition of metallic or metal-silicide layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
    • H01L23/53266Additional layers associated with refractory-metal layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • H01L29/4011Multistep manufacturing processes for data storage electrodes
    • H01L29/40114Multistep manufacturing processes for data storage electrodes the electrodes comprising a conductor-insulator-conductor-insulator-semiconductor structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • H01L29/4011Multistep manufacturing processes for data storage electrodes
    • H01L29/40117Multistep manufacturing processes for data storage electrodes the electrodes comprising a charge-trapping insulator
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/30DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells
    • H10B12/48Data lines or contacts therefor
    • H10B12/488Word lines

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

3D NAND 애플리케이션들을 위한 저 저항 금속화 스택 구조체들 및 관련된 제조 방법들이 본 명세서에 제공된다. 일부 실시 예들에서, 박형 금속 옥시나이트라이드 핵생성 층들은 유전체 재료 상에 증착되고 이어서 옥시나이트라이드-유전체 계면에서 비-몰리브덴 컴포넌트 원소 (element) 함량을 증가시키는 프로세스 조건들을 사용하여 순수 금속 전도체가 증착된다. 이하에 기술된 방법들의 특정한 실시 예들은 모든 금속 옥시나이트라이드 핵생성 층보다 더 적은 금속 옥시나이트라이드 핵생성 층을 순수 금속 층으로 변환하여, 저항률을 더 낮춘다.

Description

유전체 표면들 상의 몰리브덴에 비-금속 혼입
화학적 기상 증착 (chemical vapor deposition; CVD) 기법들을 사용한 텅스텐 (W) 막 증착은 반도체 제조 프로세스들의 필수적인 부분이다. 예를 들어, W 막들은 수평 상호 접속부들, 인접한 금속 층들 사이의 비아들, 및 제 1 금속 층과 실리콘 기판 상의 디바이스들 사이의 콘택트들의 형태의 저 저항률 전기적 접속부들로서 사용될 수도 있다. 텅스텐 막들은 또한 DRAM (dynamic random access memory) 을 위한 bWL (buried wordline) 아키텍처들의 형성, 3D NAND를 위한 워드라인들, 및 로직 애플리케이션들을 포함하는 다양한 메모리 애플리케이션들에서 사용될 수도 있다. 그러나, 피처 크기 및 막 두께의 계속된 감소는 더 박형의 막들에 대한 고 저항률을 포함하는 다양한 과제들을 야기한다. 몰리브덴 (Mo) 과 같은 다른 금속들이 W에 대한 저 저항률 대체물들로서 평가되고 있다.
본 명세서에 제공된 배경기술 기술 (description) 은 본 개시의 맥락을 일반적으로 제시하기 위한 것이다. 이 배경기술 섹션에 기술된 정도 (extent) 의 본 명세서에 명명된 발명자들의 업적, 뿐만 아니라 출원 시 종래 기술로서 달리 인증되지 않을 수도 있는 본 기술의 양태들은 본 개시에 대한 종래 기술로서 명시적으로나 암시적으로 인정되지 않는다.
참조로서 인용
PCT 신청 양식이 본 출원의 일부로서 본 명세서와 동시에 제출되었다. 본 출원이 동시에 제출된 PCT 신청 양식에서 식별된 바와 같이 우선권 또는 이익을 주장하는 출원 각각은 전체가 모든 목적들을 위해 본 명세서에 참조로서 인용되었다.
기판들을 프로세싱하기 위한 방법들 및 장치들이 본 명세서에 제공된다. 일 양태는 기판들을 프로세싱하기 위한 방법을 수반하고, 방법은 상부에 옥사이드 재료를 갖는 기판을 제공하는 단계; 제 1 세트의 프로세스 조건들을 사용하여 제 1 산소-함유 몰리브덴 전구체와 제 1 환원제의 교번하는 펄스들에 옥사이드 재료를 노출함으로써 제 1 원자 층 증착 (atomic layer deposition; ALD) 프로세스를 사용하여 옥사이드 재료 위에 원소 (elemental) 몰리브덴 층의 적어도 일부를 증착하는 단계; 및 원소 몰리브덴 층의 일부를 증착하는 단계 시 비-몰리브덴 함량을 증가시키도록 제 1 세트의 프로세스 조건들을 조절하는 단계를 포함한다.
다양한 실시 예들에서, 제 1 세트의 프로세스 조건들은 제 1 ALD 프로세스 동안 제 1 환원제의 적어도 약 1000 sccm의 플로우 레이트를 사용하는 것을 포함한다.
다양한 실시 예들에서, 제 1 세트의 프로세스 조건들은 제 1 ALD 프로세스의 사이클 동안 적어도 1 초 동안 기판을 제 1 환원제에 노출하는 것을 포함한다.
다양한 실시 예들에서, 방법은 또한 원소 몰리브덴 층의 일부를 증착하는 단계 전에, 붕소-함유 가스들, 텅스텐-함유 가스들, 불소-함유 가스들, 산소-함유 가스들, 염소-함유 가스들, 및 이들의 조합들과 같은 소킹 가스 (soak gas) 에 옥사이드 재료를 노출하는 단계를 포함한다.
다양한 실시 예들에서, 방법은 또한 원소 몰리브덴 층의 일부를 증착하는 단계 전에, 붕소-함유 가스와 텅스텐-함유 가스의 교번하는 펄스들에 옥사이드 재료를 노출하는 단계를 포함한다. 일부 실시 예들에서, 붕소-함유 가스는 디보란을 포함하고 그리고 텅스텐-함유 가스는 텅스텐 헥사플루오라이드를 포함한다.
다양한 실시 예들에서, 방법은 또한 원소 몰리브덴 층의 일부를 증착하는 단계 전에, 제 2 세트의 프로세스 조건들 하의 옥사이드 재료 상에 제 2 ALD 프로세스를 사용하여 제 2 산소-함유 몰리브덴 전구체 및 제 2 환원제를 사용하여 옥사이드 재료 상에 제 1 층을 증착하는 동작을 포함한다. 일부 실시 예들에서, 제 2 환원제는 질소-함유 가스, 수소, 또는 이들의 조합들이다.
일부 실시 예들에서, 제 2 세트의 프로세스 조건들은 약 400 ℃ 미만의 기판 온도에서 적어도 원소 몰리브덴 층의 일부를 증착하는 것을 포함한다. 일부 실시 예들에서, 제 1 산소-함유 몰리브덴 전구체 및 제 2 산소-함유 몰리브덴 전구체 중 적어도 하나는 몰리브덴 옥시할라이드이다. 일부 실시 예들에서, 제 1 산소-함유 몰리브덴 전구체는 몰리브덴 옥시할라이드이고, 그리고 제 1 세트의 프로세스 조건들은 약 100:1 내지 약 10,000:1의 수소 대 몰리브덴 옥시할라이드 전구체를 사용하는 것을 포함한다.
일부 실시 예들에서, 제 2 세트의 프로세스 조건들은 제 2 ALD 프로세스 동안 질소-함유 가스의 플로우를 증가시키는 것을 포함한다.
일부 실시 예들에서, 방법은 또한 제 1 층의 증착 동안 질소를 흘리는 단계를 포함한다.
일부 실시 예들에서, 방법은 또한 제 1 층을 증착하기 전에 소킹 가스로 상부에 옥사이드 재료를 갖는 기판을 소킹하는 단계를 포함한다. 소킹 가스는 산소, 암모니아, 또는 질소 중 임의의 하나 이상일 수도 있다.
일부 실시 예들에서, 방법은 또한 제 1 층을 증착한 후 소킹 가스로 상부에 옥사이드 재료를 갖는 기판을 소킹하는 단계를 포함한다.
일부 실시 예들에서, 제 1 층의 절반 미만이 제 1 ALD 프로세스 동안 또는 제 1 ALD 프로세스 전에 변환된 원소 몰리브덴 층으로 변환된다. 변환된 원소 몰리브덴 층은 1 (원자)% 초과의 불순물들을 함유할 수도 있다. 불순물들은 산소, 염소, 질소, 및 이들의 조합들 중 임의의 것일 수도 있다.
다양한 실시 예들에서, 제 1 층은 결정질 (crystalline) 층이다.
다양한 실시 예들에서, 제 1 층은 비정질 (amorphous) 층이다.
다양한 실시 예들에서, 제 1 ALD 프로세스 및 제 2 ALD 프로세스는 공기에 대한 노출 없이 동일한 챔버에서 수행된다.
다양한 실시 예들에서, 제 1 층은 원소 몰리브덴 층에서 금속 입자 성장을 위한 템플릿이다.
다양한 실시 예들에서, 제 2 ALD 프로세스는 400 ℃ 미만의 온도에서 수행된다. 일부 실시 예들에서, 제 1 ALD 프로세스는 제 2 ALD 프로세스와 동일한 온도에서 수행된다. 일부 실시 예들에서, 원소 몰리브덴 층은 적어도 제 1 ALD 프로세스의 사이클들의 제 1 세트가 약 400 ℃ 미만의 온도에서 수행되고 그리고 적어도 제 1 ALD 프로세스의 사이클들의 마지막 세트가 400 ℃ 초과의 온도에서 수행되도록, 경사 막 (gradient film) 이다.
다양한 실시 예들에서, 제 1 층의 증착 및 원소 몰리브덴 층의 증착은 동일한 챔버에서 수행된다. 일부 실시 예들에서, 제 1 층의 증착 및 원소 몰리브덴 층의 증착은 동일한 챔버의 상이한 스테이션들에서 수행된다.
다양한 실시 예들에서, 제 1 층의 증착은 제 1 챔버에서 수행되고 그리고 원소 몰리브덴 층의 증착은 제 2 챔버에서 수행된다.
다양한 실시 예들에서, 방법은 또한 원소 몰리브덴 층의 증착 전에 제 1 층을 공기에 노출하는 단계를 포함한다.
다양한 실시 예들에서, 원소 몰리브덴 층은 결정질이다.
다양한 실시 예들에서, 원소 몰리브덴 층은 1 (원자)% 미만의 불순물들을 함유한다.
다양한 실시 예들에서, 원소 몰리브덴 층은 원소 몰리브덴이다.
또 다른 양태는 기판들을 프로세싱하기 위한 장치를 수반하고, 장치는: 기판을 하우징하도록 각각 구성된 제 1 프로세스 챔버 및 제 2 프로세스 챔버; 제 1 프로세스 챔버 및 제 2 프로세스 챔버 각각의 기판 지지부; 하나 이상의 단일 또는 듀얼 플레넘 샤워헤드들을 통해 제 1 프로세스 챔버 및 제 2 프로세스 챔버 각각 내로 가스를 지향시키도록 구성된 가스 유입구들; 프로세스 챔버 각각에서 기판 지지부를 가열하도록 구성된 히터; 및 프로그램 인스트럭션들을 포함하는 제어기를 포함하고, 프로그램 인스트럭션들은: (a) 기판이 제 1 프로세스 챔버 내에 하우징되는 동안 순차적으로 제 1 프로세스 챔버 내로 산소-함유 몰리브덴 전구체 및 질소-함유 가스의 유입을 유발하는 인스트럭션; (b) 인스트럭션 (a) 후에, 제 2 프로세스 챔버로의 기판의 이송을 유발하는 인스트럭션; (c) 인스트럭션 (b) 후에, 기판이 제 2 프로세스 챔버 내에 하우징되는 동안 순차적으로 제 2 프로세스 챔버 내로 산소-함유 몰리브덴 전구체 및 수소의 유입을 유발하는 인스트럭션; 및 (d) 기판이 제 1 프로세스 챔버 내에 하우징될 때 질소로 하여금 제 1 프로세스 챔버 내로 유입되게 하는 인스트럭션; 기판이 제 2 프로세스 챔버 내에 하우징되는 동안 제 2 프로세스 챔버 내의 기판 지지부의 온도가 2 개의 상이한 온도들이게 하는 인스트럭션; 순차적으로 산소-함유 몰리브덴 전구체 및 질소-함유 가스를 제 1 프로세스 챔버 내로 유입하기 전 또는 후에 제 1 프로세스 챔버로의 소킹 가스의 전달을 유발하는 인스트럭션, 및 기판이 제 2 프로세스 챔버 내에 하우징되는 동안 제 2 프로세스 챔버 내로의 수소의 감소된 플로우를 유발하는 인스트럭션으로 구성된 그룹으로부터 선택된 프로그램 인스트럭션들 중 하나 이상의 구현을 유발하는 인스트럭션이다.
다양한 실시 예들에서, 하나 이상의 샤워헤드들 중 적어도 하나는 단일 플레넘 샤워헤드이다.
다양한 실시 예들에서, 하나 이상의 샤워헤드들 중 적어도 하나는 듀얼 플레넘 샤워헤드이다.
다양한 실시 예들에서, 프로세스 챔버는 멀티-챔버 장치 내의 챔버이다.
또 다른 양태는 기판들을 프로세싱하기 위한 장치를 수반하고, 장치는: 기판을 하우징하도록 구성된 프로세스 챔버; 프로세스 챔버들 내의 기판 지지부; 수소 가스를 담기 위한 가스 소스를 포함하는 제 1 가스 박스; 몰리브덴-함유 가스를 담기 위한 가스 소스를 포함하는 제 2 가스 박스; 붕소-함유 가스 또는 텅스텐-함유 가스를 담기 위한 가스 소스를 포함하는 제 3 가스 박스; 제 1 가스 박스, 제 2 가스 박스, 및 제 3 가스 박스 각각으로부터의 가스를 하나 이상의 샤워헤드들을 통해 프로세스 챔버 내로 지향시키도록 구성된 가스 유입구들; 및 프로세스 챔버 각각에서 기판 지지부를 가열하도록 구성된 히터를 포함한다.
다양한 실시 예들에서, 하나 이상의 샤워헤드들 중 적어도 하나는 단일 플레넘 샤워헤드이다.
다양한 실시 예들에서, 하나 이상의 샤워헤드들 중 적어도 하나는 듀얼 플레넘 샤워헤드이다.
다양한 실시 예들에서, 프로세스 챔버는 멀티-챔버 장치 내의 챔버이다.
이들 및 다른 양태들은 도면들을 참조하여 이하에 더 기술된다.
도 1a 및 도 1b는 금속 성장을 위한 템플릿으로서 핵생성 층을 포함하는 재료 스택들의 개략적인 예들이다.
도 2a 및 도 2b는 다양한 실시 예들에 따른 재료 스택들이 채용될 수도 있는 구조체들의 예들을 제공한다.
도 3a, 도 3b 및 도 3c는 다양한 실시 예들에 따른 전도성 재료를 증착하는 방법들의 동작들을 예시하는 프로세스 흐름도들이다.
도 4는 다양한 실시 예들에 따른 핵생성 층의 경사 조성 (gradient composition) 을 갖는 재료 스택의 일 예이다.
도 5는 개시된 실시 예들을 수행하기 위한 예시적인 프로세스 챔버의 개략도이다.
도 6 및 도 7a 내지 도 7c는 특정한 개시된 실시 예들을 수행하도록 사용될 수도 있는 장치들에 대한 예시적인 가스 흐름도들의 개략적인 예시들이다.
도 8은 본 명세서에 기술된 실시 예들에 따른 증착 프로세스들을 수행하기에 적합한 프로세싱 시스템의 블록도이다.
도 9는 특정한 개시된 실시 예들에 따라 증착된 스택들의 다양한 엘리먼트들의 원자 함량의 그래프들이다.
이하의 기술 (description) 에서, 제시된 실시 예들의 완전한 이해를 제공하기 위해 수많은 구체적 상세들이 제시되었다. 개시된 실시 예들은 이들 구체적인 상세들 중 일부 또는 전부 없이 실시될 수도 있다. 다른 예들에서, 공지된 프로세스 동작들은 개시된 실시 예들을 불필요하게 모호하게 하지 않기 위해 상세히 기술되지 않았다. 개시된 실시 예들이 구체적인 실시 예들과 함께 기술될 것이지만, 이는 개시된 실시 예들을 제한하는 것으로 의도되지 않았다는 것이 이해될 것이다.
반도체 제조 프로세스들은 3D NAND 디바이스들의 형성을 수반한다. 3D NAND 트랜지스터의 게이트 콘택트의 금속화는 특히 작은 피처들에서 매우 전도성이고 낮은 저항률을 갖는 금속의 증착을 수반한다. 텅스텐 (W) 은 3D NAND 디바이스들에서 금속화를 위해 사용되었고 그리고 게이트 콘택트들을 위한 W의 증착은 티타늄 나이트라이드 (TiN) 라이너 층, 이어서 W 핵생성 층 및 마지막으로 W 벌크 층의 형성을 수반한다. TiN 층은 고 품질 벌크 W의 형성을 용이하게 하기 위해 사용되는 W 핵생성 층의 효과적인 핵생성을 용이하게 하도록 배리어 층 및 접착 층 모두로서 사용된다. 핵생성 층은 종종 핵생성 층을 증착하기 위해 사용된 붕소-함유 반응 물질로부터 붕소를 포함하고, 그리고 벌크 W보다 더 높은 저항률을 갖는다. 많은 층들이 증착되기 때문에, 특히 디바이스들이 계속해서 축소됨에 따라, 고 품질, 저 저항의 벌크 W를 증착하기 위한 이용 가능한 공간은 적다.
몰리브덴 (Mo) 금속화는 대안적인 금속화 옵션이다. Mo 금속화 동안, 라이너 층이 증착되고 이어서 벌크 Mo 층이 증착된다. 벌크 Mo 층의 증착 동안, 프로세스 조건들은 라이너 층을 원소 (elemental) Mo로 거의 완전히 변환하도록 제어될 수 있고, 이에 따라 피처 내 더 많은 공간이 원소 Mo로 충진되게 할 수 있고, 이는 비-변환된 라이너 층과 벌크 Mo의 조합보다 더 낮은 저항률을 갖는다. 전반적으로, 이는 원소 Mo가 게이트 옥사이드와 콘택트하게 한다.
3D NAND 디바이스의 일 기능은 디바이스에 데이터를 유지하는 능력이다. 3D NAND 디바이스를 사용하는 일 장점은 비-휘발성이다. 데이터는 3D NAND 디바이스의 트랜지스터에 기록될 수도 있고 이어서 일정 기간 동안 전력이 공급되지 않은 채로 있을 수도 있고; 3D NAND 디바이스가 나중에 전력 공급될 때, 데이터는 여전히 트랜지스터에 기록되고 이에 따라 효과적인 3D NAND 디바이스로서 기능할 것으로 예상된다. 시간 기간이 경과된 후 데이터를 유지하는 트랜지스터의 능력은 데이터 유지 (data retention) (예를 들어, 데이터가 디바이스 내에 효과적으로 유지될 수 있는지 여부) 로서 지칭될 수도 있다.
메모리 셀 트랜지스터들의 게이트 금속으로서 Mo를 사용하는 3D NAND 디바이스들의 데이터 유지는 라이너 층이 원소 Mo로 완전히 변환될 때 W-기반 3D NAND 디바이스들만큼 효과적이지 않았다. 스택의 전체 저항률은 더 낮을 수도 있는 한편, 데이터 유지는 효과적이지 않을 수도 있다.
특정한 이론에 얽매이지 않고, 산소 및 다른 비-몰리브덴 컴포넌트 원소들 (예컨대 알루미늄) 이 게이트 금속의 증착 동안 게이트 옥사이드 스택으로부터 스트립핑되어 (strip), 빈 공간 결함들 (vacancy defects) 을 발생시키고, 비-휘발성 트랩핑된 (trap) 전하의 더 높은 누설을 야기한다고 여겨진다. 이 트랩핑된 전하 누설은 감소된 데이터 유지를 야기한다: 데이터는 더 빨리 손실된다. 특정한 이론에 얽매이지 않고, 유전체-금속 계면에서 게이트 옥사이드 스택의 유전체 막의 결함들에 의해 유발된 양자 터널링이 증가된 데이터 손실에 기여한다고 여겨진다. 예를 들어, 유전체 막의 결함들이 전자 근처에 있다면, 전자는 에너지 배리어를 극복하고 유전체를 떠날 수 있다. 유전체 막에 더 많은 결함들이 있을수록, 유전체의 트랩핑 층에 원래 트랩핑된 전자들이 유전체를 떠나기 쉽고, 더 빠른 레이트로 데이터 손실을 발생시킨다. 스택 내의 산소는 스택으로부터 제거되고 양자 터널링을 허용하는 결함들의 형성을 유발하고, 이에 따라 증가된 데이터 손실에 기여한다고 여겨진다.
산소 및 비-몰리브덴 컴포넌트 원소 손실을 감소시키기 위한 일 잠재적인 방식은 초기 게이트 금속 막에 과잉의 (an overabundance of) 산소 및 비-몰리브덴 컴포넌트 원소들을 공급하거나, 게이트 옥사이드 스택들에 사용되었던 TiN W 통합 스킴들과 유사한 조성을 갖도록 금속-유전체 계면을 제조하는 것이다. 이는 게이트 옥사이드 스택으로부터 산소 및 다른 비-몰리브덴 컴포넌트 원소들의 손실을 야기하는 구동력을 감소시킬 수도 있다. 핵생성 층 내에 산소 또는 다른 원소들을 유지하는 것은 산소 및 다른 원소들이 유전체로부터 제거되는 것을 방지할 수 있다고 또한 여겨진다. 예를 들어, 금속 층이 핵생성 층 상에 증착될 때, 금속 층을 증착하기 위한 프로세스 조건들은 산소가 유전체 층으로부터 제거되도록 핵생성 층과 유전체 층 사이의 상호 작용을 유발한다고 여겨지는 반면, 핵생성 층이 일부 산소 또는 다른 비-몰리브덴 컴포넌트 원소 불순물들을 유지할 때, 데이터 손실 레이트는 실질적으로 감소된다.
메모리 디바이스 전기적 성능은 또한 워드라인 (wordline) 금속들의 W에서 Mo 로의 변화로 인해 변화된다. 이전에, 텅스텐 통합은 TiN, 텅스텐 나이트라이드 (WN), 텅스텐 카보나이트라이드 (WCN), 등과 같은 배리어 금속을 수반하였다. TiN 배리어 층들의 증착은 고온들에서 암모니아 (NH3), 염소 (Cl2) 및 염산 (HCl) 을 포함하는 다양한 화학 물질들에 대한 노출을 발생시키지만, 전체 프로세스 스킴은 미량의 이들 원소들이 제어 게이트 유전체 또는 커패시터 유전체를 본질적으로 해치지 (harm) 않도록 이들 노출들에 적응된다 (adapt). 텅스텐 층들의 증착은 디보란 (B2H6), 실란 (SiH4), 수소 (H2), 텅스텐 헥사플루오라이드 (WF6) 및 이로 제한되는 것은 아니지만, 불소 (F2), 하이드로플루오릭산 (HF), 붕소 트리플루오라이드 (BF3), 실리콘 테트라플루오라이드 (SiF4), 등을 포함하는 반응 부산물들을 포함하는 다양한 다른 화학 물질들에 제어 게이트 및 커패시터 유전체들의 노출을 발생시킨다. 그러나, 프로세스 스킴들은 이들 노출들이 제어 게이트 또는 커패시터 유전체들을 본질적으로 해치지 않도록 개발되었다.
반도체 제조 프로세스들은 이전에 TiN 배리어 층들 증착 및/또는 텅스텐-함유 막 증착을 사용하는 것, 특히 WF6 가스 및 B2H6 가스를 사용하는 증착을 고려하여 수행되었다. 배리어 금속 층들은 NH3와 같은 질소-함유 반응 물질 및 티타늄 테트라클로라이드 (TiCl4) 와 같은 티타늄-함유 반응 물질, 및 H2를 사용하여 증착된 TiN 층들일 수도 있다. 이러한 증착은 디바이스 내에 미량의 불소, 붕소, 및 다른 비-몰리브덴 컴포넌트 원소들의 혼입을 발생시킬 수 있다. 금속화가 W 대신 Mo의 증착을 수반할 때에도, 일부 디바이스들은 여전히 디바이스 내의 이들 미량의 원소들을 사용하여 더 최적으로 수행할 수도 있다. 그러나, 일부 Mo 증착은 배리어 층들을 포함할 수도 있지만, 일부 통합 스킴들은 배리어 층들 없이 통합될 수도 있다.
특정한 이론에 얽매이지 않고, 금속화 스택의 유전체-금속 계면에서 미량의 비-몰리브덴 컴포넌트 원소들의 존재는 금속화를 위해 사용된 금속이 Mo인 개선된 디바이스 성능에 기여할 수도 있다고 여겨진다. Mo 증착은 NH3, H2, 및 HCl에 대한 노출을 수반할 수도 있지만, W 증착을 사용한 프로세스 스킴들이 또한 이러한 노출들을 수반하므로 이들 가스들이 반드시 유전체에 본질적으로 해로운 것이 아닐 수도 있다. 그러나, Mo의 증착은 또한 Mo-함유 전구체들, 물 (H2O) 및 질소 옥사이드 (NO) 와 같은 반응 부산물들, 및 Mo 옥사이드들 및 아산화물들 (suboxides), 뿐만 아니라 몰리브덴 옥시나이트라이드 (MoOxNy) 에 대한 노출을 포함한다. W 증착을 사용한 프로세스 스킴들은 통상적으로 Mo 증착에 사용되는 이들 가스들에 대한 노출을 수반하지 않는다. 이러한 노출들의 잠재적인 유해한 영향들을 완화시키기 위해, W 증착 동안 유전체들이 노출된 화합물들은 Mo 게이트 옥사이드 스택들에 대해 우수한 전기적 성능을 발생시키는 유전체-Mo 계면을 조절하도록 사용될 수 있다.
특정한 개시된 실시 예들은, 배리어 금속을 사용하는 워드라인들의 3D NAND 제조, 배리어 금속을 사용하지 않는 워드라인들의 3D NAND 제조, 배리어 금속을 사용하는 DRAM 매립된 워드라인, 배리어 금속을 사용하지 않는 DRAM 매립된 워드라인 및 금속-옥사이드-반도체 커패시터 (metal-oxide-semiconductor capacitor; MOSCAP) 디바이스들을 포함하지만 이로 제한되지 않는 다양한 애플리케이션들에서 이들 문제들을 해결한다.
유전체-Mo 계면들이 일 예로서 본 명세서에 기술되었지만, 다양한 개시된 실시 예들이 또한 다른 유전체-금속 계면들을 조절하는 데 적합하다는 것이 이해될 것이다. 비-제한적인 예시적인 금속-유전체 계면들은 알루미늄 옥사이드-몰리브덴 (Al2O3-Mo) 계면들, 실리콘 옥사이드-몰리브덴 (SiO2-Mo) 계면들, 지르코늄 옥사이드-몰리브덴 (ZrO2-Mo) 계면들, 및 Mo와 같은 금속들과 인터페이싱된 다른 제어 게이트 유전체들을 포함한다.
금속화 스택의 형성 동안 미량의 비-몰리브덴 컴포넌트 원소들을 혼입하는 Mo의 금속화 스택들을 형성하는 방법들이 본 명세서에 제공된다. 이러한 방법들은 유전체-금속 계면을 개질하기 위해 구체적으로 타깃팅될 수도 있다. 방법들은 이로 제한되는 것은 아니지만, 임의의 금속의 증착 전, 금속 옥시나이트라이드와 같은 금속 핵생성 층의 증착 전, (금속 옥시나이트라이드 및 벌크 금속을 포함하는) 일부 금속 증착 후, 핵생성 층의 일부의 증착 후 전체 핵생승 층의 증착 후 그리고 벌크 금속의 증착 전, 금속 핵생성 층의 증착 전반에 걸쳐 (throughout) 또는 금속 핵생성 층의 증착 동안, 또는 벌크 금속의 증착 전반에 걸쳐 또는 벌크 금속의 증착 동안, 또는 벌크 금속의 증착 후를 포함하는 금속 증착의 임의의 동작 동안 수행될 수도 있다. 실시 예들이 유전체 상에 배리어 층을 갖는 기판을 수반하면, 본 명세서에 기술된 방법들은 배리어 층을 증착하기 전, 배리어 층을 증착한 후, 또는 배리어 층의 증착 동안 유전체 상에서 수행될 수도 있다. 본 명세서에 기술된 방법들은 산소, 질소, 불소, 붕소, 염소, 및 텅스텐 중 하나 이상의 원소들을 혼입할 수도 있다. 다양한 실시 예들에서, 3D NAND 게이트 트랜지스터들은 특정한 개시된 실시 예들을 사용하여 제조된다. 개시된 다양한 실시 예들은 플라즈마-프리 (plasma-free) 증착 또는 플라즈마-리스 (plasma-less) 증착을 수반한다. 개시된 다양한 실시 예들은 열적 프로세스들이다.
특정한 개시된 실시 예들은 원소 타입 당 약 1 원자% (atomic %) 미만 내지 약 50 원자%의 범위일 수도 있는 붕소, 불소, 산소, 질소, 염소, 및 다른 비-몰리브덴 컴포넌트 원소들의 불순물 함량을 유지한다. 다양한 실시 예들에서, 유전체-금속 계면 근방의 불순물 함량이 벌크 금속에서보다 더 큰, 경사 조성 (gradient composition) 이 형성될 수도 있다. 고 불순물 함량은 유전체-Mo 계면에서 약 1 Å 내지 30 Å 범위 미만의 Mo-함유 재료에서 약 1 원자% 미만 내지 약 50 원자%일 수도 있다.
일부 실시 예들에서, 방법들은 다음 동작들 중 하나 이상을 수반한다: 특정한 가스들을 사용하는 (유전체, 부분적인 또는 전체 배리어 층, 부분적인 또는 전체 금속 핵생성 층, 또는 부분적인 또는 전체 금속 층을 포함하는) 기판의 온도 및/또는 가스 처리; 및 초기 Mo 층들의 증착, 라이너 층과 벌크 Mo 층 사이의 계면의 처리, 및 벌크 Mo 층의 증착 중 적어도 하나가 라이너 층 내의 산소 및 다른 원소들의 함량을 증가시키거나 유지하도록 조절되는 증착. 예를 들어, 라이너 층의 증착은 금속 막 내에 산소를 유지하기 위해 Mo 전구체를 과소 반응시키는 (under-react) 것을 포함할 수도 있다. 본 명세서에 기술된 방법들은 CA, Fremont 소재의 Lam Research Corporation으로부터 입수 가능한 쿼드-스테이션 모듈과 같은 반도체 디바이스들을 제조하기 위한 멀티-스테이션 장치에서의 구현에 특히 유리하다. 쿼드-스테이션 모듈은 4 개의 스테이션들을 포함한다; 적어도 하나의 스테이션이 특정한 개시된 실시 예들에 따라 몰리브덴을 증착하도록 사용될 수도 있다. 일 예에서, 일 스테이션은 라이너를 증착하기 위한 것이고, 또 다른 스테이션은 선택 가능한 (optional) 개시 층을 증착하기 위한 것이고, 또 다른 스테이션은 벌크를 증착하기 위한 것이고, 그리고 또 다른 스테이션은 피처의 완전한 충진을 보장하기 위해 오버버든 (overburden) 을 증착하기 위한 것일 수 있다. 일부 실시 예들에서, 쿼드-스테이션 모듈은 2 개의 분리된 스테이션들에 라이너를 증착하고 벌크를 증착하는 것을 허용한다. 라이너 및/또는 벌크를 증착하기 위한 스테이션은 증착 온도를 감소시키도록 (또는 특정한 개시된 실시 예들에 대해 본 명세서에 기술된 임의의 다른 프로세스 조건을 조절하거나 수행하도록) 조절될 수 있는 한편, 다른 스테이션들로 하여금 더 높은 증착 온도들에서 증착하게 하거나 더 높은 모듈 쓰루풋 (throughput) 을 허용하기 위해 반응 레이트를 개선하는 다른 프로세스 조건들을 허용한다.
도 1a 및 도 1b는 금속 성장을 위한 템플릿으로서 핵생성 층을 포함하는 재료 스택들의 개략적인 예들이다. 도 1a 및 도 1b는 특정한 스택의 재료들의 순서를 예시하고, 도 3a 내지 도 3c 및 도 4에 대해 이하에 더 기술된 바와 같이, 임의의 적절한 아키텍처 및 애플리케이션과 함께 사용될 수도 있다. 도 1a의 예에서, 스택 (100) 은 유전체, 전도성 또는 반전도성 재료와 같은 재료의 하나 이상의 층들이 그 위에 증착된 웨이퍼들을 포함하는, 실리콘 또는 다른 반도체 웨이퍼, 예를 들어, 200-㎜ 웨이퍼, 300-㎜ 웨이퍼, 또는 450-㎜ 웨이퍼일 수도 있는 기판 (102) 을 포함한다. 방법들은 또한 유리, 플라스틱, 등과 같은 다른 기판들 상에 금속화 스택 구조체들을 형성하도록 적용될 수도 있다. 일부 실시 예들에서, 기판 (102) 은 실리콘을 포함한다.
유전체 층 (104) 이 기판 (102) 상에 있다. 유전체 층 (104) 은 기판 (102) 의 반도체 표면 직상에 증착될 수도 있거나 임의의 수의 개재 (intervening) 층들이 있을 수도 있다. 유전체 층들의 예들은 도핑되고 도핑되지 않은 실리콘 옥사이드 (SiO2), 도핑되고 도핑되지 않은 실리콘 카바이드 (SiC), 실리콘 나이트라이드 (SiN), 및 알루미늄 옥사이드 (Al2O3) 층들을 포함하고, 구체적인 예들은 도핑되거나 도핑되지 않은 실리콘 옥사이드 (SiO2) 층 및 Al2O3 층을 포함한다. 또한, 또 다른 실시 예에서, 도 1b에서, 스택 (100) 은 핵생성 층 (108) 과 유전체 층 (104) 사이에 증착된 배리어 층 (106) 을 포함한다. 배리어 층 (106) 은 확산 배리어, 접착 배리어, 또는 둘 모두일 수도 있다. 배리어 층들의 예들은 TiN, Ti/TiN, WN, 및 WCN을 포함한다. 다양한 실시 예들에서, 배리어 층 (106) 은 약 10 Å 내지 약 40 Å 두께 또는 약 10 Å 내지 약 20 Å 두께이다. 도 1a에서, 핵생성 층 (108) 은 유전체 층 (104) 상에 증착되고 그리고 금속 층 (110) 은 핵생성 층 (108) 상에 증착된다. 도 1b에서, 핵생성 층 (108) 은 배리어 층 (106) 상에 증착되고 그리고 금속 층 (110) 은 핵생성 층 (108) 상에 증착된다. 도 1a 및 도 1b는 유전체-금속 계면, 즉, (112a) 및 (112b) 를 도시한다. 특정한 개시된 실시 예들은 핵생성 층 (108) 을 증착하기 전에 처리 동작을 수행하는 것, 핵생성 층 (108) 중 적어도 일부를 증착한 후 처리 동작을 수행하는 것, 모든 핵생성 층 (108) 을 증착한 후 처리 동작을 수행하는 것, 핵생성 층 (108) 의 증착 조건들을 조절하는 것, 금속 층 (110) 을 증착하기 전에 처리 동작을 수행하는 것, 금속 층 (110) 의 증착 동안 처리를 수행하는 것, 금속 층 (110) 중 적어도 일부를 증착할 때 증착 조건들을 조절하는 것, 금속 층 (110) 모두를 증착할 때 증착 조건들을 조절하는 것, 또는 이들의 조합들을 수반할 수도 있다. 금속 층 (110) 은 유전체-금속 계면 (112) 에서 핵생성 층 (108) 과 콘택트하도록 형성될 수 있다.
핵생성 층 (108) 상에 증착된 금속 층 (110) 이 금속 성장을 위한 템플릿을 제공하는 핵생성 층 (108) 을 갖는 구조체의 주 전도체 (또한 벌크 전도체 또는 벌크 층으로 지칭됨) 이다.
이하에 더 기술된 바와 같이, 핵생성 층 (108) 은 비정질 (amorphous) 막으로서 증착될 수도 있다. 비정질 막은 입자 구조를 갖지 않고 그리고 금속 성장을 위한 템플릿으로서, 입자 구조가 없고 그리고/또는 (작은 입자들과 반대로) 큰 입자들을 갖는 저 저항률 금속이 형성될 수 있다. 금속 층들의 예들은 Mo 층들을 포함한다.
도 1a 및 도 1b의 예들에서, 증착된 핵생성 층 (108) 은 MoOxNy 층과 같은 금속 옥시나이트라이드 층이다.
특정한 개시된 실시 예들에서, 후속 프로세싱 동안, 특정한 실시 예들에서, 핵생성 층 (108) 중 일부는 순수 금속으로 변환될 수도 있는 한편, 핵생성 층 (108) 중 일부, 예컨대 핵생성 층 (108) 층 과 유전체 층 (104) 의 계면은, 붕소, 불소, 텅스텐, 산소, 질소, 및 염소와 같은 비-몰리브덴 컴포넌트 원소 불순물들을 유지할 수도 있고, 그리고 핵생성 층 (108) 중 일부는 순수 금속으로 변환되지 않을 수도 있다. 순수 금속은 약 1 % 미만의 비-몰리브덴 컴포넌트 원소 조성을 갖는 것으로 규정될 수도 있다.
비-몰리브덴 컴포넌트 원소 불순물들은 데이터 손실을 유발할 수 있는 유전체로부터 옥사이드 확산의 기회들을 감소시킬 수 있는 유전체-금속 계면에서 또는 그 근방에서 핵생성 층 (108) 내에 의도적으로 유지된다. 따라서, 다양한 실시 예들에 따라, 핵생성 층 (108) 은 금속 층 (110) 과 동일한 조성일 수도 있고 아닐 수도 있다. 일부 실시 예들에서, 핵생성 층 (108) 은 복수의 층들을 포함하거나, 경사 층이거나, 또는 사이클 각각에서 동일한 전구체 플로우 및 반응 물질 플로우를 사용하여 적어도 하나의 원자 층 증착 (atomic layer deposition; ALD) 사이클을 반복함으로써 증착된 층이고, 그리고 금속 층 (110) 을 증착할 때, 핵생성 층 (108) 은 경사, 복수의 층들, 모폴로지 (morphology) 의 변화, 또는 핵생성 층의 불순물 조성의 변화를 발생시키도록 개질된다. 일부 실시 예들에서, 복수의 플레이어들 중 하나 이상은 경사 층들이다. 일부 실시 예들에서, 핵생성 층 (108) 은 비정질 특징을 특징으로 할 수도 있고, 금속 층 (110) 은 큰 입자 경계들의 결여를 특징으로 한다.
일부 실시 예들에서, 금속 옥시나이트라이드 층의 금속은 순수 금속 전도체의 금속과 동일하고, 예를 들어, MoOxNy 층은 Mo 층의 증착 전에 핵생성 층으로서 증착될 수도 있다. 다른 실시 예들에서, 금속 옥시나이트라이드 층은 순수 전도체의 금속과 상이한 금속을 가질 수도 있고, 예를 들어, W 층이 Mo-함유 핵생성 층 상에 증착될 수도 있고, 또는 Mo 층이 W-함유 핵생성 층 상에 증착될 수도 있다.
도 1a 및 도 1b는 금속화 스택들의 예들을 도시하지만, 방법들 및 발생되는 스택들은 그렇게 제한되지 않는다. 예를 들어, 일부 실시 예들에서, 금속 성장을 위한 템플릿으로서 핵생성 층은 SiO2, 실리콘 또는 다른 반도체 기판 직상에 증착될 수도 있다. 또한, 핵생성 층들 상의 W 또는 Mo 성장이 상기 기술되었지만, 핵생성 층들은 다른 금속들 예컨대, 코발트 (Co), 루테늄 (Ru), 니켈 (Ni), 및 MoW와 같은 이들 금속들을 포함하는 합금들의 저 저항률 성장을 위한 템플릿으로 역할할 (serve) 수도 있다. 또한, 핵생성 층은 MoOxNy, Mo 나이트라이드, 텅스텐 옥시나이트라이드, WN, 니켈 나이트라이드, 등을 포함하는, 임의의 적절한 금속 옥시나이트라이드 또는 금속 나이트라이드 층일 수도 있다.
상기 및 이하에 더 기술된 재료 스택들은 다양한 구조체들로 구현될 수도 있다. 도 2a 및 도 2b는 스택들이 채용될 수도 있는 구조체들의 예들을 제공한다. 도 2a는 2D NAND 구조체 (223) 의 워드라인들 (210) 의 개략적인 예를 도시한다. 워드라인들 (210) 은 기판 (200) 상에 필라 자신들 사이에 갭들 (235) 을 갖는 필라들로서 옥사이드 층들 (211) 에 의해 분리된다. 도 2b에서, Al2O3 층 (204) 및 핵생성 층 (208) 을 포함하는, 워드라인 (210) 과 옥사이드 층 (211) 사이의 계면의 상세가 도시된다. 일부 실시 예들에서, 본 명세서에 기술된 바와 같이 핵생성 층 (208) 은 옥사이드 층 (211) 상에 또는 TiN (204) 또는 다른 배리어 층 직상에 증착될 수도 있다. 핵생성 층들은 예를 들어, 약 10 ㎚ 내지 100 ㎚ 두께 또는 약 5 ㎚ 두께 이하의 워드라인 (210) 의 증착을 위해 약 10 Å 내지 100 Å, 또는 10 Å 내지 50 Å일 수도 있다.
도 3a는 특정한 개시된 실시 예들에 따른 전도성 재료를 증착하는 방법의 동작들을 예시하는 프로세스 흐름도이다. 동작 (301) 에서, 옥사이드 표면 및/또는 (옥사이드 표면 상에 있을 수도 있는) 배리어 층 표면을 갖는 기판이 제공된다. 동작 (301) 의 프로세스 조건들 및 특징들의 예들은 도 3b와 관련하여 이하에 더 제공된다. 동작 (360) 에서, 옥사이드-금속 계면은 옥사이드 내 결함들을 방지하도록 조절된다. 동작 (360) 을 수행하기 위한 예시적인 방법들은 도 3b 및 도 3c에 대해 이하에 기술된다. 동작 (370) 에서, 금속이 옥사이드 표면 또는 배리어 층 표면과 콘택트하는, 금속 층이 기판 위에 형성된다. 동작 (370) 을 수행하기 위한 예시적인 실시 예들은 도 3b 및 도 3c에 대해 이하에 더 기술된다.
도 3a에서, 동작들 (360 및 370) 은 동시에; 또는 먼저 동작 (360) 에 이어서 동작 (370) 의 순서로; 또는 먼저 동작 (370) 에 이어서 동작 (360) 의 순서로; 또는 동작들 (360 및 370) 의 조합이 함께 (예를 들어, 대안적으로; 또는 동작 (370) 동안 수행된 동작 (360) 의 일부 동작들; 또는 동작 (360) 동안 수행된 동작 (370) 의 일부 동작들) 수행될 수도 있다. 동작들 (301, 360, 및 370) 각각은 동일한 챔버에서, 단일 챔버에서, 동일한 챔버의 하나 이상의 스테이션들에서, 또는 상이한 챔버들의 하나 이상의 스테이션들에서 수행될 수도 있다.
도 3b는 전도성 재료를 증착하는 방법의 동작들을 예시하는 프로세스 흐름도이다. 동작들 (301, 303a, 305, 307, 및 309) 각각은 도 3b에서 다른 동작들 중 하나를 수행할 때와 같이 동일한 챔버에서 각각 수행될 수도 있거나 별개의 챔버들에서 수행될 수도 있거나 동일하고 상이한 챔버들의 조합을 사용하여 수행될 수도 있고, 그리고 동작들 (301, 303a, 305, 307, 및 309) 각각은 도 3b에서 다른 동작들 중 하나를 수행할 때와 같이 멀티-스테이션 챔버의 동일한 스테이션에서 수행될 수도 있거나 별개의 스테이션들에서 수행될 수도 있거나 동일하고 상이한 스테이션들의 조합을 사용하여 수행될 수도 있다는 것이 이해될 것이다.
선택 가능한 동작으로 식별된 도 3b의 동작들 중 적어도 하나가 수행된다. 선택 가능한 동작들 각각은 도 3b의 다른 선택 가능한 동작들과 조합하여 사용될 수도 있고; 예를 들어, 동작 (303a) 의 처리는 동작 (305) 및 동작 (309) 의 프로세스 조건들을 조절하는 단계를 생략하고 동작 (307) 의 처리를 생략하는 동안 수행될 수도 있다.
동작 (301) 에서, 옥사이드 표면을 갖는 기판이 제공된다. 이는 도 3a의 동작 (301) 과 동일할 수도 있다. 다양한 실시 예들에서, 기판은 상부에 옥사이드 표면을 포함하는 유전체 층을 갖는다. 다양한 실시 예들에서, 기판은 멀티-스테이션 챔버의 제 1 프로세스 스테이션에 제공된다. 기판은 유전체, 전도성 또는 반전도성 재료와 같은 재료의 하나 이상의 층들이 그 위에 증착된 웨이퍼들을 포함하는, 실리콘 웨이퍼, 예를 들어, 200 ㎜ 웨이퍼, 300 ㎜ 웨이퍼, 또는 450 ㎜ 웨이퍼일 수도 있다. 하부 층들의 비-제한적인 예들은 유전체 층들 및 전도성 층들, 예를 들어, 실리콘 옥사이드들, 실리콘 나이트라이드들, 실리콘 카바이드들, 금속 옥사이드들, 금속 나이트라이드들, 금속 카바이드들, 및 금속 층들을 포함한다. 일부 실시 예들에서, 기판은 도 1a 또는 도 1b의 기판 (102) 과 동일하다.
기판은 옥사이드 표면을 포함한다. 일부 실시 예들에서, 옥사이드 표면은 도 1a의 유전체 층 (104) 과 같은 유전체 층의 일부이다. 일부 실시 예들에서, 옥사이드 표면은 도 1b의 배리어 층 (106) 과 같은 배리어 층의 일부이다. 일부 실시 예들에서, 옥사이드 표면은 실리콘 옥사이드를 포함한다. 일부 실시 예들에서, 옥사이드 표면은 알루미늄 옥사이드를 포함한다.
도 3b를 다시 참조하면, 동작 (303a) 에서 선택 가능한 소킹 처리가 수행된다. 선택 가능한 소킹 처리는 동작 (305) 전에 기판 상의 옥사이드 표면의 표면을 준비하도록 수행될 수도 있다. 소킹은 동작 (305) 에서 재료의 증착으로 하여금 옥사이드의 표면 상에 상이하게 증착되거나 상이한 조성을 형성하게 할 수도 있다.
다양한 실시 예들에서, 동작 (303a) 은 디보란 (B2H6) 과 같은 붕소-함유 가스를 도입하는 것을 수반한다. 붕소-함유 가스에 대한 노출은 유전체 프로세스 이력을, 텅스텐 통합을 사용하는 TiN을 수반하는 이전 프로세스 이력들과 더 유사하게 할 수 있다.
다양한 실시 예들에서, 동작 (303a) 은 텅스텐-함유 가스 또는 불소-함유 가스, 예컨대, 이로 제한되는 것은 아니지만, 텅스텐 헥사클로라이드, 텅스텐 펜타클로라이드, 텅스텐 펜타플루오라이드, 및 텅스텐 헥사플루오라이드 (WF6) 를 포함하는 텅스텐 할라이드들을 도입하는 것을 수반한다. 불소 노출은 유전체 프로세스 이력을, 텅스텐 통합을 사용하는 TiN을 갖는 프로세스 이력과 더 유사하게 할 수 있다. 그러나, 노출은 유전체를 너무 많은 불소에 노출시키지 않도록 제한될 수도 있고, 이는 디바이스 성능에 해로울 수 있지만, 트레이스 노출은 W 통합을 사용하는 TiN의 디바이스 성능을 매칭하는 데 유리할 수 있다.
다양한 실시 예들에서, 동작 (303a) 은 가스 처리들의 2 개 이상의 시간적으로 분리된 펄스들로 수행된다. 예를 들어, 노출은 일부 실시 예들에서 B2H6과 WF6 사이에서 교번할 수도 있고, 예컨대 원자 층 증착에 의해 W 핵생성 층의 증착을 수행하도록 할 수도 있다. 이 동작은 유전체 프로세스 이력을 W 통합을 사용하는 TiN의 프로세스 이력과 더 유사하게 하도록 수행될 수도 있다. 동작 (303a) 을 수행함으로써 증착될 수도 있는 임의의 W 핵생성 층은 Mo 또는 후속하는 금속 전도체 층 저항률의 부정적인 영향을 방지하도록 최소화된다 (예를 들어, 1 내지 5 사이클들 또는 1 내지 10 사이클들과 같은 매우 적은 사이클들이 사용된다). Mo 증착 전에 ALD에 의해 증착된 초박형 (ultra-thin) W 핵생성 층은 다양한 실시 예들에서 큰 입자 또는 비정질 Mo 성장을 위한 템플릿을 제공한다면 Mo 저항률을 감소시킬 수도 있다. 다양한 실시 예들에서, 화학적 기상 증착 (chemical vapor deposition; CVD) 타입 프로세스와 유사하게, 붕소-함유 및 W-함유 또는 불소-함유 가스 노출들이 동시에 수행된다. ALD 프로세스 및 CVD 프로세스의 조합이 사용될 수도 있다.
동작 (303a) 은 동작 (305) 전에 별개의 동작으로서 수행될 수도 있거나 일부 핵생성 층이 증착된 후와 같이 동작 (305) 동안 수행될 수도 있고, 이에 따라 동작 (303a) 및 동작 (305) 은 시간적으로 교번하는 동작들로 수행될 수도 있다. 일부 실시 예들에서, 동작 (303a) 은 도 3b에서 수행된 다른 동작들 전반에 걸쳐 주기적으로 수행된다.
일부 실시 예들에서, 소킹 처리 (soak treatment) 는 기판의 옥사이드로부터 옥사이드 밖으로 전자들의 터널링을 감소시키기 위해 옥사이드 표면과 이후에 (later) 증착된 재료 사이의 계면을 변화시킬 수 있는 산소-함유 가스, 질소-함유 가스, 또는 다른 적합한 가스와 같은 하나 이상의 가스들, 및 이들의 조합들에 옥사이드 표면을 노출하는 것들을 포함한다. 예시적인 산소-함유 가스들은 산소 가스를 포함한다. 예시적인 질소-함유 가스들은 NH3 가스 및 질소를 포함한다.
동작 (303a) 은 임의의 적합한 온도에서 수행될 수도 있다. 예시적인 비-제한적인 온도들은 최대 약 650 ℃ 또는 약 350 ℃ 미만, 또는 약 250 ℃ 내지 약 350 ℃를 포함한다. 챔버 압력의 비-제한적인 예들은 최대 약 90 Torr, 또는 약 5 Torr 내지 약 50 Torr, 또는 약 5 Torr 내지 약 15 Torr, 또는 약 10 Torr일 수도 있다.
노출 시간들은 플로우 레이트들에 따라 가변할 수도 있다. 노출 시간들의 비-제한적인 예들은 가스 각각에 대해 약 0.1 초 내지 약 10 초, 또는 약 0.1 초 내지 약 20 초 범위이다. 처리들의 교번하는 펄스들에 대해, 펄스 각각은 상기 노출 시간들 중 임의의 시간을 가질 수도 있다. 동작 (303a) 의 전체 지속 기간은 약 0.1 초 내지 약 20 초, 또는 약 0.1 초 내지 약 15 초, 또는 약 0.1 초 내지 약 10 초의 범위일 수도 있다.
B2H6 및 수소 가스 노출을 위한 노출 시간들은 약 0.1 초 내지 약 10 초일 수도 있다. 예를 들어, 일부 실시 예들에서, B2H6 및 수소는 약 0.1 초 내지 약 10 초 동안 기판으로 동시에 흐를 수도 있다.
WF6 가스 노출을 위한 노출 시간들은 약 0.1 초 내지 약 1 초일 수도 있다. 예를 들어, 일부 실시 예들에서, WF6는 약 0.1 초 내지 약 1 초 동안 기판으로 흐를 수도 있다.
교번하는 펄스들이 수행되는 경우, 퍼징 동작은 교번하는 펄스들 사이에서 수행될 수도 있다. 퍼징은 약 0.1 초 내지 약 1 초와 같은 특정한 지속 기간 동안 아르곤 가스 또는 또 다른 불활성 가스를 흘리는 것을 수반할 수도 있다.
동작 (303a) 에서 교번하는 펄스들이 수행되는 일 실시 예의 일 예에서, 펄스들의 일 사이클은: (1) 0.1 초 내지 10 초 동안 B2H6 및 수소 도즈, (2) 0.1 초 내지 1 초 동안 아르곤 가스를 사용하는 퍼징, (3) 0.1 초 내지 1 초 WF6 도즈, 및 (4) 0.1 초 내지 1 초 동안 아르곤 가스를 사용하는 퍼징을 수반할 수도 있다.
하나 이상의 가스들의 가스 플로우는 선택된 하나 이상의 가스들의 화학 물질, 옥사이드 표면 재료, 노출 지속 기간, 2 개 이상의 가스가 흐를 경우 가스들의 혼합물, 및 주어진 기판에 목표된 소킹 효과의 정도 (extent) 에 종속된다. 가스 노출의 지속 기간은 가스 플로우, 가스의 타입, 및 목표된 소킹 효과의 정도에 종속된다.
산소 가스를 흘리기 위한 비-제한적인 예시적인 가스 플로우들은 약 100 sccm 내지 약 10,000 sccm에서 가변할 수도 있다. 산소 가스 플로우들에 대한 예시적인 지속 기간들은 약 0.1 초 내지 약 30 초를 포함한다.
NH3 가스를 흘리기 위한 비-제한적인 예시적인 가스 플로우들은 약 100 sccm 내지 약 10,000 sccm에서 가변할 수도 있다. NH3 가스 플로우들에 대한 예시적인 지속 기간들은 약 0.1 초 내지 약 30 초를 포함한다.
질소 가스를 흘리기 위한 비-제한적인 예시적인 가스 플로우들은 약 100 sccm 내지 약 10,000 sccm에서 가변할 수도 있다. 질소 가스 플로우들에 대한 예시적인 지속 기간들은 약 0.1 초 내지 약 30 초를 포함한다.
동작 (305) 에서, 컨포멀한 핵생성 층이 ALD에 의해 기판 상에 형성된다. 다양한 실시 예들에서, 컨포멀한 핵생성 층은 동작 (301) 에서 제공된 기판의 옥사이드 표면 상에 증착된다. 일부 실시 예들에서, 동작 (303a) 및 동작 (305) 은 동일한 챔버에서, 또는 별개의 챔버들의 동일한 툴에서, 또는 진공을 파괴하지 않고 수행된다. 일부 실시 예들에서, 동작 (303a) 후, 노출된 붕소 또는 불소 원자들이 표면 상에 있을 수도 있고 그리고 이들 원자들이 산화될 수도 있고 이에 따라 동작 (305) 전에 디바이스 내로 산소를 혼입할 수도 있기 때문에 유리할 수도 있는, 에어 브레이크 (air break) 는 동작 (303a) 후에 동작 (305) 전에 발생하고, 이는 혼입된 비-몰리브덴 컴포넌트 원소들이 옥사이드 손실 또는 확산 가능성을 감소시키는 것을 도울 수 있기 때문에 전체 막 스택의 감소된 저항률을 인에이블할 (enable) 수 있고 그리고/또는 데이터 손실을 감소시킬 수 있다.
동작 (305) 동안, 프로세스 조건들은 컨포멀한 핵생성 층의 조성을 수정하도록 조절될 수도 있다. 예를 들어, 프로세스 조건들은 컨포멀한 핵생성 층의 비-몰리브덴 컴포넌트 원소 함량, 예컨대 산소, 염소, 및/또는 질소 함량을 증가시키도록 조정될 수도 있다. 일부 실시 예들에서, 동작들 (303a 또는 307) 에서 선택 가능한 소킹 처리들이 수행된다면 그리고/또는 동작 (309) 의 프로세스 조건들이 조절된다면, 동작 (305) 의 프로세스 조건들은 조절되지 않을 수도 있다는 것이 이해될 것이다. 유사하게, 동작들 (303a 및 307) 의 소킹 처리들 중 적어도 하나를 수행하는 단계뿐만 아니라 동작 (309) 의 프로세스 조건들을 조절하는 단계 또는 본 명세서의 임의의 조합 동안 동작 (305) 의 프로세스 조건들을 조절하는 것이 또한 가능하다.
ALD 프로세스에서, 기판은 기판이 먼저 적합한 금속-함유 전구체의 펄스에 노출되고, 이어서 전구체가 선택 가능하게 퍼징되고, 이어서 기판이 환원제의 펄스에 노출되고, 이어서 환원제가 선택 가능하게 퍼징되도록 사이클들로 노출될 수도 있고, 이러한 사이클들은 목표된 두께의 핵생성 층이 기판 상에 형성될 때까지 반복될 수도 있다. 전구체 및 환원제의 순서는 시퀀스가 환원제 도즈에 의해 개시되고 금속 함유 전구체 도즈가 이어질 수도 있도록 뒤바뀔 수도 있다는 것이 인식될 것이다. 퍼징은 아르곤과 같은 불활성 가스를 흘림으로써 수행될 수도 있다. 일부 실시 예들에서, 불활성 가스들은 또한 이로 제한되는 것은 아니지만, 소킹 가스들, 전구체 가스들, 및 반응 물질 가스들을 포함하는 하나 이상의 가스들을 기판에 전달하기 위해 캐리어 가스로서 사용될 수도 있다.
ALD는 순차적인 자기-제한 (self-limiting) 반응들을 사용하여 재료의 박층들을 증착하는 기법이다. 통상적으로, ALD 사이클은 기판 표면에 적어도 하나의 반응 물질을 전달하고 흡착시키는 동작, 및 이어서 부분적인 막 층을 형성하도록 흡착된 반응 물질을 하나 이상의 반응 물질과 반응시키는 동작을 포함한다. 일 예로서, MoOxNy 증착 사이클은 다음의 동작들: (i) Mo-함유 전구체의 전달/흡착, (ii) 챔버로부터 Mo 전구체의 퍼징, (iii) 질소-함유 반응 물질 또는 질소-함유 가스의 전달, 및 (iv) 챔버로부터 질소-함유 반응 물질의 퍼징을 포함할 수도 있다.
CVD (chemical vapor deposition) 기법과 달리, ALD 프로세스들은 층 단위 (layer-by-layer) 기준으로 막들을 증착하도록 표면-매개 증착 반응들을 사용한다. ALD 프로세스의 일 예에서, 표면 활성 사이트들의 집단을 포함하는 기판 표면은 기판을 하우징하는 챔버에 제공된 도즈로 Mo-함유 전구체와 같은 제 1 전구체의 가스상 (gas phase) 분포에 노출된다. 제 1 전구체의 물리 흡착된 (physisorb) 분자들 및/또는 화학 흡착된 (chemisorb) 종을 포함하는, 이 제 1 전구체의 분자들은 기판 표면 상으로 흡착된다. 본 명세서에 기술된 바와 같이 화합물이 기판 표면 상에 흡착될 때, 흡착된 층은 화합물뿐만 아니라 화합물의 유도체들을 포함할 수도 있다는 것이 이해되어야 한다. 예를 들어, Mo-함유 전구체의 흡착된 층은 Mo-함유 전구체뿐만 아니라 Mo-함유 전구체의 유도체들도 포함할 수도 있다. 제 1 전구체 도즈 후, 대부분 또는 흡착된 종만이 남도록 가스상으로 남아 있는 제 1 전구체의 대부분 또는 전부를 제거하도록 이어서 챔버가 배기된다 (evacuate). 일부 구현 예들에서, 챔버는 완전히 배기되지 않을 수도 있다. 예를 들어, 챔버는 가스상의 제 1 전구체의 분압이 반응을 완화시키기에 충분히 낮도록 배기될 수도 있다. 제 2 반응 물질, 예컨대 질소-함유 반응 물질은 이들 분자들 중 일부가 표면 상에 흡착된 제 1 전구체와 반응하도록 챔버로 도입된다. 일부 프로세스들에서, 제 2 반응 물질은 흡착된 제 1 전구체와 즉시 반응한다. 이어서 챔버는 결합되지 않은 (unbound) 제 2 반응 물질 분자들을 제거하기 위해 다시 배기될 수도 있다. 상기 기술된 바와 같이, 일부 실시 예들에서 챔버는 완전히 배기되지 않을 수도 있다. 막 두께를 구축하기 위해 부가적인 ALD 사이클들이 사용될 수도 있다.
특정한 실시 예들에서, ALD 제 1 전구체 도즈는 기판 표면을 부분적으로 포화시킨다. 일부 실시 예들에서, ALD 사이클의 도즈 페이즈 (phase) 는 표면을 고르게 포화시키기 위해 전구체가 기판과 콘택트하기 전에 종료된다. 통상적으로, 전구체 플로우는 이 지점에서 턴 오프되거나 방향 전환되고, 퍼지 가스만이 흐른다. 이 아포화 레짐 (sub-saturation regime) 에서 동작함으로써, ALD 프로세스는 사이클 시간을 감소시키고 쓰루풋을 증가시킨다. 그러나, 전구체 흡착이 포화 제한되지 않기 때문에, 흡착된 전구체 농도는 기판 표면에 걸쳐 약간 가변할 수도 있다. 아포화 레짐에서 동작하는 ALD 프로세스들의 예들은 2013년 10월 23일 출원된, 명칭이 "SUB-SATURATED ATOMIC LAYER DEPOSITION AND CONFORMAL FILM DEPOSITION"인 미국 특허 출원 번호 제 14/061,587 호 (현재 미국 특허 번호 제 9,355,839 호) 에 제공되고, 이는 전체가 참조로서 본 명세서에 인용된다. ALD가 일 예로서 본 명세서에 기술되지만, 본 명세서에서 ALD에 의해 증착된 막들은 또한 CVD 또는 다른 기법들에 의해 증착될 수도 있다는 것이 이해될 것이다.
핵생성 층 증착을 위한 기판 온도는 예를 들어, 250 ℃ 내지 약 600 ℃ 또는 300 ℃ 내지 600 ℃ 또는 250 ℃ 내지 약 550 ℃의 범위일 수도 있다. 일부 실시 예들에서, 더 낮은 온도들이 사용될 수도 있다. 이러한 온도들은 500 ℃ 미만, 550 ℃ 미만, 450 ℃ 미만, 400 ℃ 미만, 또는 350 ℃ 미만일 수도 있다. 개선된 단차 커버리지를 위해 저온들이 사용될 수도 있다. 이에 더하여, 저온들은 핵생성 층 내의 불순물들의 양을 증가시킬 수도 있고, 비정질 특징을 증가시킬 수도 있고, 이는 결국 후속하여 증착된 전도체의 입자 사이즈를 증가시킬 수도 있다. 다양한 실시 예들에서, 저온들에서 핵생성 층을 증착하는 것이 유리할 수도 있다. 챔버 압력은 약 5 Torr 내지 약 90 Torr, 또는 약 5 Torr 내지 약 50 Torr, 또는 약 20 Torr 내지 약 40 Torr, 또는 약 30 Torr일 수도 있다.
핵생성 층이 증착되는 표면은 특정한 애플리케이션에 종속된다. 일부 실시 예들에서, 핵생성 층은 (예를 들어, 실리콘 옥사이드, 알루미늄 옥사이드, 실리콘 나이트라이드, 등) 유전체 표면 직상에 증착된다. 일부 실시 예들에서, 핵생성 층은 배리어 층 상에 증착된다. 일부 실시 예들에서, 핵생성 층은 표면 상에 임의의 다른 금속을 증착하기 전에 증착된다. 일부 실시 예들에서, 핵생성 층은 처리된 유전체 상에 증착된다. 일부 실시 예들에서, 핵생성 층은 텅스텐 핵생성 층 상에 증착된다. 일부 실시 예들에서, 핵생성 층은 처리되지 않은 유전체 상에 증착된다. 일부 실시 예들에서, 핵생성 층은 처리된 배리어 층 상에 증착된다. 일부 실시 예들에서, 핵생성 층은 처리되지 않은 배리어 층 상에 증착된다. 일부 실시 예들에서, 핵생성 층은 TiN 또는 다른 표면 직상에 증착된다. 일부 실시 예들에서, 후속하는 원소 금속 증착은 임의의 표면 상에서 수행될 수도 있다.
다양한 실시 예들에서, 동작 (305) 의 ALD 프로세스는 순차적으로 교번하는 펄스들 또는 도즈들로 산소 함유 Mo 전구체 및 환원제를 흘리는 것을 수반한다. 일부 실시 예들에서, 환원제는 암모니아 (NH3) 이거나 하이드라진 (N2H4) 과 같은 다른 질소-함유 가스 또는 질소-함유 환원제이다. 유전체들 상의 암모니아 화학 흡착은 핵생성 층에 대한 수소 (H2) 의 화학 흡착보다 더 유리하다. 일부 실시 예들에서, 환원제 및 전구체는 환원제 해리 없이 반응하도록 선택된다. 암모니아는 해리 없이 금속 옥시클로라이드들 및 금속 클로라이드들과 반응한다. 이는 예를 들어, 환원제로서 수소를 사용하는 금속 옥시클로라이드들로부터의 ALD와 대조적이다; 수소는 흡착된 원자 수소를 형성하도록 표면 상에서 해리되고, 이는 유전체 표면 상의 금속의 최초 핵생성 동안 매우 낮은 농도들의 반응성 종 및 낮은 표면 커버리지를 발생시킨다. NH3 및 금속 옥시클로라이드 전구체 또는 금속 클로라이드 전구체를 사용함으로써, 핵생성 지연은 동일한 금속 전구체들의 수소 환원에 의해 사용된 온도보다 최대 수백 도 더 낮은 증착 온도들에서 감소되거나 제거된다.
일부 실시 예들에서, 환원제는 B2H6 또는 SiH4과 같은 붕소-함유 또는 실리콘-함유 환원제일 수도 있다. 이들 환원제들은 금속 클로라이드 전구체들과 함께 사용될 수도 있다; 그러나, 금속 옥시클로라이드들을 사용하면, B2H6 및 SiH4는 ALD 프로세스 동안 부산물로서 형성된 물과 반응할 것이고 그리고 절연성이고 막 내에 남아 있을 고체 B2O3 및 SiO2를 형성하여, 저항률을 상승시킬 것이다. NH3의 사용은 또한 Al2O3를 포함하는 특정한 표면들 상에서 B2H6 및 SiH4 ALD 프로세스들에 비해 더 큰 접착을 갖는다.
금속 옥시클로라이드 전구체 및 금속 클로라이드 전구체의 예들은 몰리브덴 펜타클로라이드 (MoCl5), 몰리브덴 디클로라이드 다이옥사이드 (MoO2Cl2) 및 몰리브덴 옥시테트라클로라이드 (MoOCl4) 와 같은 몰리브덴 옥시클로라이드들, 텅스텐 펜타클로라이드 (WCl5), 텅스텐 헥사클로라이드 (WCl6), 텅스텐 테트라클로라이드 (WCl4), 텅스텐 디클로라이드 (WCl2), 및 텅스텐 옥시테트라클로라이드 (WOCl4) 와 같은 텅스텐 옥시클로라이드들 (WOxCly) 을 포함한다.
금속 클로라이드 및 금속 옥시클로라이드는 불소 혼입이 우려되는 실시 예들에서 유용할 수도 있다. 그러나, 일부 실시 예들에서, 질소 트리플루오라이드 (NF3) 와 같은 불소-함유 전구체들이 사용될 수도 있다. 이들은 WF6, 몰리브덴 헥사플루오라이드 (MoF6), 및 몰리브덴 펜타플루오라이드 (MoF5) 와 같은 금속 플루오라이드들을 포함한다.
발생되는 핵생성 층은 일반적으로 순수 원소 막이 아니라 금속 나이트라이드 또는 금속 옥시나이트라이드 막이다. 일부 실시 예들에서, 특히 증착이 저온들에서 수행된다면, 증착으로부터 잔류 염소 또는 불소가 있을 수도 있다. 일부 실시 예들에서, 단지 미량의 잔류 염소 또는 불소가 있다. 일부 실시 예들에서, 핵생성 층은 비정질 층이다. 막 내의 불순물들 (예를 들어, 산소, NH3, 염소 또는 다른 할로겐) 은 비정질 마이크로구조체의 성장을 용이하게 한다. 일부 실시 예들에서, 증착될 때 핵생성 층은 비정질 금속 옥시나이트라이드 층 또는 비정질 금속 나이트라이드 층이다. 비정질 특징은 후속하여 증착된 전도체에서 큰 입자 성장을 템플릿한다. 옥사이드 표면에 대한 나이트라이드 또는 옥시나이트라이드의 표면 에너지는 옥사이드 표면 상의 금속의 표면 에너지보다 훨씬 더 유리하고, 유전체 상에 연속적이고 평활한 막의 형성을 용이하게 한다. 이는 박형의 연속적인 층들의 형성을 허용한다. 핵생성 층의 예시적인 두께들은 증착될 때 5 Å 내지 30 Å의 범위이다. 온도에 따라, 이는 예를 들어 약 5 내지 50 ALD 사이클들일 수도 있다.
동작 (305) 동안, 증착 프로세스 조건들은 막의 비-몰리브덴 컴포넌트 원소들 또는 "불순물들"의 양을 증가시키거나 조절하도록 선택 가능하게 조절된다. 일 기법은 증착 프로세스 동안 노출 시간들 및/또는 노출 플로우들을 가변시키는 것이다. 예를 들어, ALD 방법에서, 기판은 먼저 적합한 금속-함유 전구체의 펄스에 노출되고, 이어서 환원제의 펄스에 노출된다. 다양한 실시 예들에서, 환원제의 플로우는 발생되는 금속 옥시나이트라이드 막에 금속-함유 전구체로부터 더 많은 불순물들을 유지하도록 개질된다. 환원제의 예시적인 플로우 레이트들은 약 100 sccm 내지 약 40,000 sccm을 포함한다. 환원제 펄스들 동안 플로우 레이트들이 사용된다.
일부 실시 예들에서, 전구체에 대한 노출 및 환원제에 대한 노출 중 적어도 하나 동안, 하나 이상의 첨가제 가스들이 흐른다. 첨가제 가스들은 산소-함유 가스들, 질소-함유 가스들, 또는 이들의 조합들을 포함할 수도 있다. 예시적인 산소-함유 가스들은 산소를 포함한다. 예시적인 질소-함유 가스들은 질소 및 NH3를 포함한다. 첨가제 가스들은 연속적으로 흐를 수도 있고, Mo 전구체만으로 흐를 수도 있고, 환원제만으로 흐를 수도 있고, 퍼지 가스로만 흐를 수도 있고, 또는 전구체 도즈들, 환원제 도즈들, 또는 퍼지 가스 동작들과 동기화되지 않고 주기적으로 흐를 수도 있다.
단독으로 또는 상기 기법과 조합하여 수행될 수도 있는 또 다른 기법은 프로세스 조건들을 변화시키는 것이다. 예를 들어, 온도 또는 압력은 금속 옥시나이트라이드 층에 산소, 질소, 및 다른 컴포넌트 원소들을 유지하도록 조절될 수도 있다. 다양한 실시 예들에서, 동작 (305) 은 막에 불순물들을 유지하기 위해 최대 약 650 ℃ 또는 약 350 ℃ 미만, 또는 약 200 ℃ 내지 약 550 ℃ 또는 약 250 ℃ 내지 약 350 ℃의 온도에서 수행될 수도 있다. 일부 실시 예들에서, 챔버 압력은 약 5 내지 약 90일 수 있다.
핵생성 층에 불순물 함량을 유지하기 위한 또 다른 기법은 막의 모폴로지를 변화시키는 것이다. 비정질 막들은 막으로부터 불순물들의 확산을 감소시킬 가능성이 더 크고 따라서 유전체로부터의 산소 또는 다른 원소들이 유전체로부터 확산될 가능성을 감소시키고, 이에 따라 트랩핑된 (trap) 전하가 유전체를 벗어나고 데이터 손실을 유발할 가능성들을 감소시킨다. 모폴로지는 막 증착 및 벌크 몰리브덴 증착 동안 기판의 온도를 감소시킴으로써 조절될 수도 있다.
특정한 개시된 실시 예들이 동작 (305) 의 ALD의 처음 몇몇 사이클들을 조절하는 것을 수반할 수도 있지만, 일부 실시 예들에서, 동작 (305) 의 ALD의 대부분 또는 모든 사이클들이 조절될 수도 있다. 조절된 ALD 사이클들의 임의의 조합은, 쓰루풋을 개선하기 위해, 조절된 사이클들과 비-조절된 사이클들 사이를 교번하는 것, 예컨대 저온 ALD의 몇몇 사이클들에 이어서 고온 ALD의 몇몇 사이클들을 수행하는 것 및 이를 순차적으로 반복하는 것을 포함하여 수행될 수도 있다.
핵생성 층을 증착하기 위해 사용된 가스들에 대한 노출 시간들은 플로우 레이트들에 따라 가변할 수도 있다. 노출 시간들의 비-제한적인 예들은 가스 각각에 대해 약 0.1 초 내지 약 10 초, 또는 약 0.1 초 내지 약 20 초 범위이다. 처리들의 교번하는 펄스들에 대해, 펄스 각각은 상기 노출 시간들 중 임의의 시간을 가질 수도 있다.
NH3 및 수소 가스 노출에 대한 노출 시간들은 특정한 애플리케이션에 종속되고 그리고 광범위할 수 있다. 일부 실시 예들에서, 수소 가스 노출은 적어도 약 30 초 이상일 수도 있다. 노출 시간들의 비-제한적인 예들은 약 0.1 초 내지 약 60 초, 약 0.1 초 내지 약 50 초, 및 적어도 약 30 초를 포함한다. 예를 들어, 일부 실시 예들에서, NH3 및 수소는 적어도 약 30 초 동안, 또는 약 0.1 초 내지 약 50 초 동안 기판으로 동시에 흐를 수도 있다.
산소-함유 Mo 전구체 (예컨대 MoOxCly 화학식을 갖는 전구체) 가스 노출을 위한 노출 시간들은 약 0.1 초 내지 약 10 초일 수도 있다. 예를 들어, 일부 실시 예들에서, 산소-함유 Mo 전구체는 약 0.1 초 내지 약 10 초 동안 기판으로 흐를 수도 있다.
교번하는 펄스들이 수행되는 경우, 퍼징 동작은 교번하는 펄스들 사이에서 수행될 수도 있다. 퍼징은 약 0.1 초 내지 약 5 초와 같은 특정한 지속 기간 동안 아르곤 가스 또는 다른 불활성 가스를 흘리는 것을 수반할 수도 있다.
동작 (305) 에서 교번하는 펄스들이 수행되는 일 실시 예의 일 예에서, 펄스들의 일 사이클은: (1) 0.1 초 내지 10 초 동안 NH3 및 수소 도즈, (2) 0.1 초 내지 5 초 동안 아르곤 가스를 사용하는 퍼징, (3) 0.1 초 내지 10 초 MoOxCly 도즈, 및 (4) 0.1 초 내지 5 초 동안 아르곤 가스를 사용하는 퍼징을 수반할 수도 있다.
도 3b를 다시 참조하면, 동작 (307) 에서, 소킹 처리가 선택 가능하게 수행된다. 일부 실시 예들에서, 이 소킹은 동작 (305) 의 프로세스 조건들을 조절하지 않고 또는 동작 (305) 의 프로세스 조건들을 조절하여 동작 (303) 에 더하여 또는 동작 (303) 대신 수행된다. 다양한 실시 예들에서, 수행된 소킹 처리는 동작 (303a) 에 대해 상기 기술된 기법들 중 임의의 하나 이상을 사용할 수 있다.
동작 (307) 은 동작 (305) 후에 별개의 동작으로서 수행될 수도 있거나 일부 핵생성 층이 증착된 후와 같이 동작 (305) 동안 수행될 수도 있고, 이에 따라 동작 (307) 및 동작 (305) 는 시간적으로 교번하는 동작들로 수행될 수도 있다. 일부 실시 예들에서, 동작 (307) 은 도 3b에서 수행된 다른 동작들 전반에 걸쳐 주기적으로 수행된다. 동작 (307) 은 동작 (309) 에서 일부 주 전도체 층 재료를 증착한 후, 또는 동작 (309) 에서 임의의 주 전도체 층 재료가 증착되기 전에 수행될 수도 있다.
동작 (309) 에서, 주 전도체 층이 형성된다. 다양한 실시 예들에서, 주 전도체 층은 프로세스 조건들을 조절하는 동안 ALD에 의해 형성된다. 다양한 실시 예들에서, 증착은 핵생성 층 위에 (over), 핵생성 층 상에 또는 핵생성 층 직상에 수행된다. 주 전도체 층은 벌크 층 또는 금속 층으로 지칭될 수도 있다. 다양한 실시 예들에서, 주 전도체 층은 Mo 층이다. 다양한 실시 예들에서, 주 전도체 층은 원소 Mo 층이다. 다양한 실시 예들에서, 주 전도체 층의 불순물들의 양은 약 1 % 미만이다.
주 전도체 층은 산소-함유 금속 전구체 (예컨대 금속 옥시할라이드) 및 환원제의 교번하는 펄스들을 사용하여 ALD에 의해 증착된다. 일부 실시 예들에서, 주 전도체 층은 환원제로서 산소 함유 Mo 전구체 및 수소를 사용하여 ALD에 의해 증착된다. 일부 실시 예들에서, 퍼지 가스가 사용될 수도 있고; 동작 (305) 에 대해 상기 기술된 임의의 퍼지 가스가 동작 (309) 에서 사용될 수도 있다.
동작 (305) 에 대해 상기 기술된 임의의 Mo 전구체가 동작 (309) 에서 사용될 수도 있다. Mo 전구체는 몰리브덴 옥시할라이드일 수도 있다. 일부 실시 예들에서, 몰리브덴 옥시할라이드는 몰리브덴 옥시클로라이드 (MoOxCly) 이다. 동작 (305) 및 동작 (309) 에서 채용될 수도 있는 금속 옥시클로라이드 및 금속 클로라이드 전구체들의 예들은 몰리브덴 펜타클로라이드 (MoCl5) 및 몰리브덴 헥사클로라이드 (MoCl6), 몰리브덴 디클로라이드 다이옥사이드 (MoO2Cl2) 및 몰리브덴 옥시테트라클로라이드 (MoOCl4) 와 같은 몰리브덴 옥시클로라이드들, 텅스텐 펜타클로라이드 (WCl5), 텅스텐 헥사클로라이드 (WCl6), 텅스텐 테트라클로라이드 (WCl4), 텅스텐 디클로라이드 (WCl2), 및 텅스텐 옥시테트라클로라이드 (WOCl4) 와 같은 텅스텐 옥시클로라이드들 (WOxCly) 을 포함한다.
특정한 이론에 얽매이지 않고, 주 전도체 층의 증착 동안, 핵생성 층으로부터 불순물들이 제거된다고 여겨진다. 핵생성 층이 산소-함유 금속 전구체 및 염소-함유 금속 전구체 및 질소-함유 반응 물질을 사용하여 증착되는 실시 예들에서, 존재하는 불순물들은 산소, 염소, 및 질소를 포함할 수도 있다. 더 높은 온도가 증착을 위해 사용될 때와 같이, 주 전도체 층의 증착 동안 먼저 핵생성 층으로부터 염소가 제거될 가능성이 높다고 여겨진다. 염소에 이어, 산소 및/또는 질소가 그 후 제거될 수도 있다. 특정한 이론에 얽매이지 않고, 주 전도체 층의 증착 레이트를 감소시키는 것은 핵생성 층을 떠나는 불순물들의 양을 감소시킨다고 여겨진다.
동작 (309) 의 프로세스 조건들을 조절하는 것은 동작 (309) 동안 핵생성 층이 금속으로 완전히 또는 대부분 변환되지 않도록 동작 (307) 에서 증착된 핵생성 층의 불순물 함량을 유지하는 것을 돕는다. 동작 (309) 는 동작 (309) 후, 발생되는 핵생성 층 조성이 약 100:1 내지 약 1:4의 금속 대 불순물 원자비, 또는 약 100:1 내지 약 1:4의 Mo 대 산소 원자비이도록 하는 프로세스 조건들 하에서 수행된다.
프로세스 조건들을 조절하는 단계는 다양한 방식들로 수행될 수 있다. 일 방식은 증착의 처음 몇몇 사이클들이 전도체 층의 조성을 변화시키지 않도록 2 개 이상의 상이한 세트들의 ALD 사이클들을 수행하고, 이에 따라 동작 (307) 에서 핵생성 층의 증착 동안 혼입된 불순물들을 유지하는 것이다. 처음 몇몇 사이클들은 동작 (309) 에서 ALD의 첫 번째 내지 열 번째 사이클들 또는 첫 번째 내지 스무 번째 사이클들을 지칭할 수도 있다. 처음 몇몇 사이클들은 주 전도체 층 재료를 사용하여 피처의 30 %까지 증착하기에 충분한 사이클들을 지칭할 수도 있다. 이들 처음 몇몇 사이클들 동안 조건들은 불순물들이 핵생성 층을 벗어나는 (escape) 것을 방지하도록 조절될 수도 있다. 제 1 세트의 ALD 사이클들에서, 증착은 핵생성 층으로부터 제거된 불순물들의 양을 감소시키기 위해 더 낮은 온도에서 수행된다. 예시적인 온도들은 500 ℃ 미만, 550 ℃ 미만, 450 ℃ 미만, 400 ℃ 미만, 또는 350 ℃ 미만일 수도 있다. 더 낮은 온도는 핵생성 층 내 불순물 또는 비-몰리브덴 컴포넌트 원소들의 양이 유지되거나 약 1 % 초과 또는 적어도 0 % 초과이도록 원소 막으로 변환된 핵생성 층의 양을 감소시키도록 사용될 수도 있다. 이 동작에서, 환원제는 수소 (H2) 일 수도 있다. 온도는 일부 실시 예들에서 동작 (305) 에서 사용된 것과 동일한 온도일 수도 있다. 금속 전구체는 또한 동작 (305) 에서 채용된 것과 동일하거나 상이한 전구체일 수도 있다. 일부 실시 예들에서, 동일한 전구체가 사용되고, 환원제만 변경된다. 다양한 실시 예들에 따라, 동작 (309) 은 인식 가능한 양의 주 전도체의 막을 증착할 수도 있고 또는 증착하지 않을 수도 있다. 처음 몇몇 사이클들 후에, ALD 사이클들의 후속 세트들은 더 높은 온도들, 예컨대 약 350 ℃ 내지 약 700 ℃에서 수행될 수도 있다. 더 높은 온도들은 일부 Mo 전구체들이 더 높은 온도들에서 더 효율적이고 더 반응성일 수도 있기 때문에, 주 전도체 층, 특히 Mo의 상승된 증착 레이트를 허용한다.
또 다른 기법은 주 전도체 층의 증착 레이트를 변화시키도록 전구체 플로우 및 환원제 플로우를 조절하는 것이다. 전구체 플로우들은 환원제 플로우가 흡착된 전구체를 변환하기에 불충분하도록 환원제 플로우들에 비해 증가될 수도 있고; 환원제 플로우들은 흡착된 전구체들을 금속으로 변환시키기에 불충분한 환원제가 있도록 전구체 플로우들을 일정하게 유지하는 동안 감소될 수도 있다. 전구체 플로우들이 증가될 때, 예시적인 전구체 대 환원제 플로우 비들은 약 1:1000 내지 약 1:10,000일 수도 있다. 수소 환원제 플로우가 감소될 때, 예시적인 환원제 대 전구체 플로우 비들은 약 1:10 내지 약 1:1000일 수도 있다.
일부 실시 예들에서, 유전체 층 또는 배리어 층 근방의 금속-함유 막의 불순물 레벨이 더 높은 한편 주 전도체 층에 증착된 금속의 마지막 몇몇 사이클들에 더 적은 불순물들이 있거나 불순물들이 없도록 유전체 층으로부터의 거리가 증가함에 따라 금속-함유 막에서 불순물 레벨이 감소하는 특정한 개시된 실시 예들을 사용하여 경사 막 (gradient film) 이 형성될 수도 있다. 경사 막들은 증착 동안 다양한 상이한 세트들의 ALD 사이클들을 사용함으로써 증착될 수도 있다.
일부 실시 예들에서, ALD 사이클들은 변화하는 온도 및/또는 전구체 플로우들 및/또는 반응 물질 플로우들 대신에 또는 이에 더하여 챔버 압력을 변화시킴으로써 조절된다. 예를 들어, 챔버 압력은 핵생성 층의 원소 금속으로의 변환을 감소시키기 위해 주 전도체 층의 증착 레이트를 늦추도록 감소될 수도 있다. 예시적인 감소된 압력들은 핵생성 층의 증착을 위해 사용된 압력보다 약 5 내지 약 20 Torr 또는 적어도 약 30 내지 80 % 더 낮을 수도 있다.
주 전도체 층을 증착하기 위해 사용된 가스들에 대한 노출 시간들은 플로우 레이트들에 따라 가변할 수도 있다. 노출 시간들의 비-제한적인 예들은 가스 각각에 대해 약 0.1 초 내지 약 10 초, 또는 약 0.1 초 내지 약 20 초 범위이다. 처리들의 교번하는 펄스들에 대해, 펄스 각각은 상기 노출 시간들 중 임의의 시간을 가질 수도 있다.
수소 가스 노출을 위한 노출 시간들은 약 0.1 초 내지 약 10 초일 수도 있다. 예를 들어, 일부 실시 예들에서, 수소는 약 0.1 초 내지 약 10 초 동안 기판으로 흐를 수도 있다.
산소-함유 Mo 전구체 (예컨대 MoOxCly 화학식을 갖는 전구체) 가스 노출을 위한 노출 시간들은 약 0.1 초 내지 약 2 초일 수도 있다. 예를 들어, 일부 실시 예들에서, 산소-함유 Mo 전구체는 약 0.1 초 내지 약 2 초 동안 기판으로 흐를 수도 있다.
교번하는 펄스들이 수행되는 경우, 퍼징 동작은 교번하는 펄스들 사이에서 수행될 수도 있다. 퍼징은 약 0.1 초 내지 약 5 초와 같은 특정한 지속 기간 동안 아르곤 가스 또는 또 다른 불활성 가스를 흘리는 것을 수반할 수도 있다.
동작 (309) 에서 교번하는 펄스들이 수행되는 일 실시 예의 일 예에서, 펄스들의 일 사이클은: (1) 0.1 초 내지 10 초 동안 수소 도즈, (2) 0.1 초 내지 5 초 동안 아르곤 가스를 사용하는 퍼징, (3) 0.1 초 내지 2 초 MoOxCly 도즈, 및 (4) 0.1 초 내지 5 초 동안 아르곤 가스를 사용하는 퍼징을 수반할 수도 있다.
도 3c는 특정한 개시된 실시 예들을 수행하기 위한 예시적인 프로세스 흐름도를 도시한다. 동작 (301) 은 도 3a 및 도 3b의 동작 (301) 과 동일할 수도 있다. 동작 (303b) 는 반도체 기판의 옥사이드 표면 상에서 처리를 수행하는 것을 수반한다. 이 옥사이드 표면은 일부 실시 예들에서 알루미늄 옥사이드 또는 실리콘 옥사이드일 수도 있고 그리고 동작 (303a) 에 대해 상기 기술된 임의의 기법들을 사용하여 수행될 수도 있다. 일부 실시 예들에서, 동작 (303b) 는 옥사이드 표면을 B2H6 및 WF6에 노출하는 것을 수반한다. 동작 (305) 에서, Mo의 컨포멀한 핵생성 층이 처리된 옥사이드 표면 상에 ALD에 의해 증착된다. 이는 도 3b에 대해 기술된 임의의 기법들을 사용하여 수행될 수도 있다. 일부 실시 예들에서, 동작 (305) 는 B2H6 및 WF6 처리된 옥사이드 표면 상에 ALD에 의해 MoOxNy 막을 증착하는 것을 수반한다. 동작 (319a) 에서, 부분적인 주 전도체 층이 ALD에 의해 저온에서 증착된다. 더 낮은 온도는 동작 (305) 에서 증착된 MoOxNy가 Mo 금속으로 완전히 변환되는 것을 방지한다. 저온은 약 500 ℃ 미만일 수도 있다. 동작 (319b) 에서, 주 전도체 층의 나머지는 ALD에 의해 고온에서 증착된다. 일부 실시 예들에서, 동작 (319b) 는 540 ℃ 초과의 온도들에서 산소-함유 Mo 전구체 및 수소를 사용함으로써 증착된 벌크 Mo 금속을 수반한다. 본 명세서에서 수행된 노출들에 대해, 붕소-함유 가스 도즈들은 약 1E16 원자들/㎠ 내지 약 1E21 원자들/㎠의 붕소의 노출을 발생시킬 수도 있다. 본 명세서에서 수행된 노출들에 대해, 불소-함유 가스 도즈들은 약 1E16 원자들/㎠ 내지 약 1E21 원자들/㎠의 불소의 노출을 발생시킬 수도 있다. 본 명세서에서 수행된 노출들에 대해, W 및/또는 Mo-함유 가스 도즈들은 각각 약 1E16 원자들/㎠ 내지 약 1E21 원자들/㎠의 W 또는 Mo의 노출을 발생시킬 수도 있다.
도 4는 (도 1a의 기판 (102) 과 동일할 수도 있는) 기판 (102) 을 갖는 스택 (400) 의 확대된 개략적 예시의 일 예를 도시하고, 여기서 유전체-금속 계면 (112) 은 금속 층 (110) 증착 후 유전체 층 (104) 과 Mo 핵생성 층 (108) 사이이다. 유전체-금속 계면 (112) 은 도 1a의 유전체-금속 계면 (112) 와 동일하고; 유전체 층 (104) 은 도 1a 및 도 1b의 유전체 층 (104) 과 동일할 수도 있고; Mo 핵생성 층 (108) 은 도 1a 및 도 1b의 핵생성 층 (108) 과 동일할 수도 있고; 금속 층 (110) 은 도 1a 및 도 1b의 금속 층 (110) 과 동일할 수도 있다. 이 예에서, Mo 핵생성 층 (108) 은 Mo 핵생성 층 (108) 의 영역 (450) 이 증가된 양의 "불순물들" 또는 붕소, 텅스텐, 불소, 산소, 질소, 또는 염소와 같은 비-몰리브덴 컴포넌트 원소들을 갖는 한편, Mo 핵생성 층 (108) 의 나머지는 금속 층 (110) 이 증착될 때 Mo 금속으로 변환되도록 경사 (gradient) 를 포함한다. 특정한 이론에 얽매이지 않고, 이 영역 (450) 을 갖는 것은 Mo-기반 게이트 구조체들에서 양자 터널링 또는 데이터 손실을 유발할 수 있는 결함들이 유전체 층 (104) 내에 형성되는 것을 방지한다고 여겨진다.
장치
임의의 적합한 챔버가 개시된 실시 예들을 구현하는 데 사용될 수도 있다. 예시적인 증착 장치들은 다양한 시스템들, 예를 들어, California, Fremont 소재의 Lam Research Corp. 로부터 입수 가능한 ALTUS® 및 ALTUS® Max, 또는 임의의 다양한 다른 상업적으로 입수 가능한 프로세싱 시스템들을 포함한다. 프로세스는 복수의 증착 스테이션들 상에서 동시에 수행될 수 있다.
일부 실시 예들에서, 핵생성 층 증착 프로세스가 단일 증착 챔버 내에 위치된 2 개, 5 개, 또는 훨씬 더 많은 증착 스테이션들 중 하나인 제 1 스테이션에서 수행된다. 예를 들어, 핵생성 층 증착은 제 1 스테이션에서 이어서 제 2 스테이션에서 금속 전구체의 더 낮은 온도 수소 환원, 이어서 제 3 스테이션에서 금속 전구체의 고온 수소 환원이 수행될 수도 있다. 스테이션 각각은 독립적인 온도 제어를 가질 수도 있다. 일부 실시 예들에서, 프로세스를 위한 다양한 단계들이 증착 챔버의 2 개의 상이한 스테이션들에서 수행된다. 예를 들어, 기판은 기판 표면에 국부화된 분위기를 생성하는 개별 가스 공급 시스템을 사용하여 제 1 스테이션에서 NH3에 노출될 수도 있고, 이어서 기판은 핵생성 층을 증착하기 위해 금속 할라이드, 금속 옥시할라이드, 금속 클로라이드, 금속 플루오라이드, 또는 금속 옥시클로라이드 전구체에 노출되도록 제 2 스테이션으로 이송될 수도 있다. 일부 실시 예들에서, 기판은 NH3의 두 번째 노출을 위해 제 1 스테이션으로 다시 이송될 수도 있다. 이어서 기판은 금속 전구체에 대한 노출을 위해 제 2 스테이션으로 이송될 수도 있다. 기판은 또한 제 1 금속 클로라이드 또는 금속 옥시클로라이드 증착에 이어 또 다른 스테이션에서 NH3에 노출될 수도 있다. 이는 핵생성 층 증착을 완료하고 동일하거나 상이한 스테이션들에서 벌크 층 증착을 진행하기 위해 필요에 따라 반복될 수도 있다.
또 다른 예에서, 핵생성 층 증착 프로세스는 제 1 스테이션에서 수행되고 그리고 더 높은 온도 처리는 NH3가 처리를 위해 제 2 스테이션으로 배관되도록 (plumb) 제 2 스테이션에서 수행된다. 제 3 스테이션은 벌크를 증착하는 데 사용될 수도 있다.
일부 실시 예들에서, 복수의 챔버들이 본 명세서에 기술된 방법들을 수행하도록 사용된다. 예를 들어, 핵생성 층의 증착은 제 1 챔버에서 수행될 수도 있고, 벌크 금속 층의 증착은 제 2 챔버에서 수행될 수도 있다. 2 개의 챔버들은 기판이 노출 없이 이들 사이에서 이송될 수 있도록 공통 진공 챔버에 연결될 수도 있다. 대안적인 실시 예들에서, 챔버들은 진공 하에 연결되지 않고 기판은 이송 동안 공기에 노출된다. 임의의 산화는 상기 기술된 바와 같이 후속 프로세싱에서 환원될 수 있다.
도 5는 프로세스 챔버 바디 (502) 를 갖는 ALD 프로세스 스테이션 (500) 의 일 실시 예의 개략적인 예시를 도시한다. 복수의 프로세스 스테이션들 (500) 이 툴 분위기에 포함될 수도 있다. 예를 들어, 도 6은 시스템 (600) 의 일 실시 예를 도시한다. 일부 실시 예들에서, 이하에 상세히 논의된 것을 포함하는, 프로세스 스테이션 (500) 의 하나 이상의 하드웨어 파라미터들이 하나 이상의 컴퓨터 제어기들 (550) 에 의해 프로그램적으로 조정될 수도 있다는 것이 인식될 것이다.
프로세스 스테이션 (500) 은 분배 샤워헤드 (506) 로 프로세스 가스들을 전달하기 위해 반응 물질 전달 시스템 (501) 과 유체로 연통한다 (fluidly communicate). 반응 물질 전달 시스템 (501) 은 분배 샤워헤드 (506) 로의 전달을 위해 산소-함유 Mo 전구체 가스, 또는 NH3 및/또는 질소 가스와 같은 프로세스 가스들을 블렌딩 및/또는 컨디셔닝하기 위한 혼합 용기 (504) 를 포함한다. 하나 이상의 혼합 용기 유입구 밸브들 (520) 은 프로세스 가스들의 혼합 용기 (504) 로의 도입을 제어할 수도 있다. 가스들은 프로세스 챔버 바디 (502) 로 전달되고 그리고 프로세싱 영역 (507) 에서 반응할 수도 있다.
일 예로서, 도 5의 실시 예는 반응 물질들을 혼합 용기 (504) 로부터 프로세스 챔버 바디 (502) 로 지향시키는 밸브 (505) 와 함께 혼합 용기 (504) 로 공급될 액체 반응 물질을 기화하기 위한 기화 지점 (503) 을 포함한다. 일부 실시 예들에서, 기화 지점 (503) 은 가열된 기화기일 수도 있다. 이러한 기화기들로부터 생성된 포화된 반응 물질 증기가 다운스트림 (downstream) 전달 파이프에서 응결될 수도 있다. 응결된 반응 물질로의 양립할 수 없는 가스들의 노출은 작은 입자들을 생성할 수도 있다. 이들 작은 입자들은 파이프를 막고, 밸브 동작을 방해하고, 기판들을 오염시키는, 등을할 수도 있다. 이들 문제들을 해결하기 위한 일부 접근법들은 잔류 반응 물질을 제거하기 위해 전달 파이프를 퍼징 및/또는 배기하는 것을 수반한다. 그러나, 전달 파이프를 퍼징하는 것은 프로세스 스테이션 사이클 시간을 증가시킬 수도 있어, 프로세스 스테이션 쓰루풋을 열화시킨다. 따라서, 일부 실시 예들에서, 기화 지점 (503) 의 다운스트림 전달 파이핑은 열 추적될 수도 있다. 일부 예들에서, 혼합 용기 (504) 는 또한 열 추적될 수도 있다. 일 비-제한적인 예에서, 기화 지점 (503) 의 다운스트림 파이프는 혼합 용기 (504) 에서 대략 100 ℃로부터 대략 150 ℃로 연장하는, 상승하는 온도 프로파일을 갖는다.
일부 실시 예들에서, 액체 전구체 또는 액체 반응 물질은 액체 주입기에서 기화될 수도 있다. 예를 들어, 액체 주입기는 액체 반응 물질의 펄스들을 혼합 용기의 업스트림 (upstream) 의 캐리어 가스 스트림 (stream) 내로 주입할 수도 있다. 일 실시 예에서, 액체 주입기는 더 높은 압력으로부터 더 낮은 압력으로 액체를 플래싱 (flash) 함으로써 반응 물질을 기화할 수도 있다. 또 다른 예에서, 액체 주입기는 가열된 전달 파이프 내에서 나중에 기화되는 분산된 마이크로액적들 (microdroplets) 로 액체를 원자화할 (atomize) 수도 있다. 더 작은 액적들이 더 큰 액적들보다 더 빠르게 기화될 수도 있고, 액체 주입과 완전한 기화 사이의 지연을 감소시킨다. 더 빠른 기화는 기화 지점 (503) 으로부터 다운스트림 파이핑의 길이를 감소시킬 수도 있다. 일 시나리오에서, 액체 주입기는 혼합 용기 (504) 에 직접 장착될 수도 있다. 또 다른 시나리오에서, 액체 주입기는 분배 샤워헤드 (506) 에 직접 장착될 수도 있다.
일부 실시 예들에서, 기화 지점 (503) 의 업스트림의 액체 플로우 제어기 (liquid flow controller; LFC) 가 기화 및 프로세스 스테이션 (500) 으로의 전달을 위해 액체의 대량 플로우를 제어하기 위해 제공될 수도 있다. 예를 들어, LFC는 LFC의 다운스트림에 위치된 열적 질량 유량계 (mass flow meter; MFM) 를 포함할 수도 있다. 이어서 LFC의 플런저 밸브가 MFM과 전기적으로 통신하는 PID (Proportional-Integral-Derivative) 제어기에 의해 제공된 피드백 제어 신호들에 응답하여 조정될 수도 있다. 그러나, 이는 피드백 제어를 사용하여 액체 플로우를 안정화시키기 위해 1 초 이상 소요될 수도 있다. 이는 액체 반응 물질을 도즈하기 (dose) 위한 시간을 연장할 수도 있다. 따라서, 일부 실시 예들에서, LFC는 피드백 제어 모드와 직접 제어 모드 사이에서 동적으로 스위칭될 수도 있다. 일부 실시 예들에서, 이는 LFC 및 PID 제어기의 센스 튜브를 디스에이블함으로써 (disable) 수행될 수도 있다.
분배 샤워헤드 (506) 는 기판 (512) 을 향해 프로세스 가스들을 분배한다. 도 5에 도시된 실시 예에서, 기판 (512) 은 분배 샤워헤드 (506) 밑에 위치되고 페데스탈 (508) 상에 놓인 것으로 도시된다. 분배 샤워헤드 (506) 는 임의의 적합한 형상을 가질 수도 있고 그리고 기판 (512) 에 프로세스 가스들을 분배하기 위한 임의의 적합한 수 및 배열의 포트들을 가질 수도 있다.
일부 실시 예들에서, 페데스탈 (508) 은 기판 (512) 과 분배 샤워헤드 (506) 사이의 볼륨에 기판 (512) 을 노출시키도록 상승되거나 하강될 수도 있다. 일부 실시 예들에서, 페데스탈 높이는 적합한 컴퓨터 제어기 (550) 에 의해 프로그램적으로 조정될 수도 있다는 것이 인식될 것이다.
일부 실시 예들에서, 페데스탈 (508) 은 히터 (510) 를 통해 온도 제어될 수도 있다. 일부 실시 예들에서, 페데스탈 (508) 은 전체 증착 프로세스에서 챔버 및 챔버의 기능에 따라, 50 ℃ 내지 700 ℃의 온도로 가열될 수도 있다. 예를 들어, 일부 챔버들은 핵생성 층의 증착 또는 주 전도체 층의 초기 ALD 사이클들을 위해, 250 ℃ 내지 약 400 ℃의 온도로 설정된 페데스탈 (508) 을 가질 수도 있고; 일부 챔버들은 특히 MoO2Cl2와 같은 산소-함유 Mo 전구체들을 사용하여 증착되는 주 전도체 층들의 일부 증착을 위해 약 350 ℃ 내지 약 700 ℃ 또는 약 400 ℃ 초과의 온도로 설정된 페데스탈 (508) 을 가질 수도 있다.
또한, 일부 실시 예들에서, 프로세스 스테이션 (500) 에 대한 압력 제어가 버터플라이 밸브 (518) 에 의해 제공될 수도 있다. 도 5의 실시 예에 도시된 바와 같이, 버터플라이 밸브 (518) 는 다운스트림 진공 펌프 (미도시) 에 의해 제공된 진공을 쓰로틀한다 (throttle). 그러나, 일부 실시 예들에서, 프로세스 스테이션 (500) 의 압력 제어는 또한 프로세스 스테이션 (500) 으로 도입된 하나 이상의 가스들의 플로우 레이트를 가변시킴으로써 조정될 수도 있다.
일부 실시 예들에서, 분배 샤워헤드 (506) 의 포지션은 기판 (512) 과 분배 샤워헤드 (506) 사이의 볼륨을 가변시키도록 페데스탈 (508) 에 대해 조정될 수도 있다. 또한, 페데스탈 (508) 및/또는 분배 샤워헤드 (506) 의 수직 포지션은 본 개시의 범위 내의 임의의 적합한 메커니즘에 의해 가변될 수도 있다는 것이 인식될 것이다. 일부 실시 예들에서, 페데스탈 (508) 은 기판 (512) 의 배향 (orientation) 을 회전시키기 위한 회전 축을 포함할 수도 있다. 일부 실시 예들에서, 이들 예시적인 조정들 중 하나 이상이 하나 이상의 적합한 컴퓨터 제어기들 (550) 에 의해 프로그램적으로 수행될 수도 있다는 것이 인식될 것이다.
일부 실시 예들에서, 제어기 (550) 에 대한 인스트럭션들은 IOC (input/output control) 시퀀싱 인스트럭션들을 통해 제공될 수도 있다. 일 예에서, 프로세스 페이즈에 대한 조건들을 설정하기 위한 인스트럭션들은 프로세스 레시피의 대응하는 레시피 페이즈에 포함될 수도 있다. 일부 경우들에서, 프로세스 레시피 페이즈들은 프로세스 페이즈에 대한 모든 인스트럭션들이 그 프로세스 페이즈와 동시에 실행되도록 순차적으로 배열될 수도 있다. 일부 실시 예들에서, 하나 이상의 반응기 파라미터들을 설정하기 위한 인스트럭션들은 레시피 페이즈에 포함될 수도 있다. 예를 들어, 제 1 레시피 페이즈는 불활성 및/또는 NH3 및/또는 질소 반응 물질 가스의 플로우 레이트를 설정하기 위한 인스트럭션들, 캐리어 가스 (예컨대 아르곤) 의 플로우 레이트를 설정하기 위한 인스트럭션들, 플라즈마를 점화하기 위한 인스트럭션들, 및 제 1 레시피 페이즈에 대한 시간 지연 인스트럭션들을 포함할 수도 있다. 제 2 레시피 페이즈는 불활성 및/또는 금속 할라이드 또는 금속 옥시할라이드 전구체 가스의 플로우 레이트를 설정하기 위한 인스트럭션들, 캐리어 가스 (예컨대 아르곤) 의 플로우 레이트를 설정하기 위한 인스트럭션들, 및 제 2 레시피 페이즈에 대한 시간 지연 인스트럭션들을 포함할 수도 있다. 제 3, 후속하는 레시피 페이즈는 불활성 가스 및/또는 반응 물질 가스의 플로우 레이트를 조절하거나 중단하기 위한 인스트럭션들, 및 캐리어 가스 또는 퍼지 가스의 플로우 레이트를 설정하기 위한 인스트럭션들, 및 제 3 레시피 페이즈에 대한 시간 지연 인스트럭션들을 포함할 수도 있다. 제 4 레시피 페이즈는 환원제 가스의 플로우 레이트를 조절하기 위한 인스트럭션들, 캐리어 또는 퍼지 가스의 플로우 레이트를 조절하기 위한 인스트럭션들, 및 제 4 레시피 페이즈를 위한 시간 지연 인스트럭션들을 포함할 수도 있다. 제 5, 후속하는 레시피 페이즈는 불활성 가스 및/또는 제 2 금속 할라이드 또는 금속 옥시할라이드 반응 물질 가스의 플로우 레이트를 조절하거나 중단하기 위한 인스트럭션들, 및 캐리어 가스 또는 퍼지 가스의 플로우 레이트를 조절하기 위한 인스트럭션들, 및 제 5 레시피 페이즈에 대한 시간 지연 인스트럭션들을 포함할 수도 있다. 이들 레시피 페이즈들은 개시된 실시 예들의 범위 내에서 임의의 적합한 방식으로 더 세분되고 그리고/또는 반복될 수도 있다는 것이 인식될 것이다. 일부 실시 예들에서, 제어기 (550) 는 도 8의 시스템 제어기 (829) 에 대해 이하에 기술된 임의의 피처들을 포함할 수도 있다.
도 6은 특정한 개시된 실시 예들을 수행하기 적합한 장치의 단일 스테이션에 대한 가스 소스 및 라인 구성의 라인 다이어그램 (line diagram) 의 개략적인 예시를 도시한다. 일 스테이션만이 도시되지만, 장치는 기판들을 프로세싱하기 위해 이들 동일하거나, 유사하거나, 상이한 모듈들 중 하나 이상을 포함할 수도 있다는 것이 이해될 것이다. 단일 스테이션 챔버는 챔버 당 한번에 일 기판만을 프로세싱하도록 단일 스테이션과 함께 사용될 수도 있다. 일부 실시 예들에서, 단일 스테이션은 2 개 이상의 스테이션들, 예컨대 4 개의 스테이션들을 갖는 멀티-스테이션 챔버 내 일 스테이션이다. 단일 스테이션이 도시되지만, 일부 실시 예들에서, 가스 소스들이 특정한 가스들을 일부 스테이션들로 유입되게 하지만 다른 스테이션들로는 유입되지 않도록 구성된 복수의 스테이션들이 사용될 수도 있다.
도 6에 도시된 스테이션에서, 프로세스 챔버 (602) 는 샤워헤드 (606), 및 기판 (612) 을 홀딩하기 위한 이동식 페데스탈 (608) 을 포함한다. 프로세스 챔버 (602) 에 대한 압력 제어는 프로세스를 진공 하에 유지하도록 버터플라이 밸브 (618) 에 의해 제공될 수도 있다. 샤워헤드 (606) 와 이동식 페데스탈 (608) 사이에 생성된 마이크로볼륨 (607) 은 샤워헤드 (606) 와 이동식 페데스탈 (608) 사이의 공간을 좁히고 넓히도록 이동식 페데스탈 (608) 을 수직으로 이동시킴으로써 조절될 수도 있고, 이에 따라 마이크로볼륨 (607) 내 다양한 가스들의 분압을 변화시킨다.
샤워헤드 (606) 는 라인들에서 가스들이 서로 상호 작용할 가능성을 감소시키도록 수행될 수도 있는, 가스 플로우들이 상이한 라인들을 사용하여 샤워헤드로 들어갈 수도 있고, 그리고 또한 상이한 라인들을 사용하여 샤워헤드를 나갈 수도 있다는 것을 도시하는, 2 개의 화살표들로 도시된 바와 같은 듀얼 플레넘 샤워헤드일 수도 있다. 즉, 일부 실시 예들에서, 동일한 라인에 도입되도록 선택된 가스들은 이들이 서로 상호 작용하지 않도록 선택될 수도 있고 따라서 기판 (612) 상의 결함들의 형성에 기여할 수도 있는, 라인들 내 과잉 부산물들 또는 증착된 재료들의 형성을 발생시킬 수 없다. 일부 실시 예들에서, 샤워헤드 (606) 는 가열될 수도 있다. 일부 실시 예들에서, 샤워헤드 (606) 는 모두 가열되고 듀얼-플레넘 샤워헤드이다.
샤워헤드 (606) 의 업스트림은 샤워헤드로의 전달 전에 가스들을 수집하도록 사용될 수도 있는 매니폴드 (686) 이다. 일부 실시 예들에서, 매니폴드는 상이한 라인들로부터의 가스들이 서로 상호 작용하지 않지만 샤워헤드 (606) 에 별도로 전달될 수 있고 또한 업스트림 밸브 및 다운스트림 밸브 (미도시) 로 제어될 수 있도록 구성된다. 샤워헤드 (606) 는 단일 플레넘 또는 멀티-플레넘 샤워헤드일 수도 있다. 멀티-플레넘 샤워헤드는 듀얼 플레넘 샤워헤드 또는 트리플 플레넘 샤워헤드일 수도 있다. 듀얼 플레넘 샤워헤드는 일 플레넘 내에서 W 함유 가스 및/또는 불소-함유 가스, Mo-함유 전구체, 및 수소의 플로우를 허용할 수도 있는 한편, 제 2 플레넘은 붕소-함유 가스들, 수소, NH3, 및 아르곤을 흘리도록 사용된다. 이러한 실시 예는 Mo 또는 W-함유 전구체와 NH3 및 붕소-함유 가스 사이의 업스트림 반응들을 방지하도록 사용될 수도 있다. 매니폴드 (686) 는 고압 가스를 마이크로볼륨 (607) 에 전달하기 위해 밸브가 해제되기 (release) 전에 고압 볼륨들의 가스들이 축적되게 하도록 샤워헤드 (606) 에 가까운 거리에 있게 구성될 수도 있다.
도 6에 도시된 구성은 이 예의 목적들을 위해 특정한 가스와 연관된 것으로 지칭될 복수의 가스 소스들을 포함한다. 그러나, 가스 소스들은 특정한 개시된 실시 예들을 수행하기 위한 전구체 또는 반응 물질로서 사용된 임의의 적합한 가스를 포함할 수도 있고, 그리고 구성은 일 라인으로 가스들을 전달하는 것이 다른 라인으로 전달된 가스 소스들과 혼합되는 경우보다 서로 상호 작용하거나 막의 증착을 야기할 가능성이 더 적고, 그 반대의 경우도 마찬가지이도록 선택될 수도 있다는 것이 이해될 것이다. 또한 3 개의 가스 소스들이 단일 라인으로 전달되는 것으로 도시되고, 2 개의 분리된 라인들만이 도시되지만, 하나 이상의 가스 소스들이 단일 라인으로 전달될 수도 있고, 그리고 2 개 이상의 분리된 라인들이 샤워헤드 (606) 로 도입되기 전에 매니폴드 (686) 로 모두 전달될 수도 있다는 것이 이해될 것이다.
아르곤 가스 소스 (621a), WF6 가스 소스 (631a), 및 Mo-함유 전구체 가스 소스 (641a) 는 상단 플레이트 (684) 로부터 분리된 가스 박스 (682) 내에 포함된다. 아르곤 가스 소스 (621a), WF6 가스 소스 (631a), 및 Mo-함유 전구체 가스 소스 (641a) 는 대응하는 라인을 통해 매니폴드 (686) 에 전달될 라인 (690) 으로 각각 전달된다.
디보란 (B2H6) 가스 소스 (651a), NH3 가스 소스 (661a), 및 아르곤 가스 소스 (671a) 가 또한 가스 박스 (682) 내에 제공된다. B2H6 가스 소스 (651a), NH3 가스 소스 (661a), 및 아르곤 가스 소스 (671a) 는 대응하는 라인을 통해 매니폴드 (686) 에 전달될 라인 (695) 으로 각각 전달된다.
아르곤 가스 소스 (621a) 로부터의 아르곤의 플로우는 아르곤이 샤워헤드 (606) 로의 전달 전에 아르곤 충전 볼륨 (621b) 에 축적될 수 있도록 아르곤 충전 볼륨 (621b) 으로의 전달 전에 아르곤 제어 밸브 (620a) 에 의해 제어된다; 즉, 가스 박스 (682) 가 프로세스 챔버 (602) 로부터 물리적으로 더 멀리 떨어져 있을 수도 있지만, 샤워헤드 (606) 에 더 가까운 부근에 아르곤 충전 볼륨 (621b) 을 갖고 아르곤 충전 볼륨 (621b) 으로부터 아르곤의 플로우를 제어하기 위해 아르곤 유출구 밸브 (620b) 를 갖는 것은 샤워헤드 (606) 에 전달될 수 있고, 따라서 기판 (612) 에 전달될 수 있는 아르곤의 상승된 압력 및 더 나은 제어를 허용한다.
유사하게, WF6 가스 소스 (631a) 로부터의 WF6의 플로우는 텅스텐이 샤워헤드 (606) 로의 전달 전에 WF6 충전 볼륨 (631b) 에 축적될 수 있도록 WF6 충전 볼륨 (631b) 으로의 전달 전에 WF6 제어 밸브 (630a) 에 의해 제어된다; 즉, 가스 박스 (682) 가 프로세스 챔버 (602) 로부터 물리적으로 더 멀리 떨어져 있을 수도 있지만, 샤워헤드 (606) 에 더 가까운 부근에 WF6 충전 볼륨 (631b) 을 갖고 WF6 충전 볼륨 (631b) 으로부터 WF6의 플로우를 제어하기 위해 WF6 유출구 밸브 (630b) 를 갖는 것은 샤워헤드 (606) 에 전달될 수 있고, 따라서 기판 (612) 에 전달될 수 있는 WF6의 상승된 압력 및 더 나은 제어를 허용한다.
Mo-함유 전구체 가스 소스 (641a) 로부터의 Mo-함유 전구체의 플로우는 전달 전에 Mo-함유 전구체 제어 밸브 (640a) 에 의해 제어된다. 일부 실시 예들에서, Mo-함유 전구체는 프로세스 챔버 (602) 로의 전달 전에 생성된 플라즈마를 통과할 수 있다. 일부 실시 예들에서, Mo-함유 전구체는 리모트 소스로부터 전달된다. 몰리브덴-함유 전구체 유출구 밸브 (640b) 는 Mo-함유 전구체가 샤워헤드 (606) 로 도입된 Mo-함유 전구체의 압력을 상승시키고 플로우를 조절하도록 샤워헤드를 향하여 라인을 통해 흐른 후 플로우를 제어하도록 사용될 수도 있다.
아르곤 가스, WF6, 및 Mo-함유 전구체의 플로우는 라인 (690) 을 통해 매니폴드 (686) 로 축적되고, 여기서 라인들에 텅스텐을 형성할 수 있는, 예를 들어, WF6와 B2H6 사이의 상호 작용들을 방지하도록 라인 (695) 을 통해 전달되는 가스들로부터 분리된 샤워헤드 (606) 로 전달된다.
B2H6 가스 소스 (651a) 로부터의 B2H6의 플로우는 B2H6가 샤워헤드 (606) 로의 전달 전에 B2H6 충전 볼륨 (651b) 에 축적될 수 있도록 B2H6의 B2H6 충전 볼륨 (651b) 로의 전달 전에 B2H6 제어 밸브 (650a) 에 의해 제어된다. 가스 박스 (682) 가 매니폴드 (686) 보다 프로세스 챔버 (602) 로부터 물리적으로 더 멀리 떨어져 있을 수도 있지만, 샤워헤드 (606) 에 더 가까운 부근에 B2H6 충전 볼륨 (651b) 을 갖고 B2H6 충전 볼륨 (651b) 으로부터 B2H6의 플로우를 제어하기 위해 B2H6 유출구 밸브 (650b) 를 갖는 것은 매니폴드 (686) 를 통해 샤워헤드 (606) 로 전달될 수 있는 B2H6의 상승된 압력 및 더 나은 제어를 허용한다.
NH3 가스 소스 (661a) 로부터의 NH3의 플로우는 샤워헤드 (606) 로의 전달 전에 NH3가 NH3 충전 볼륨 (661b) 에 축적될 수 있도록 NH3의 NH3 충전 볼륨 (661b) 으로의 전달 전에 NH3 제어 밸브 (660a) 에 의해 제어된다. 가스 박스 (682) 가 매니폴드 (686) 보다 프로세스 챔버 (602) 로부터 물리적으로 더 멀리 떨어져 있을 수도 있지만, 샤워헤드 (606) 에 더 가까운 부근에 NH3 충전 볼륨 (661b) 을 갖고 NH3 충전 볼륨 (661b) 으로부터 NH3의 플로우를 제어하기 위해 NH3 유출구 밸브 (660b) 를 갖는 것은 매니폴드 (686) 를 통해 샤워헤드 (606) 로 전달될 수 있는 NH3의 상승된 압력 및 더 나은 제어를 허용한다.
아르곤 가스 소스 (671a) 로부터의 아르곤의 플로우는 아르곤이 샤워헤드 (606) 로의 전달 전에 아르곤 충전 볼륨 (671b) 에 축적될 수 있도록 아르곤 충전 볼륨 (671b) 으로의 전달 전에 아르곤 제어 밸브 (670a) 에 의해 제어된다; 즉, 가스 박스 (682) 가 프로세스 챔버 (602) 로부터 물리적으로 더 멀리 떨어져 있을 수도 있지만, 샤워헤드 (606) 에 더 가까운 부근에 아르곤 충전 볼륨 (671b) 을 갖고 아르곤 충전 볼륨 (671b) 으로부터 아르곤의 플로우를 제어하기 위해 아르곤 유출구 밸브 (670b) 를 갖는 것은 샤워헤드 (606) 에 전달될 수 있고, 따라서 기판 (612) 에 전달될 수 있는 아르곤의 상승된 압력 및 더 나은 제어를 허용한다.
가스가 축적되고 충전 볼륨들에서 가압되고 유출구 밸브들을 통해 제어될 수 있으면, 매니폴드 (686) 로의 가스들의 플로우는 증가될 수 있고, 이에 따라 마이크로볼륨 (607) 에 도입된 가스들의 볼륨 및 압력을 상승시킨다. 이러한 실시 예들은 3D NAND 구조체들을 형성하기 위해 기판들을 프로세싱하는 데 특히 적합할 수도 있다.
본 명세서에 개시된 장치들은 기판을 진공 하로 유지하기 위해, 약 760 Torr 미만, 또는 약 600 Torr 미만과 같은 대기압 미만의 (subatmospheric) 압력들로 설정될 수도 있다. 일부 가스들의 분압들은 300 ㎜ 웨이퍼에 대해 약 1500 Torr까지 기판에 전달될 수도 있다.
충전 볼륨, 라인 및 매니폴드 구성과 결합된 이동식 페데스탈은 약 1 Torr 미만 내지 약 90 Torr 초과의 분압을 갖는 마이크로볼륨으로의 가스들의 도입을 집합적으로 유발할 수 있다. 예를 들어, 분압은 희석된 플로우를 갖는 3 Torr 챔버에 대해 1 Torr 미만일 수도 있고, 또는 분압은 (캐리어 가스가 없는) 순수 플로우를 갖는 90 Torr 챔버에 대해 90 Torr 초과일 수도 있다.
도 7a는 특정한 개시된 실시 예들을 수행하기 적합한 장치의 단일 스테이션에 대한 가스 소스 및 라인 구성의 라인 다이어그램의 개략적인 예시를 도시한다. 본 명세서의 도면들에서 사용된 "CV"는 충전 볼륨들을 지칭한다. 일 스테이션만이 도시되지만, 장치는 기판들을 프로세싱하기 위해 이들 동일하거나, 유사하거나, 상이한 모듈들 중 하나 이상을 포함할 수도 있다는 것이 이해될 것이다. 단일 스테이션 챔버는 챔버 당 한번에 일 기판만을 프로세싱하도록 단일 스테이션과 함께 사용될 수도 있다. 일부 실시 예들에서, 단일 스테이션은 2 개 이상의 스테이션들, 예컨대 4 개의 스테이션들을 갖는 멀티-스테이션 챔버 내 일 스테이션이다. 단일 스테이션이 도시되지만, 일부 실시 예들에서, 가스 소스들이 특정한 가스들을 일부 스테이션들로 유입되게 하지만 다른 스테이션들로는 유입되지 않도록 구성된 복수의 스테이션들이 사용될 수도 있다.
도 7a에 도시된 스테이션에서, 프로세스 챔버 (702) 는 샤워헤드 (706), 및 기판 (712) 을 홀딩하기 위한 이동식 페데스탈 (708) 을 포함한다. 프로세스 챔버 (702) 에 대한 압력 제어는 프로세스를 진공 하에 유지하도록 버터플라이 밸브 (718) 에 의해 제공될 수도 있다. 샤워헤드 (706) 와 이동식 페데스탈 (708) 사이에 생성된 마이크로볼륨 (707) 은 샤워헤드 (706) 와 이동식 페데스탈 (708) 사이의 공간을 좁히고 넓히도록 이동식 페데스탈 (708) 을 수직으로 이동시킴으로써 조절될 수도 있고, 이에 따라 마이크로볼륨 (707) 내 다양한 가스들의 분압을 변화시킨다.
샤워헤드 (706) 는 라인들에서 가스들이 서로 상호 작용할 가능성을 감소시키도록 수행될 수도 있는, 가스 플로우들이 상이한 라인들을 사용하여 샤워헤드로 들어갈 수도 있고, 그리고 또한 상이한 라인들을 사용하여 샤워헤드를 나갈 수도 있다는 것을 도시하는, 2 개의 화살표들로 도시된 바와 같은 듀얼 플레넘 샤워헤드일 수도 있다. 즉, 일부 실시 예들에서, 동일한 라인에 도입되도록 선택된 가스들은 이들이 서로 상호 작용하지 않도록 선택될 수도 있고 따라서 기판 (712) 상의 결함들의 형성에 기여할 수도 있는, 라인들 내 과잉 부산물들 또는 증착된 재료들의 형성을 발생시킬 수 없다. 일부 실시 예들에서, 샤워헤드 (706) 는 가열될 수도 있다. 일부 실시 예들에서, 샤워헤드 (706) 는 모두 가열되고 듀얼-플레넘 샤워헤드이다.
샤워헤드 (706) 의 업스트림은 샤워헤드로의 전달 전에 가스들을 수집하도록 사용될 수도 있는 매니폴드 (786) 이다. 일부 실시 예들에서, 매니폴드는 상이한 라인들로부터의 가스들이 서로 상호 작용하지 않지만 샤워헤드 (706) 로 별도로 전달될 수 있고 또한 업스트림 밸브 및 다운스트림 밸브 (미도시) 로 제어될 수 있도록 구성된다. 샤워헤드 (706) 는 단일 플레넘 또는 멀티-플레넘 샤워헤드일 수도 있다. 멀티-플레넘 샤워헤드는 듀얼 플레넘 샤워헤드 또는 트리플 플레넘 샤워헤드일 수도 있다. 듀얼 플레넘 샤워헤드는 일 플레넘 내에서 W-함유 가스 및/또는 불소-함유 가스, Mo-함유 전구체, 및 수소의 플로우를 허용할 수도 있는 한편, 제 2 플레넘은 붕소-함유 가스들, 수소, NH3, 및 아르곤을 흘리도록 사용된다. 이러한 실시 예는 Mo 또는 W-함유 전구체와 NH3 및 붕소-함유 가스 사이의 업스트림 반응들을 방지하도록 사용될 수도 있다. 매니폴드 (786) 는 고압 가스를 마이크로볼륨 (707) 에 전달하기 위해 밸브가 해제되기 전에 고압 볼륨들의 가스들이 축적되게 하도록 샤워헤드 (706) 에 가까운 거리에 있게 구성될 수도 있다.
도 7에 도시된 구성은 이 예의 목적들을 위해 특정한 가스와 연관된 것으로 지칭될 복수의 가스 소스들을 포함한다. 그러나, 가스 소스들은 특정한 개시된 실시 예들을 수행하기 위한 전구체 또는 반응 물질로서 사용된 임의의 적합한 가스를 포함할 수도 있고, 그리고 구성은 일 라인으로 가스들을 전달하는 것이 다른 라인으로 전달된 가스 소스들과 혼합되는 경우보다 서로 상호 작용하거나 막의 증착을 야기할 가능성이 더 적고, 그 반대의 경우도 마찬가지이도록 선택될 수도 있다는 것이 이해될 것이다. 3 개의 가스 소스들이 단일 라인으로 전달되는 것으로 도시되고, 그리고 2 개의 분리된 라인들만이 도시되지만, 하나 이상의 가스 소스들은 단일 라인으로 전달될 수도 있고, 그리고 2 개 이상의 분리된 라인들이 모두 샤워헤드 (706) 로 도입되기 전에 매니폴드 (786) 에 전달될 수도 있다는 것이 이해될 것이다.
아르곤 가스 소스들 및 선택 가능하게 수소 가스 소스들은 가스 박스 (782a) 내에 포함된다. 아르곤 가스 소스들 및 선택 가능하게 수소 가스 소스들은 매니폴드 (786) 에 전달될 대응하는 라인을 통해 아르곤 가스 및 선택 가능하게 수소 가스를 각각 전달한다.
몰리브덴 전구체 가스 소스는 가스 박스 (782b) 내에 포함된다. Mo-함유 전구체 가스는 매니폴드 (786) 에 전달될 대응하는 라인을 통해 전달된다.
암모니아 및 아르곤 가스 소스들은 가스 박스들 (782a 및 782b) 로부터 분리된 가스 박스 (782c) 내에 포함된다. 암모니아 및 아르곤은 매니폴드 (786) 에 전달될 대응하는 라인을 통해 이들 가스 소스들로부터 흐른다.
일부 실시 예들에서, 도 7b에 도시된 바와 같이 아르곤 가스 소스들이 사용되는 챔버 퍼지 상단 플레이트가 사용될 수도 있다.
아르곤 가스 또는 선택 가능하게 수소, Mo-함유 전구체, 및 NH3의 플로우는 라인들에서 Mo를 형성할 수 있는, 예를 들어 Mo-함유 전구체와 수소 사이의 상호 작용들을 감소시키도록 분리된다.
가스가 축적되고 충전 볼륨들에서 가압되고 유출구 밸브들을 통해 제어될 수 있으면, 매니폴드 (786) 로의 가스들의 플로우는 증가될 수 있고, 이에 따라 마이크로볼륨 (707) 에 도입된 가스들의 볼륨 및 압력을 상승시킨다. 이러한 실시 예들은 3D NAND 구조체들을 형성하기 위해 기판들을 프로세싱하는 데 특히 적합할 수도 있다.
본 명세서에 개시된 장치들은 기판을 진공 하로 유지하기 위해, 약 760 Torr 미만, 또는 약 600 Torr 미만과 같은 대기압 미만의 압력들로 설정될 수도 있다. 일부 가스들의 분압들은 300 ㎜ 웨이퍼에 대해 약 1500 Torr까지 기판에 전달될 수도 있다.
충전 볼륨, 라인 및 매니폴드 구성과 결합된 이동식 페데스탈은 약 1 Torr 미만 내지 약 90 Torr 초과의 분압을 갖는 마이크로볼륨으로의 가스들의 도입을 집합적으로 유발할 수 있다. 예를 들어, 분압은 희석된 플로우를 갖는 3 Torr 챔버에 대해 1 Torr 미만일 수도 있고, 또는 분압은 (캐리어 가스가 없는) 순수 플로우를 갖는 90 Torr 챔버에 대해 90 Torr 초과일 수도 있다.
도 7c는 특정한 개시된 실시 예들을 수행하기 적합한 장치의 단일 스테이션에 대한 가스 소스 및 라인 구성의 라인 다이어그램의 예시적인 개략적 예시를 도시한다. "Stn2", "Stn3" 및 "Stn4"는 도 5의 프로세스 챔버 바디 (502), 도 6의 프로세스 챔버 (602), 및 도 7a의 프로세스 챔버 (702) 와 같은 스테이션들을 지칭한다. 가스 박스 (792a) 는 아르곤 가스 소스 및 수소 가스 소스를 포함하고 그리고 가스 박스 (792b) 는 상이한 프로세스 조건들 하에서 각각 설정된 상이한 스테이션들에서 상이한 동작들의 수행을 허용하도록 대응하는 스테이션들의 매니폴드들 및 상이한 충전 볼륨들로 각각 전달되는, Mo-함유 가스 소스를 포함한다.
상기 기술된 바와 같은 하드웨어는 MoOxNy와 같은 핵생성 층 및/또는 원소 Mo의 주 전도체 층의 증착 전에 붕소, 불소, 텅스텐-함유 종 및 다른 비-몰리브덴 컴포넌트 원소 소스들로 메모리 디바이스 워드라인 유전체 표면들의 처리를 구현하도록 사용될 수 있다. 이들 예시적인 챔버들 및 시스템들은 ALD 또는 CVD 모드에서 반도체 기판들로 B2H6, 수소, 및 아르곤을 전달하도록 사용될 수 있다. 일부 실시 예들에서, 가스 충전 볼륨(들)은 B2H6, 수소, 아르곤, 질소, 및 이들의 조합들을 갖는 가스 혼합물들의 펄스들을 ALD 모드의 반도체 웨이퍼들에 전달하도록 사용된다. 이는 웨이퍼 표면이 B2H6, 수소, 및 질소에 노출되게 할 수도 있고, 이는 웨이퍼 표면 상에 흡착된 붕소 하이드라이드 (BHx) 를 발생시킬 수 있다. 흡착된 BHx는 기판 내로 직접 확산되거나 더 반응할 수 있다.
다양한 실시 예들에서, 상기 기술된 바와 같은 하드웨어는 ALD 또는 CVD 모드에서 반도체 기판으로 WF6-아르곤 (WF6-Ar) 을 전달하도록 사용될 수 있다. 일부 실시 예들에서, 가스 충전 볼륨(들)은 ALD 모드 (W 및 F 노출) 에서 반도체 웨이퍼들로 WF6-Ar 가스 혼합물들의 펄스들을 전달하도록 사용된다. 텅스텐 헥사플루오라이드 노출은 텅스텐 플루오라이드 (WFx) 가 웨이퍼 표면 상에 흡착되게 할 수 있다. 흡착된 WFx는 기판 내로 직접 확산하거나 더 반응할 수 있다.
다양한 실시 예들에서, 하드웨어는 (WF6-Ar) 의 펄스들과 교번적으로 (B2H6-H2-Ar-N2) 가스 혼합물들의 하나 이상의 ALD 펄스들을 전달하도록 구성된다. 일부 실시 예들에서, 이는 W 금속, 텅스텐 보라이드 (WBx), WFx 서브-플루오라이드들 및 흡착된 F, HF, 및 흡착된 수소의 형성을 발생시킬 수 있는 H2, B2H6, 또는 BHx와의 WF6 반응을 유발한다. B2H6/H2와의 WF6 반응 후, W 금속, WBx, WFx, F 및 HF가 반도체 표면 상에서 이용 가능하고 기판 내로 확산될 수 있다.
상기 주지된 바와 같이, 멀티-스테이션 챔버들이 본 명세서에 기술되었지만, 특정한 개시된 실시 예들은 단일-챔버 장치들에서 구현될 수 있다. 예를 들어, 단일-챔버 장치에서, 가스 전달 하드웨어는 독립적인 WF6-Ar 가스 충전 볼륨들, B2H6/H2/N2/Ar 가스 충전 볼륨들, 및 NH3/H2/Ar 가스 충전 볼륨들을 통해 WF6/Ar 및 B2H6/H2/NH3의 펄스들에 반도체 표면을 노출하여 웨이퍼 위의 샤워헤드 내로 피딩하기 (feed) 위해 사용될 수 있다. 다양한 실시 예들에서, 단일 플레넘 샤워헤드가 사용된다. 다양한 실시 예들에서, 듀얼 플레넘 샤워헤드가 사용된다. 예를 들어, 듀얼 플레넘 샤워헤드는 Mo 또는 W 전구체와 NH3 및 B2H6의 업스트림 반응을 방지하도록 사용될 수도 있는, 일 플레넘에 WF6/Ar + MoOxCly + H2 및 다른 플레넘에 B2H6/H2/NH3/Ar을 포함할 수도 있다. 다양한 실시 예들에서, 가스 충전 볼륨들은 연속적인 트리클 (trickle) 퍼지 스윕핑 (sweeping) 제어 밸브 유출구들과 함께 사용될 수 있다.
다양한 실시 예들에서, WF6/Ar, B2H6/H2/Ar/N2, 및 NH3/H2/Ar 가스 전달 하드웨어는 단일-웨이퍼 또는 멀티-스테이션 증착 챔버에서 사용될 수 있다. 다양한 실시 예들에서, WF6/Ar, B2H6/H2/Ar/N2, 및 NH3/H2/Ar 가스 전달 하드웨어는 멀티-스테이션 증착 챔버의 제 1 증착 스테이션에서 사용될 수 있다.
다양한 개시된 실시 예들이 멀티-챔버 장치들에서 구현될 수도 있다. 예를 들어, 하나 이상의 챔버들은 반도체 기판들 상에 W-F-B 노출을 유발하도록 구성될 수 있다. 하나 이상의 챔버들은 반도체 기판들 상에 핵생성 층, MoOxNy, 또는 MoOxNy 증착을 유발하도록 구성될 수도 있다. 하나 이상의 챔버들이 반도체 기판들 상에 금속성 Mo 증착을 유발하도록 구성될 수도 있다. 하나 이상의 챔버들은 MoOxNy 및 금속성 Mo의 증착을 유발하도록 구성될 수도 있다.
도 8은 본 명세서에 기술된 실시 예들에 따른 증착 프로세스들을 수행하기에 적합한 프로세싱 시스템의 블록도이다. 시스템 (800) 은 이송 모듈 (803) 을 포함한다. 이송 모듈 (803) 은 다양한 반응기 모듈들 사이에서 이동될 때 프로세싱될 기판들의 오염 위험을 최소화하도록 클린 (clean), 가압된 분위기를 제공한다. 이송 모듈 (803) 상에 본 명세서에 기술된 바와 같이 ALD 증착들을 수행할 수 있는 멀티-스테이션 반응기 (809) 가 장착된다. 멀티-스테이션 반응기 (809) 는 이들 동작들을 순차적으로 수행할 수도 있는 복수의 스테이션들 (811, 813, 815, 및 817) 을 포함할 수도 있다. 예를 들어, 멀티-스테이션 반응기 (809) 는 스테이션들 (811 및 813) 이 핵생성 증착을 수행하고, 스테이션들 (813 및 815) 은 벌크 층 증착을 수행하도록 구성될 수 있다. 증착 스테이션 각각은 가열된 웨이퍼 페데스탈 및 샤워헤드, 분산 플레이트 또는 다른 가스 유입구를 포함할 수도 있다.
또한 이송 모듈 (803) 상에 플라즈마 또는 화학적 (비-플라즈마) 사전 세정들을 수행할 수 있는 하나 이상의 단일 또는 멀티-스테이션 모듈들 (807) 이 장착될 수도 있다. 모듈은 또한 다양한 다른 처리들, 예를 들어, 환원제 소킹 (soaking) 을 위해 사용될 수도 있다. 시스템 (800) 은 또한 웨이퍼들이 프로세싱 전후에 저장되는, 하나 이상 (이 경우 2 개) 의 웨이퍼 소스 모듈들 (801) 을 포함한다. 대기 이송 챔버 (819) 의 대기 로봇 (미도시) 이 먼저 소스 모듈들 (801) 로부터 로드록들 (821) 로 웨이퍼들을 제거한다. 이송 모듈 (803) 의 웨이퍼 이송 디바이스 (일반적으로 로봇 암 (arm) 유닛) 가 로드록들 (821) 로부터 이송 모듈 (803) 상에 장착된 모듈들로 그리고 모듈들 사이에서 웨이퍼들을 이동시킨다.
일부 실시 예들에서, 고온 샤워헤드가 채용된다.
이는 듀얼이 아니라 단일 플레넘 샤워헤드들이 사용되게 한다. 샤워헤드 내부의 습식 표면들을 150 ℃ 또는 200 ℃보다 더 높게 유지함으로써, NH3 및 금속 옥시클로라이드 또는 금속 클로라이드 전구체들은 암모늄 클로라이드 (NH4Cl) 응결 없이 단일 플레넘 샤워헤드에서 사용될 수 있다. 대안적으로, NH3가 일 플레넘을 통해 전달되고 금속 클로라이드 또는 옥시클로라이드 전구체들이 다른 플레넘을 통해 전달될 수 있는 듀얼 플레넘 샤워헤드들이 사용될 수도 있다.
상기 주지된 바와 같이, 일부 실시 예들에서, 단일 프로세스 챔버에서 금속 (나이트라이드) 핵생성 및 순수 금속 모두를 증착하는 것은 H2, 금속 (옥시클로라이드), 및 이들의 부산물들 (HCl, OClx, 금속-Clx, …) 과의 고온 반응에 의해 증착될 때 금속 + Ox + NHx + Clx 핵생성 막의 순수 금속으로의 변환을 용이하게 한다. 이는 상기 기술된 바와 같이 제 1 증착 스테이션에서 저온 및 후속하는 증착 스테이션에서 저온 또는 더 높은 온도의 멀티-스테이션 반응기에서 수행될 수도 있다. 일부 실시 예들에서, 멀티-스테이션 증착 반응기의 개별 증착 스테이션들은 페데스탈-업 (pedestal-up) 프로세스 포지션에서 2 개의 어셈블리들이 웨이퍼 위에 작은 프로세스 볼륨을 생성하고 주 챔버로부터 프로세스 볼륨을 격리하기 위해 매우 좁은 갭을 생성하도록, 샤워헤드들 및 페데스탈들을 성형함으로써 서로로부터 격리될 수 있다. 프로세스 볼륨의 에지에서의 좁은 갭은 가스가 주 챔버로부터 프로세스 볼륨 내로 확산하는 것을 어렵게 하도록 불활성 가스 퍼지 배리어로 증대될 (augment) 수 있다. 프로세스 볼륨의 에지에서의 좁은 갭은 또한 프로세스 가스가 주 챔버로 들어가는 것을 방지하도록 국부적인 펌핑 플레넘을 포함할 수 있다. 이는 주 챔버에서 증착 또는 입자 생성의 위험을 제거할 수 있다. 좁은 에지 갭은 그 자체로 주 챔버로부터의 가스가 웨이퍼 프로세싱 볼륨 내로 다시 확산될 위험을 제거할 수 있어서,
스테이션 간 크로스토크 (cross talk) 가 없다.
상기 주지된 바와 같이, 특정한 실시 예들에서, 시스템은 2 개의 상이한 증착 챔버들을 포함한다. 예를 들어, 도 8을 참조하면, 2 개의 증착 챔버들이 이송 모듈 (803) 상에 장착될 수도 있다. 이러한 실시 예들에서, 증착 챔버 각각은 단일 또는 멀티-스테이션 챔버일 수도 있다. 또한, 공통 진공 하에 있지 않은 2 개의 증착 챔버들이 채용될 수도 있다.
특정한 실시 예들에서, 시스템 제어기 (829) 가 증착 동안 프로세스 조건들을 제어하도록 채용된다. 제어기는 통상적으로 하나 이상의 메모리 디바이스들 및 하나 이상의 프로세서들을 포함할 것이다. 프로세서는 CPU (central processing unit) 또는 컴퓨터, 아날로그 입력/출력 연결부들 및/또는 디지털 입력/출력 연결부들, 스텝퍼 (stepper) 모터 제어기 보드들, 등을 포함할 수도 있다.
제어기는 증착 장치의 모든 액티비티들을 제어할 수도 있다. 시스템 제어기는 타이밍, 가스들의 혼합물, 챔버 압력, 챔버 온도, 웨이퍼 온도, 사용된다면 무선 주파수 (radio frequency; RF) 전력 레벨들, 웨이퍼 척 또는 페데스탈 위치, 및 특정한 프로세스의 다른 파라미터들을 제어하기 위한 인스트럭션들의 세트들을 포함하는, 시스템 제어 소프트웨어를 실행한다. 일부 실시 예들에서 제어기와 연관된 메모리 디바이스들 상에 저장된 다른 컴퓨터 프로그램들이 채용될 수도 있다.
통상적으로, 제어기와 연관된 사용자 인터페이스가 있을 것이다. 사용자 인터페이스는 디스플레이 스크린, 장치 및/또는 프로세스 조건들의 그래픽 소프트웨어 디스플레이들, 및 포인팅 디바이스들, 키보드들, 터치 스크린들, 마이크로폰들, 등과 같은 사용자 입력 디바이스들을 포함할 수도 있다.
시스템 제어 로직은 임의의 적합한 방식으로 구성될 수도 있다. 일반적으로, 로직은 하드웨어 및/또는 소프트웨어로 구성되거나 설계될 수 있다. 구동 회로를 제어하기 위한 인스트럭션들은 하드 코딩될 (hard code) 수도 있고 또는 소프트웨어로서 제공될 수도 있다. 인스트럭션들은 "프로그래밍"에 의해 제공될 수도 있다. 이러한 프로그래밍은 디지털 신호 프로세서들 (Digital Signal Processors; DSPs), ASICs (Application-Specific Integrated Circuits), 및 하드웨어로서 구현된 특정한 알고리즘들을 갖는 다른 디바이스들에 하드코딩된 로직을 포함하는 임의의 형태의 로직을 포함하는 것으로 이해된다. 프로그래밍은 또한 범용 프로세서 상에서 실행될 수도 있는 소프트웨어 또는 펌웨어 인스트럭션들을 포함하는 것으로 이해된다. 시스템 제어 소프트웨어는 임의의 적합한 컴퓨터 판독가능 프로그램 언어로 코딩될 수도 있다. 대안적으로, 제어 로직은 제어기에 하드코딩될 수도 있다. ASIC들 (Applications Specific Integrated Circuits), PLD들 (Programmable Logic Devices) (예를 들어, field-programmable gate arrays, 또는 FPGA들) 등이 이들 목적들을 위해 사용될 수도 있다. 이하의 논의에서, "소프트웨어" 또는 "코드"가 사용될 때마다, 기능적으로 비슷한 하드코딩된 로직이 그 자리에 사용될 수도 있다.
프로세스 시퀀스에서 증착 및 다른 프로세스들을 제어하기 위한 컴퓨터 프로그램 코드는 임의의 종래의 컴퓨터 판독 가능 프로그래밍 언어: 예를 들어, 어셈블리 언어, C, C++, Pascal, Fortran 또는 다른 언어들로 작성될 수 있다. 컴파일링된 객체 코드 또는 스크립트가 프로그램에서 식별된 태스크들을 수행하도록 프로세서에 의해 실행된다.
제어기 파라미터들은 예를 들어, 프로세스 가스 조성 및 플로우 레이트들, 온도, 압력, 냉각 가스 압력, 및 챔버 벽 온도와 같은, 프로세스 조건들에 관련된다. 이들 파라미터들은 사용자 인터페이스를 활용하여 입력될 수도 있고, 레시피의 형태로 사용자에게 제공된다.
프로세스를 모니터링하기 위한 신호들은 시스템 제어기의 아날로그 입력 연결부 및/또는 디지털 입력 연결부에 의해 제공될 수도 있다. 프로세스를 제어하기 위한 신호들은 증착 장치의 아날로그 출력 연결부들 및 디지털 출력 연결부들 상에 출력된다.
시스템 소프트웨어는 많은 상이한 방식들로 설계되거나 구성될 수도 있다. 예를 들어, 다양한 챔버 컴포넌트 서브루틴들 또는 제어 객체들이 본 명세서에 기술된 증착 프로세스들을 수행하기 위해 필요한 챔버 컴포넌트들의 동작을 제어하도록 작성될 수도 있다. 이 목적을 위한 프로그램들 또는 프로그램들의 섹션들의 예들은 기판 포지셔닝 코드, 프로세스 가스 제어 코드, 압력 제어 코드, 및 히터 제어 코드를 포함한다.
일부 구현 예들에서, 제어기 (829) 는 상기 기술된 예들의 일부일 수도 있는 시스템의 일부이다. 이러한 시스템들은, 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 프로세싱용 플랫폼 또는 플랫폼들 및/또는 특정 프로세싱 컴포넌트들 (웨이퍼 페데스탈, 가스 플로우 시스템, 등) 을 포함하는, 반도체 프로세싱 장비를 포함할 수 있다. 이들 시스템들은 반도체 웨이퍼 또는 기판의 프로세싱 이전에, 프로세싱 동안에, 그리고 프로세싱 이후에 그들의 동작을 제어하기 위한 전자 장치들과 통합될 수도 있다. 전자장치들은 시스템 또는 시스템들의 다양한 컴포넌트들 또는 하위부분들을 제어할 수도 있는 "제어기"로서 지칭될 수도 있다. 제어기 (829) 는, 시스템의 프로세싱 요건들 및/또는 타입에 따라서, 프로세싱 가스들의 전달, 온도 설정들 (예를 들어, 가열 및/또는 냉각), 압력 설정들, 진공 설정들, 전력 설정들, 일부 시스템들에서 RF 생성기 설정들, RF 매칭 회로 설정들, 주파수 설정들, 플로우 레이트 설정들, 유체 전달 설정들, 위치 및 동작 설정들, 툴 및 다른 이송 툴들 및/또는 특정 시스템과 연결되거나 인터페이싱된 로드 록들 내외로의 웨이퍼 이송들을 포함하는, 본 명세서에 개시된 프로세스들 중 임의의 프로세스들을 제어하도록 프로그래밍될 수도 있다.
일반적으로 말하면, 제어기는 인스트럭션들을 수신하고, 인스트럭션들을 발행하고, 동작을 제어하고, 세정 동작들을 인에이블하고, 엔드포인트 측정들을 인에이블하는, 등을 하는 다양한 집적 회로들, 로직, 메모리 및/또는 소프트웨어를 갖는 전자장치들로서 규정될 수도 있다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, 디지털 신호 프로세서들 (DSPs), ASICs (application specific integrated circuits) 로서 규정되는 칩들 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함할 수도 있다. 프로그램 인스트럭션들은 반도체 웨이퍼 상에서 또는 반도체 웨이퍼에 대한 특정 프로세스를 수행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정들 (또는 프로그램 파일들) 의 형태로 제어기와 통신하는 또는 시스템과 통신하는 인스트럭션들일 수도 있다. 일부 실시 예들에서, 동작 파라미터들은 하나 이상의 층들, 재료들, 금속들, 옥사이드들, 실리콘, 실리콘 다이옥사이드, 표면들, 회로들 및/또는 웨이퍼의 다이들의 제조 동안 하나 이상의 프로세싱 단계들을 달성하도록 프로세스 엔지니어들에 의해 규정된 레시피의 일부일 수도 있다.
제어기 (829) 는, 일부 구현 예들에서, 시스템에 포함되거나, 시스템에 커플링되거나, 이와 달리 시스템에 네트워킹되거나, 또는 이들의 조합으로 될 수 있는 컴퓨터에 커플링되거나 이의 일부일 수도 있다. 예를 들어, 제어기 (829) 는 웨이퍼 프로세싱의 원격 액세스를 인에이블할 수 있는 팹 (fab) 호스트 컴퓨터 시스템의 전부 또는 일부이거나 "클라우드" 내에 있을 수도 있다. 컴퓨터는 제조 동작들의 현재 진행을 모니터링하고, 과거 제조 동작들의 이력을 조사하고, 복수의 제조 동작들로부터 경향들 또는 성능 메트릭들을 조사하고, 현재 프로세싱의 파라미터들을 변경하고, 현재 프로세싱에 후속하는 프로세싱 단계들을 설정하고, 또는 새로운 프로세스를 시작하도록 시스템에 대한 원격 액세스를 인에이블할 수도 있다. 일부 예들에서, 원격 컴퓨터 (예를 들어, 서버) 는 로컬 네트워크 또는 인터넷을 포함할 수도 있는 네트워크를 통해서 프로세스 레시피들을 시스템에 제공할 수 있다. 원격 컴퓨터는 차후에 원격 컴퓨터로부터 시스템으로 전달될 파라미터들 및/또는 설정들의 입력 또는 프로그래밍을 인에이블하는 사용자 인터페이스를 포함할 수도 있다. 일부 예들에서, 제어기는 하나 이상의 동작들 동안 수행될 프로세싱 단계들 각각에 대한 파라미터들을 특정하는, 데이터의 형태의 인스트럭션들을 수신한다. 파라미터들은 제어기가 제어하거나 인터페이싱하도록 구성되는 툴의 타입 및 수행될 프로세스의 타입에 특정적일 수도 있다는 것이 이해되어야 한다. 따라서, 상기 기술된 바와 같이, 제어기는 예컨대 본 명세서에 기술된 프로세스들 및 제어들과 같은, 공동의 목적을 향해 함께 네트워킹되고 작동하는 하나 이상의 개별 제어기들을 포함함으로써 분산될 수도 있다. 이러한 목적들을 위한 분산형 제어기의 일 예는 챔버 상의 프로세스를 제어하도록 조합되는 (예컨대 플랫폼 레벨에서 또는 원격 컴퓨터의 일부로서) 원격으로 위치한 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들일 것이다.
비한정적으로, 예시적인 시스템들은 플라즈마 에칭 챔버 또는 모듈, 증착 챔버 또는 모듈, 스핀-린스 챔버 또는 모듈, 금속 도금 챔버 또는 모듈, 세정 챔버 또는 모듈, 베벨 에지 에칭 챔버 또는 모듈, 물리적 기상 증착 (physical vapor deposition; PVD) 챔버 또는 모듈, CVD 챔버 또는 모듈, ALD 챔버 또는 모듈, 원자 층 에칭 (atomic layer etch; ALE) 챔버 또는 모듈, 이온 주입 챔버 또는 모듈, 트랙 (track) 챔버 또는 모듈, 및 반도체 웨이퍼들의 제조 및/또는 제작 시에 사용되거나 연관될 수도 있는 임의의 다른 반도체 프로세싱 시스템들을 포함할 수도 있다.
상술한 바와 같이, 툴에 의해서 수행될 프로세스 단계 또는 단계들에 따라서, 제어기는, 반도체 제작 공장 내의 툴 위치들 및/또는 로드 포트들로부터/로드 포트들로 웨이퍼들의 컨테이너들을 이동시키는 재료 이송 시에 사용되는, 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접 툴들, 이웃하는 툴들, 공장 도처에 위치한 툴들, 주 컴퓨터, 또 다른 제어기, 또는 툴들 중 하나 이상과 통신할 수도 있다.
제어기 (829) 는 다양한 프로그램들을 포함할 수도 있다. 기판 포지셔닝 프로그램은 기판을 페데스탈 또는 척 상으로 로딩하도록 그리고 기판과 가스 유입구 및/또는 타깃과 같은 챔버의 다른 부분들 사이의 간격을 제어하도록 사용되는 챔버 컴포넌트들을 제어하기 위한 프로그램 코드를 포함할 수도 있다. 프로세스 가스 제어 프로그램은 가스 조성 및 플로우 레이트들을 제어하기 위한 코드 및 선택 가능하게 챔버 내 압력을 안정화시키기 위해 증착 전에 챔버 내로 가스를 흘리기 위한 코드를 포함할 수도 있다. 압력 제어 프로그램은 예를 들어, 챔버의 배기 시스템 내의 쓰로틀 밸브를 조절함으로써 챔버 내의 압력을 제어하기 위한 코드를 포함할 수도 있다. 히터 제어 프로그램은 기판을 가열하도록 사용되는 가열 유닛으로 전류를 제어하기 위한 코드를 포함할 수도 있다. 대안적으로, 히터 제어 프로그램은 웨이퍼 척으로 헬륨과 같은 열 전달 가스의 전달을 제어할 수도 있다.
증착 동안 모니터링될 수도 있는 챔버 센서들의 예들은 질량 유량 제어기들, 마노미터들 (manometers) 과 같은 압력 센서들, 및 페데스탈 또는 척에 위치된 열전대들 (thermocouples) 을 포함한다. 적절하게 프로그래밍된 피드백 및 제어 알고리즘들은 목표된 프로세스 조건들을 유지하기 위해 이들 센서들로부터의 데이터와 함께 사용될 수도 있다.
전술한 바는 단일 또는 멀티-챔버 반도체 프로세싱 툴에서 본 개시의 실시 예들의 구현 예를 기술한다.
전술한 바는 단일 또는 멀티-챔버 반도체 프로세싱 툴의 개시된 실시 예들의 구현 예를 기술한다. 본 명세서에 기술된 장치 및 프로세스는 예를 들어, 반도체 디바이스들, 디스플레이들, LED들, 광전 패널들, 등의 제조 또는 제작을 위해 리소그래픽 (lithographic) 패터닝 툴들 또는 프로세스들과 함께 사용될 수도 있다. 통상적으로, 반드시 그러한 것은 아니지만, 이러한 툴들/프로세스들은 공통 제조 설비에서 함께 사용되거나 수행될 것이다. 막의 리소그래픽 패터닝은 통상적으로 단계 각각이 다수의 가능한 툴들과 함께 제공된, 이하의 단계들: (1) 스핀-온 (spin-on) 툴 또는 스프레이-온 (spray-on) 툴을 사용하여 워크피스 (workpiece), 즉, 기판 상에 포토레지스트의 도포; (2) 핫 플레이트 또는 퍼니스 (furnace) 또는 UV 경화 툴을 사용하여 포토레지스트를 경화하는 단계; (3) 웨이퍼 스텝퍼와 같은 툴을 사용하여 가시광선 또는 UV 또는 x-선 광에 포토레지스트를 노출시키는 단계; (4) 습식 벤치와 같은 툴을 사용하여 레지스트를 선택적으로 제거하고 이에 따라 레지스트를 패터닝하도록 레지스트를 현상하는 단계; (5) 건식 또는 플라즈마 보조 에칭 툴을 사용함으로써 하부 (underlying) 막 또는 워크피스 내로 레지스트 패턴을 전사하는 단계; 및 (6) RF 또는 마이크로파 플라즈마 레지스트 스트립퍼와 같은 툴을 사용하여 레지스트를 제거하는 단계 중 일부 또는 전부를 포함한다.
상기 기술 및 청구항들에서, 수치적 범위들은 범위의 종점들을 포함한다. 예를 들어, "1 내지 5 ㎚의 두께"는 1 ㎚ 및 5 ㎚를 포함한다. 유사하게, "내지 (-)"로 나타낸 범위들은 범위들의 종점들을 포함한다.
실험
상이한 Mo 스택 및 MoOxNy 스택을 갖는 3 개의 상이한 기판들의 원자 조성이 평가되었다. 도 9는 MoOxNy와 유전체 층 사이의 Mo-옥사이드 계면에서 평가로부터의 결과를 도시한다. (910, 920, 및 930) 각각에서, 수직 점선은 좌측의 MoOxNy 핵생성 층과 우측의 유전체 옥사이드 사이의 계면의 근사치를 도시한다.
(910) 에서, 주 전도체 층 Mo가 산소-함유 Mo 전구체 및 감소된 수소 도즈를 사용하여 MoOxNy 핵생성 층 상에 증착된다. 결과들은 Mo-옥사이드 계면에서 낮은 산소 함량을 도시한다.
(920) 에서, 주 전도체 층 Mo는 산소-함유 Mo 전구체 및 훨씬 더 감소된 ((910) 보다 13 % 더 적은) 수소 도즈를 사용하여 MoOxNy 핵생성 층 상에 증착된다. 화살표 (922) 는 (910) 에서보다 더 큰 계면에서의 옥사이드 함량을 도시한다.
(930) 에서, 주 전도체 층 Mo는 산소-함유 Mo 전구체 및 훨씬 더 감소된 ((910) 보다 26 % 더 적은) 수소 도즈를 사용하여 MoOxNy 핵생성 층 상에 증착된다. 화살표 (931) 는 (910 또는 920) 에서보다 주 전도체 층 Mo에서 훨씬 더 큰 산소 함량을 도시한다. 유사하게, 화살표 (932) 는 또한 계면에서 더 많은 (하지만 아직도 적은 양의) 염소를 도시한다.
이들 결과들은 수소 도즈 (예를 들어, 플로우 레이트 및/또는 노출 지속 기간) 가 Mo 옥사이드 계면에서 막의 조성에 영향을 줄 수 있다는 것을 도시한다.
결론
전술한 실시 예들이 이해의 명확성의 목적들을 위해 다소 상세히 기술되었지만, 특정한 변화들 및 수정들이 첨부된 청구항들의 범위 내에서 실시될 수도 있다는 것이 자명할 것이다. 본 실시 예들의 프로세스들, 시스템들 및 장치를 구현하는 많은 대안적인 방식들이 있다는 것을 주의해야 한다. 이에 따라, 본 실시 예들은 예시적이고, 제한적이지 않은 것으로 간주될 것이며, 실시 예들은 본 명세서에 주어진 상세들로 제한되지 않을 것이다.

Claims (10)

  1. 기판들을 프로세싱하기 위한 방법에 있어서,
    상부에 옥사이드 재료를 갖는 기판을 제공하는 단계;
    제 1 세트의 프로세스 조건들을 사용하여 제 1 산소-함유 몰리브덴 전구체와 제 1 환원제의 교번하는 펄스들에 상기 옥사이드 재료를 노출함으로써 제 1 원자 층 증착 (atomic layer deposition; ALD) 프로세스를 사용하여 상기 옥사이드 재료 위에 원소 (elemental) 몰리브덴 층의 적어도 일부를 증착하는 단계; 및
    상기 원소 몰리브덴 층의 상기 일부를 증착하는 단계 시 비-몰리브덴 함량을 증가시키도록 상기 제 1 세트의 프로세스 조건들을 조절하는 단계를 포함하는, 기판 프로세싱 방법.
  2. 제 1 항에 있어서,
    상기 제 1 세트의 프로세스 조건들은 상기 제 1 ALD 프로세스 동안 상기 제 1 환원제의 적어도 약 1000 sccm의 플로우 레이트를 사용하는 것을 포함하는, 기판 프로세싱 방법.
  3. 제 1 항에 있어서,
    상기 제 1 세트의 프로세스 조건들은 상기 제 1 ALD 프로세스의 사이클 동안 적어도 1 초 동안 상기 기판을 상기 제 1 환원제에 노출하는 것을 포함하는, 기판 프로세싱 방법.
  4. 제 1 항에 있어서,
    상기 원소 몰리브덴 층의 상기 일부를 증착하는 단계 전에,
    붕소-함유 가스들, 텅스텐-함유 가스들, 불소-함유 가스들, 산소-함유 가스들, 염소-함유 가스들, 및 이들의 조합들로 구성된 그룹으로부터 선택된 소킹 가스 (soak gas) 에 상기 옥사이드 재료를 노출하는 동작; 또는
    붕소-함유 가스와 텅스텐-함유 가스의 교번하는 펄스들에 상기 옥사이드 재료를 노출하는 동작; 또는
    제 2 세트의 프로세스 조건들 하의 옥사이드 재료 상에 제 2 ALD 프로세스를 사용하여 제 2 산소-함유 몰리브덴 전구체 및 제 2 환원제를 사용하여 상기 옥사이드 재료 상에 제 1 층을 증착하는 동작을 포함하는 동작들 중 하나를 수행하는 단계를 더 포함하는, 기판 프로세싱 방법.
  5. 제 4 항에 있어서,
    적어도 상기 제 2 환원제는 질소-함유 가스, 수소, 또는 이들의 조합들이고; 또는 상기 제 1 산소-함유 몰리브덴 전구체 및 상기 제 2 산소-함유 몰리브덴 전구체 중 적어도 하나는 몰리브덴 옥시할라이드이고; 또는 상기 제 2 세트의 프로세스 조건들은 상기 제 2 ALD 프로세스 동안 질소-함유 가스의 플로우를 증가시키는 것을 포함하고; 또는 상기 제 1 층의 절반 미만은 상기 제 1 ALD 프로세스 동안 또는 상기 제 1 ALD 프로세스 전에 변환된 원소 몰리브덴 층으로 변환되는, 기판 프로세싱 방법.
  6. 제 4 항에 있어서,
    상기 제 1 층의 증착 동안 질소를 흘리는 동작; 상기 제 1 층을 증착하기 전에 소킹 가스로 상부에 상기 옥사이드 재료를 갖는 상기 기판을 소킹하는 동작; 또는 상기 제 1 층을 증착한 후 소킹 가스로 상부에 상기 옥사이드 재료를 갖는 상기 기판을 소킹하는 동작 중 하나를 더 포함하는, 기판 프로세싱 방법.
  7. 제 1 항 내지 제 6 항 중 어느 한 항에 있어서,
    상기 제 1 층은 결정질 (crystalline) 층 또는 비정질 (amorphous) 층인, 기판 프로세싱 방법.
  8. 제 1 항 내지 제 6 항 중 어느 한 항에 있어서,
    상기 제 1 층은 상기 원소 몰리브덴 층에서 금속 입자 성장을 위한 템플릿인, 기판 프로세싱 방법.
  9. 기판들을 프로세싱하기 위한 장치에 있어서,
    기판을 하우징하도록 각각 구성된 제 1 프로세스 챔버 및 제 2 프로세스 챔버로서, 선택 가능하게 (optionally) 상기 제 1 프로세스 챔버 및 상기 제 2 프로세스 챔버는 멀티-챔버 장치 내의 챔버들인, 상기 제 1 프로세스 챔버 및 상기 제 2 프로세스 챔버;
    상기 제 1 프로세스 챔버 및 상기 제 2 프로세스 챔버 각각의 기판 지지부;
    하나 이상의 단일 또는 듀얼 플레넘 샤워헤드들을 통해 상기 제 1 프로세스 챔버 및 상기 제 2 프로세스 챔버 각각 내로 가스를 지향시키도록 구성된 가스 유입구들;
    상기 프로세스 챔버 각각에서 상기 기판 지지부를 가열하도록 구성된 히터; 및
    프로그램 인스트럭션들을 포함하는 제어기를 포함하고, 상기 프로그램 인스트럭션들은:
    (a) 기판이 상기 제 1 프로세스 챔버 내에 하우징되는 동안 순차적으로 상기 제 1 프로세스 챔버 내로 산소-함유 몰리브덴 전구체 및 질소-함유 가스의 유입을 유발하는 인스트럭션;
    (b) 상기 인스트럭션 (a) 후에, 상기 제 2 프로세스 챔버로의 상기 기판의 이송을 유발하는 인스트럭션;
    (c) 상기 인스트럭션 (b) 후에, 상기 기판이 상기 제 2 프로세스 챔버 내에 하우징되는 동안 순차적으로 상기 제 2 프로세스 챔버 내로 산소-함유 몰리브덴 전구체 및 수소의 유입을 유발하는 인스트럭션; 및
    (d) 상기 기판이 상기 제 1 프로세스 챔버 내에 하우징될 때 질소로 하여금 상기 제 1 프로세스 챔버 내로 유입되게 하는 인스트럭션;
    상기 기판이 상기 제 2 프로세스 챔버 내에 하우징되는 동안 상기 제 2 프로세스 챔버 내의 상기 기판 지지부의 온도가 2 개의 상이한 온도들이게 하는 인스트럭션;
    순차적으로 상기 산소-함유 몰리브덴 전구체 및 상기 질소-함유 가스를 상기 제 1 프로세스 챔버 내로 유입하기 전 또는 후에 상기 제 1 프로세스 챔버로의 소킹 가스의 전달을 유발하는 인스트럭션, 및
    상기 기판이 상기 제 2 프로세스 챔버 내에 하우징되는 동안 상기 제 2 프로세스 챔버 내로의 수소의 감소된 플로우를 유발하는 인스트럭션으로 구성된 그룹으로부터 선택된 상기 프로그램 인스트럭션들 중 하나 이상의 구현을 유발하는 인스트럭션인, 기판 프로세싱 장치.
  10. 기판들을 프로세싱하기 위한 장치에 있어서,
    기판을 하우징하도록 구성된 프로세스 챔버로서, 상기 프로세스 챔버는 선택 가능하게 멀티-챔버 장치 내의 챔버인, 상기 프로세스 챔버;
    상기 프로세스 챔버들 내의 기판 지지부;
    수소 가스를 담기 위한 가스 소스를 포함하는 제 1 가스 박스;
    몰리브덴-함유 가스를 담기 위한 가스 소스를 포함하는 제 2 가스 박스;
    붕소-함유 가스 또는 텅스텐-함유 가스를 담기 위한 가스 소스를 포함하는 제 3 가스 박스;
    상기 제 1 가스 박스, 상기 제 2 가스 박스, 및 상기 제 3 가스 박스 각각으로부터의 가스를 하나 이상의 단일 또는 듀얼 플레넘 샤워헤드들을 통해 상기 프로세스 챔버 내로 지향시키도록 구성된 가스 유입구들; 및
    상기 프로세스 챔버 각각에서 상기 기판 지지부를 가열하도록 구성된 히터를 포함하는, 기판 프로세싱 장치.
KR1020227044687A 2021-02-23 2022-02-18 유전체 표면들 상의 몰리브덴에 비-금속 혼입 KR20230148733A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US202163200237P 2021-02-23 2021-02-23
US63/200,237 2021-02-23
PCT/US2022/017005 WO2022182590A1 (en) 2021-02-23 2022-02-18 Non-metal incorporation in molybdenum on dielectric surfaces

Publications (1)

Publication Number Publication Date
KR20230148733A true KR20230148733A (ko) 2023-10-25

Family

ID=83049450

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020227044687A KR20230148733A (ko) 2021-02-23 2022-02-18 유전체 표면들 상의 몰리브덴에 비-금속 혼입

Country Status (6)

Country Link
US (1) US20240234152A9 (ko)
JP (1) JP2024502503A (ko)
KR (1) KR20230148733A (ko)
CN (1) CN115769343A (ko)
TW (1) TW202302895A (ko)
WO (1) WO2022182590A1 (ko)

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7964505B2 (en) * 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US11295980B2 (en) * 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11560625B2 (en) * 2018-01-19 2023-01-24 Entegris, Inc. Vapor deposition of molybdenum using a bis(alkyl-arene) molybdenum precursor
WO2020023790A1 (en) * 2018-07-26 2020-01-30 Lam Research Corporation Deposition of pure metal films
CN113557320A (zh) * 2019-03-11 2021-10-26 朗姆研究公司 用于沉积含钼膜的前体

Also Published As

Publication number Publication date
TW202302895A (zh) 2023-01-16
US20240234152A9 (en) 2024-07-11
CN115769343A (zh) 2023-03-07
JP2024502503A (ja) 2024-01-19
US20240136192A1 (en) 2024-04-25
WO2022182590A1 (en) 2022-09-01

Similar Documents

Publication Publication Date Title
US11549175B2 (en) Method of depositing tungsten and other metals in 3D NAND structures
US10529722B2 (en) Tungsten for wordline applications
KR102572271B1 (ko) 몰리브덴을 함유하는 저 저항률 막들
KR102637938B1 (ko) 칼코게나이드 재료를 캡슐화하기 위한 방법
CN114667600A (zh) 钼填充
US20210335617A1 (en) Atomic layer deposition on 3d nand structures
CN113366144B (zh) 金属膜的沉积
KR20210081436A (ko) 텅스텐을 위한 몰리브덴 템플릿들
CN115362531A (zh) 具有成核抑制的特征填充
US20240006180A1 (en) Low resistance pulsed cvd tungsten
CN114600233A (zh) 在金属填充工艺期减少线弯曲
KR20230169827A (ko) 몰리브덴의 증착
KR20240011601A (ko) 고 종횡비 3-d nand 아키텍처 내 텅스텐 워드 라인 충진
KR20200014939A (ko) 텅스텐 나이트라이드 배리어 층 증착
US20240136192A1 (en) Non-metal incorporation in molybdenum on dielectric surfaces
WO2023205184A1 (en) Molybdenum integration and void-free fill
WO2024102866A1 (en) Pulse ald sequence for low fluorine wn deposition
KR20230104071A (ko) 저 저항률 (low resistivity) 콘택트들 및 상호 접속부들
TW202338134A (zh) 金屬填充中的傾斜襯墊
CN118383092A (zh) 高纵横比3d nand结构中的钨字线填充