JP2020536387A - 有機ラジカルを使用したシリコンまたはシリコンゲルマニウム表面の表面処理 - Google Patents

有機ラジカルを使用したシリコンまたはシリコンゲルマニウム表面の表面処理 Download PDF

Info

Publication number
JP2020536387A
JP2020536387A JP2020519096A JP2020519096A JP2020536387A JP 2020536387 A JP2020536387 A JP 2020536387A JP 2020519096 A JP2020519096 A JP 2020519096A JP 2020519096 A JP2020519096 A JP 2020519096A JP 2020536387 A JP2020536387 A JP 2020536387A
Authority
JP
Japan
Prior art keywords
surface treatment
silicon
radicals
chamber
treatment process
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2020519096A
Other languages
English (en)
Other versions
JP7021344B2 (ja
Inventor
エックス. ヤン マイケル
エックス. ヤン マイケル
チュン ホワ
チュン ホワ
ルー シンリアン
ルー シンリアン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Beijing E Town Semiconductor Technology Co Ltd
Mattson Technology Inc
Original Assignee
Beijing E Town Semiconductor Technology Co Ltd
Mattson Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Beijing E Town Semiconductor Technology Co Ltd, Mattson Technology Inc filed Critical Beijing E Town Semiconductor Technology Co Ltd
Publication of JP2020536387A publication Critical patent/JP2020536387A/ja
Application granted granted Critical
Publication of JP7021344B2 publication Critical patent/JP7021344B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/3003Hydrogenation or deuterisation, e.g. using atomic hydrogen from a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D67/00Processes specially adapted for manufacturing semi-permeable membranes for separation processes or apparatus
    • B01D67/0081After-treatment of organic or inorganic membranes
    • B01D67/009After-treatment of organic or inorganic membranes with wave-energy, particle-radiation or plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • C23F1/10Etching compositions
    • C23F1/12Gaseous compositions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32422Arrangement for selecting ions or species in the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32733Means for moving the material to be treated
    • H01J37/32743Means for moving the material to be treated for introducing the material into processing chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32899Multiple chambers, e.g. cluster tools
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02252Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by plasma treatment, e.g. plasma oxidation of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31058After-treatment of organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F4/00Processes for removing metallic material from surfaces, not provided for in group C23F1/00 or C23F3/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/006Details of gas supplies, e.g. in an ion source, to a beam line, to a specimen or to a workpiece
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating

Abstract

被加工物の表面処理のためのプロセスが提供される。1つの例示的な実施形態では、本方法は、被加工物に対して有機ラジカルベースの表面処理プロセスを実施することを含むことができる。有機ラジカルベースの表面処理プロセスは、第1のチャンバ内で1つまたは複数の種を生成することを含むことができる。表面処理プロセスは、1つまたは複数の炭化水素分子を種と混合して、混合物を生成することを含むことができる。混合物は、1つまたは複数の有機ラジカルを含むことができる。表面処理プロセスは、第2のチャンバ内で被加工物上の半導体材料を混合物に曝すことを含むことができる。

Description

優先権の主張
本出願は、2017年10月3日に出願された“Surface Treatment of Silicon and Carbon Containing Films by Remote Plasma with Organic Precursors”という表題の米国仮出願番号第62/567295号明細書の優先権を主張する、2018年4月20日に出願された“Surface Treatment Of Silicon Or Silicon Germanium Surfaces Using Organic Radicals”という表題の米国特許出願番号第15/958560号明細書の優先権を主張するものであり、同明細書は、あらゆる目的で参照によって本明細書に組み込まれる。
分野
本開示は、概して、有機ラジカルを使用した被加工物の表面処理に関する。
背景
プラズマ処理は、半導体ウェハおよび他の基板の堆積、エッチング、レジスト除去、および関連処理のために半導体産業において広く使用されている。プラズマ源(例えば、マイクロ波、ECR、誘導など)は、基板を処理するための高密度プラズマおよび反応種を生成するためのプラズマ処理のためにしばしば使用される。注入後のフォトレジスト、エッチング後の残留物、および他のマスクならびに/または材料は、プラズマ乾式ストリッププロセスを使用して除去されている。プラズマ乾式ストリッププロセスでは、遠隔のプラズマチャンバ内で生成されたプラズマからの中性粒子が分離グリッドを通過して、処理チャンバに到達し、半導体ウェハのような基板を処理する。
概要
本開示の実施形態の態様および利点は、部分的に以下の説明に記載されるか、または以下の説明から把握され得るか、もしくは実施形態の実施を通じて把握され得る。
本開示の1つの例示的な態様は、被加工物を処理するための方法に関する。被加工物は、半導体材料を含むことができる。1つの例示的な実施形態では、本方法は、被加工物に対して有機ラジカルベースの表面処理プロセスを実施することを含むことができる。有機ラジカルベースの表面処理プロセスは、第1のチャンバ内で1つまたは複数の種を生成することを含むことができる。表面処理プロセスは、1つまたは複数の炭化水素分子を種と混合して、混合物を生成することを含むことができる。混合物は、1つまたは複数の有機ラジカルを含むことができる。表面処理プロセスは、第2のチャンバ内で被加工物上の半導体材料を混合物に曝すことを含むことができる。
本開示の他の例示的な態様は、被加工物の表面処理のためのシステム、方法、および装置に関する。
種々の実施形態の上記およびその他の特徴、態様、および利点は、以下の説明および添付の特許請求の範囲を参照することでより良好に理解されるであろう。本明細書に組み込まれ、本明細書の一部を構成する添付の図面は、本開示の実施形態を図示したものであり、明細書と併せて、関連する原理を説明するために役立つ。
図面の簡単な説明
本明細書には、当業者に向けられた実施形態の詳細な説明が記載されており、本明細書は、添付の図面を参照する。
シリコンおよび/またはシリコンゲルマニウム材料を含む、例示的な被加工物の構造を示す図である。 被加工物上の、例示的な高アスペクト比の構造を示す図である。 本開示の例示的な実施形態による、例示的なプラズマ処理装置を示す図である。 本開示の例示的な実施形態による、例示的な表面処理プロセスのフローチャートである。 本開示の例示的な実施形態による、例示的な表面処理プロセスのフローチャートである。 本開示の例示的な実施形態による、表面処理プロセス中の例示的なプラズマ後のガス注入を示す図である。 本開示の例示的な実施形態による、例示的な水素ラジカル源を示す図である。 本開示の例示的な実施形態による、例示的な方法のフローチャートである。 本開示の例示的な実施形態による、例示的な方法のフローチャートである。 本開示の例示的な実施形態による、シリコン含有誘電体材料の表面濡れ角の例示的な変化を示す図である。 本開示の例示的な実施形態による、例示的な方法のフローチャートである。 本開示の例示的な実施形態による、例示的な方法のフローチャートである。
詳細な説明
以下では、複数の実施形態が詳細に参照され、これらの実施形態のうちの1つまたは複数の例が図面に図示されている。それぞれの例は、本開示を限定するものとして提供されているのではなく、実施形態を説明するものとして提供されている。実際に、当業者には、本開示の範囲または精神から逸脱することなく、これらの実施形態に種々の修正および変更を加えることができることが明らかであろう。例えば、或る1つの実施形態の一部として図示または説明されている特徴を、別の実施形態と共に使用して、さらに別の実施形態を生み出すことができる。したがって、本開示の態様は、そのような修正および変更を含むことが意図されている。
本開示の例示的な態様は、シリコン表面およびシリコンゲルマニウム表面を処理するための、かつ/または半導体ウェハのような被加工物上のシリコン含有誘電体膜を処理するための、表面処理プロセスに関する。半導体の処理中に被加工物を処理するために、乾式ストリッププロセスを実施することができる。例えば、乾式ストリッププロセスは、フォトレジストの除去、化学残留物の除去、またはその他の材料の除去のために使用され得る。
いくつかの例示的な乾式ストリッププロセスでは、被加工物は、処理チャンバ内のペデスタルまたは他の基板上に配置され得る。(例えば、誘導結合プラズマ源を使用して)遠隔のプラズマチャンバ内でプラズマを誘導して、プロセスガスまたは他の混合物中でイオンおよび中性ラジカルを生成することができる。プラズマチャンバを処理チャンバから分離する分離グリッドは、イオンをフィルタリングすることができ、中性ラジカルが分離グリッドの孔を通過して処理チャンバに到達することを可能にすることができる。被加工物から材料(例えば、フォトレジスト、残留物など)を除去するために、中性ラジカルを被加工物の表面に曝すことができる。
乾式ストリッププロセス中には、例えば、フォトレジストの剥離速度が速いこと、下にある材料に対して除去されるフォトレジスト材料の材料エッチング選択性が高いこと、シリコンおよびシリコンゲルマニウムのような下にある材料の酸化が防止されること、限界寸法(CD)が制御されることを含む、いくつかの要件が満たされる必要がある場合がある。
例えば、図1は、乾式ストリップ表面プロセス中に被加工物50上の半導体材料53に発生し得る損傷55を示す。フォトレジスト52(および他の表面残留物)を除去するために、中性ラジカル51を使用することができる。中性ラジカルは、半導体基板54の表面上に存在する薄膜材料53を攻撃する可能性もある。薄膜材料53は、いくつかの実施形態では、シリコン(Si)薄膜またはシリコンゲルマニウム(SiGe)薄膜であり得、半導体基板54は、Si基板であり得る。
Siおよび/またはSiGeのような薄膜材料は、プロセス中、かつ/または大気への曝露およびその後の製造ステップにおいて酸化しやすく、これによって材料損失がもたらされる可能性がある。高度な半導体構造は、3次元になっており、材料損失は、デバイスの限界寸法(CD)の変化、ひいてはデバイスの完全性の低下をもたらす可能性がある。したがって、デバイスの性能を維持するために、乾式ストリッププロセス中のSiおよび/またはSiGe膜の損失のような薄膜損失を低減させることが重要である。
本開示の例示的な態様によれば、乾式ストリッププロセスと組み合わせて、かつ/または乾式ストリッププロセスとは別個のステップとして、有機ラジカルベースの表面処理プロセスを実施することができ、これによって、被加工物上のシリコン表面およびシリコンゲルマニウム表面のような薄膜表面を保護することができる。より具体的には、有機ラジカルベースの表面処理プロセスは、半導体(例えば、シリコンおよび/またはシリコンゲルマニウム)表面を、中性有機ラジカル(例えば、CHラジカル)に曝すことができる。有機ラジカルベースの表面処理プロセスは、半導体表面上の少なくとも一部における有機ラジカルの付着(例えば、気相のCHラジカルに基づくメチル化)を結果的に生じさせることができる。有機ラジカルは、シリコンおよび/またはシリコンゲルマニウム層上に保護層(例えば、パッシベーション層)の形成をもたらし、これによって、乾式ストリッププロセス中および乾式ストリッププロセス後の材料損傷を低減させることができる。このようにして、乾式ストリッププロセスのために限界寸法の制御を改善することができる。
いくつかの実施形態では、有機ラジカルベースの表面処理プロセスは、分離グリッドによって処理チャンバから分離されたプラズマチャンバ内で1つまたは複数の種を生成することを含むことができる。種は、例えば、プロセスガス中でプラズマを誘導することによって生成され得る。いくつかの実施形態では、プロセスガスは、ヘリウム、アルゴン、キセノンなどのような不活性ガスであり得る。不活性ガス中で誘導プラズマ源を使用して生成された誘導プラズマは、1つまたは複数の励起された不活性ガス分子(例えば、励起されたヘリウム分子)を生成することができる。
いくつかの実施形態では、有機ラジカルベースの表面処理プロセスは、分離グリッドによって処理チャンバから分離されたプラズマチャンバ内で1つまたは複数の水素ラジカルを生成することを含むことができる。水素ラジカルは、例えば、プロセスガス中でプラズマを誘導することによって生成され得る。プロセスガスは、例えば、水素(H)と窒素(N)とを含む混合物、またはHとヘリウム(He)とを含む混合物、またはHとアルゴン(Ar)とを含む混合物であり得る。いくつかの他の実施形態では、水素ラジカルは、例えば、加熱されたタングステンフィラメントのような、加熱されたフィラメントを使用して生成され得る。
有機ラジカルベースの表面処理プロセスは、中性物質(例えば、励起された不活性ガス分子および/または水素ラジカル)の通過を可能にしながら、イオンをフィルタリングすることを含み、これによって、被加工物に曝すための中性物質を含む、フィルタリングされた混合物を生成することができる。例えば、分離グリッドを使用して、プラズマチャンバ内で生成されたイオンをフィルタリングすると共に、中性物質が分離グリッドの孔を通過して処理チャンバに到達して、被加工物に曝されることを可能にすることができる。
いくつかの実施形態では、中性物質は、メチル(CH)ラジカルのような1つまたは複数の有機ラジカルを含むことができる。有機ラジカルは、(例えば、メチル化を介して)Siおよび/またはSiGe層の表面と反応して、乾式ストリッププロセスのための保護層を形成することができる。有機ラジカルは、水素のような他のガスおよびラジカルと混合され得る。
いくつかの実施形態では、有機ラジカル(例えば、CHラジカル)は、プラズマチャンバ内で1つまたは複数の炭化水素分子を解離させることによって生成され得る。例示的な炭化水素分子は、例えば、非環状アルカンC2n+2を含むことができ、なお、nは、1以上かつ10以下である。例えば、炭化水素分子は、メタンCH、エタンC、プロパン、またはイソプロパンCなどのような非環状アルカンを含むことができる。
いくつかの実施形態では、炭化水素分子は、環状アルカンC2nを含むことができ、なお、nは、5以上かつ10以下である。例えば、炭化水素前駆体は、シクロペンタンC10、シクロヘキサンC12、メチルシクロヘキサンC14、ジメチルシクロヘキサンC16、1,3,5−トリメチルシクロヘキサンC18などのような環状アルカンを含むことができる。いくつかの実施形態では、炭化水素前駆体は、エチレンC、プロペンCなどのようなアルケンC2nを含むことができ、なお、nは、2以上かつ10以下である。
いくつかの実施形態では、有機ラジカル(例えば、CHラジカル)は、プラズマ後の混合物にガスを注入することによって生成され得る。例えば、プラズマ(例えば、Hプラズマ、またはHeプラズマのような不活性ガスプラズマ)は、遠隔のプラズマチャンバ内で生成され得る。混合物は、イオンをフィルタリングするための分離グリッドアセンブリを通過することができる。イオンフィルタリングの後、有機ラジカル(例えば、CHラジカル)を生成するために、フィルタリングされた混合物に炭化水素(CHなど)を注入することができる。
有機ラジカルは、他のアプローチを使用して生成され得る。例えば、有機ラジカルは、分子の熱分解(熱による分解)(例えば、アゾメタンCH−N=N−CH)を使用して、またはUVアシストによる分子解離(例えば、アセトンCHCOCH)を使用して生成され得る。
被加工物は、ペデスタル上で支持され得る。ペデスタルは、処理中の被加工物温度の温度を制御するために使用される温度調節システム(例えば、1つまたは複数の電気ヒータ)を含むことができる。いくつかの実施形態では、有機ラジカルベースの表面処理プロセスは、約20℃〜約500℃の範囲の温度で被加工物に実施され得る。
有機ラジカルベースの表面処理プロセスは、乾式ストリッププロセスと組み合わせて実施され得る。例えば、いくつかの実施形態では、有機ラジカルベースの表面処理プロセスは、乾式ストリッププロセスと同じプロセスステップで実施され得る。この例示的な実施形態では、プラズマ源(例えば、誘導結合プラズマ源、容量結合プラズマ源など)を使用して、プラズマチャンバ内のプロセスガスから1つまたは複数の水素ラジカルを生成することができる。プロセスガスは、例えば、HとNとを含む混合物、および/またはHとHeとを含む混合物、および/またはHとArとを含む混合物を含むことができる。プラズマ源を使用して、1つまたは複数の炭化水素分子を解離させて、同じプロセスステップの一部として有機ラジカル(例えば、CHラジカル)を生成することもできる。水素ラジカルおよび有機ラジカルは、分離グリッドを通過して処理チャンバに到達して、被加工物に曝され得る。
いくつかの実施形態では、有機ラジカルベースの表面処理プロセスは、乾式ストリッププロセスとは別個のステップとして実施され得る。例えば、半導体基板を処理するための方法は、乾式ストリッププロセスを実施することを含むことができる。プラズマチャンバ内で乾式ストリッププロセスを実施するために、乾式ストリッププロセス中に、プラズマ源を使用して1つまたは複数のラジカルを生成することができる。乾式ストリッププロセスを実施するために、ラジカルは、分離グリッドを通過して、被加工物に曝され得る。
別個のプロセスステップでは、本開示の例示的な実施形態による有機ラジカルベースの表面処理プロセスの一部として、1つまたは複数の有機ラジカル(例えば、CHラジカル)を被加工物に曝すことができる。有機ラジカルベースの表面処理プロセスは、乾式ストリッププロセスの前および/または後に実施され得る。
いくつかの実施形態では、有機ラジカルベースの表面処理プロセスは、湿式プロセスと組み合わせて実施され得る。湿式プロセス(例えば、湿式クリーニングプロセスおよび/または湿式エッチングプロセス)は、材料の除去、被加工物のクリーニングなどのために、被加工物を湿式化学溶液に曝すことができる。被加工物上のいくつかの材料の表面は、親水性であり得る一方で、その他は、疎水性であり得る。いくつかの事例では、湿式化学溶液は、水性(水ベース)または有機であり得る。表面の親水性/疎水性と化学溶液の組成との間のミスマッチは、デバイスの製造において問題を引き起こす可能性がある。例えば、高アスペクト比の構造を有する被加工物の処理中には、表面の親水性/疎水性と化学溶液との間のミスマッチにより、結果的に、湿式化学溶液が高アスペクト比の構造の底部にアクセスすることが困難になる可能性があるか、大きすぎる表面張力による毛管現象に起因してパターニングが崩壊する可能性がある。
場合によっては、湿式プロセスにおいて、被加工物上の複数の材料が同時に曝されることがある。さらに、高度なロジックおよびメモリデバイスの製造には、新しい材料が取り入れられている。限界寸法の完全性の要件がますます厳しくなるにつれて、湿式クリーニングおよび湿式エッチングプロセスは、非常に選択的である必要がある。その結果として、湿式化学製剤は、より複雑なものになり、関係する化学物質の種類だけでなく適用の方法に関しても、種々の安全上の危険となる可能性がある。限界寸法が小さくなるにつれて、また、膜が薄くなるにつれて、表面領域は、デバイスの性能におけるより重要な役割を果たすようになる。湿式プロセスでの化学溶液は、材料の表面特性に顕著な変化をもたらす可能性があり、このことは、デバイスの性能に対して悪影響を及ぼす可能性がある。
いくつかの事例では、湿式プロセスの完了時に、残留する化学溶液が、小さな/高アスペクト比の構造の内部に閉じ込められ、かつ/または被加工物の表面上に残される可能性ある。例えば、図2は、湿式プロセスを実施した後の、例示的な高アスペクト比の構造60を示す。湿式化学溶液65は、高アスペクト比の構造60内に閉じ込められ得る。湿式化学溶液65に関連する表面張力は、高アスペクト比の構造の崩壊をもたらし、これによって崩壊した構造62をもたらす可能性がある。
場合によっては、残留する化学溶液は、大気環境に曝されると、追加的な酸素および水分を取り込みやすい可能性がある。この結果、粒子形成および材料腐食がもたらされ、これによって、デバイスの性能の不所望なばらつきと、デバイスの歩留まりの不所望な低下とがもたらされる可能性がある。
本開示の例示的な態様によれば、有機ラジカルベースの表面処理プロセスは、表面の疎水性/親水性を(例えば、メチル化を介して)変化させることができる。例えば、有機ラジカルベースの表面処理プロセスは、シリコン含有誘電体材料(例えば、SiOおよび/またはSi)を有機ラジカル(例えば、CH)に曝して、材料の表面濡れ角を変化させることができる。有機ラジカルベースの表面処理プロセスは、湿式プロセスの前および/または後に実施され得る。
例えば、いくつかの実施形態では、有機ラジカルベースの表面処理プロセスを、製造フローにおける湿式プロセスの前に挿入して、表面濡れ角を正確に制御することができる。これにより、ウェハ表面上と、パターニングされた構造の内部とにおける化学クリーニングを容易にすることが可能となり、それと同時に、高アスペクト比のパターンの崩壊を回避するために、表面張力を調整することが可能となる。
いくつかの実施形態では、有機ラジカルベースの表面処理プロセスを、製造フローにおける湿式プロセスの前に挿入して、表面を不動態化させることができ、基板材料に対する化学的な攻撃を低減させることができ、かつ/または不所望な材料損失または材料変化(例えば、酸化)を低減させることができる。このようにして、有機ラジカルベースの表面処理プロセスは、有機基の安定した層によって表面を不動態化させることができる。
いくつかの実施形態では、有機ラジカルベースの表面処理プロセスは、湿式プロセスの後には、被加工物上の残留する化学物質を除去することができる。したがって、有機ラジカルベースの表面処理プロセスを、製造フローにおける湿式プロセスの後に挿入して、材料腐食および表面劣化を低減させることができ、かつ/または粒子形成を低減させることができる。
本開示の例示的な態様による有機ラジカルベースの表面処理プロセスは、半導体デバイス製造において、ガス分子ベースまたはイオンベースのプロセスと対比され得る。ガス分子は、種々の拡散/アニールプロセスおよび化学気相成長プロセスにおいて使用され得、イオンプロセスは、イオン注入およびイオンエッチングを含むことができる。概して、ガス分子ベースのプロセスは、表面帯電には問題がないが、低温において低い反応性を有する可能性がある。対照的に、イオンは、反応性が高く、低い表面温度で反応することができるが、直接的なイオン打ち込みから表面帯電、表面損傷、および材料損失に対して悪影響を及ぼす可能性がある。ガス分子ベースおよびイオンベースのプロセスと比較して、ラジカルベースのプロセスは、高い反応性を有することが可能である一方で、それと同時に、イオンプロセスに関連する表面帯電/表面損傷および材料損失の問題を軽減することが可能である。
本開示の態様は、例示および説明の目的で、「ウェハ」または半導体ウェハを参照しながら説明される。本明細書で提供される開示を使用する当業者は、本開示の例示的な態様が、任意の半導体基板または他の適切な基板に関連して使用され得ることを理解するであろう。さらに、数値と併せて「約」という用語が使用される場合には、記載された数値の10パーセント(10%)以内を指すことが意図されている。「ペデスタル」は、被加工物を支持するために使用され得る任意の構造を指す。
本開示の1つの例示的な実施形態は、被加工物を処理するための方法に関する。被加工物は、半導体材料(シリコンおよび/またはシリコンゲルマニウム)を含むことができる。本方法は、被加工物に対して有機ラジカルベースの表面処理プロセスを実施することを含むことができる。有機ラジカルベースの表面処理プロセスは、第1のチャンバ内で1つまたは複数の種を生成することを含むことができる。表面処理プロセスは、1つまたは複数の炭化水素分子を種と混合して、混合物を生成することを含むことができる。混合物は、1つまたは複数の有機ラジカルを含むことができる。表面処理プロセスは、第2のチャンバ内で半導体材料を混合物に曝すことを含むことができる。
いくつかの実施形態では、1つまたは複数の炭化水素分子は、C2n+2の化学式を有し、なお、nは、1以上かつ10以下である。いくつかの実施形態では、1つまたは複数の炭化水素分子は、C2nの化学式を有し、なお、nは、2以上かつ10以下である。
いくつかの実施形態では、1つまたは複数の有機ラジカルは、第1のチャンバ内で1つまたは複数の炭化水素分子を解離させることによって生成される。いくつかの実施形態では、本方法は、第1のチャンバを第2のチャンバから分離する分離グリッドを使用して、1つまたは複数のイオンをフィルタリングすることを含む。
いくつかの実施形態では、1つまたは複数の有機ラジカルは、1つまたは複数の炭化水素分子と種との反応によって生成される。いくつかの実施形態では、1つまたは複数の有機ラジカルは、CHラジカルを含む。いくつかの実施形態では、有機ラジカルベースの表面処理プロセスは、半導体材料上の少なくとも一部のメチル化を結果的に生じさせる。
いくつかの実施形態では、1つまたは複数の種は、第1のチャンバ内のプロセスガス中で誘導されたプラズマによって生成され得る。いくつかの実施形態では、プロセスガスは、ヘリウムのような不活性ガスであり得る。いくつかの実施形態では、プロセスガスは、水素ガスを含み、種は、水素ラジカルを含むことができる。
いくつかの実施形態では、種は、加熱されたフィラメントを使用して生成された1つまたは複数の水素ラジカルを含むことができる。いくつかの実施形態では、1つまたは複数の有機ラジカルは、分子の熱分解を使用して、またはUVアシストによる分子解離を使用して生成される。
いくつかの実施形態では、被加工物は、シリコン含有誘電体層を含む。いくつかの例では、シリコン含有誘電体層は、酸化シリコン層を含み、酸化シリコン層におけるシリコンに対する酸素の比は、1を超える。いくつかの例では、シリコン含有誘電体層は、窒化シリコン層を含み、窒化シリコン層におけるシリコンに対する窒素の比は、0.5を超える。
いくつかの実施形態では、有機ラジカルベースの表面処理プロセスは、シリコン含有誘電体層に対して実施されて、当該シリコン含有誘電体層の表面濡れ角を調整する。いくつかの実施形態では、有機ラジカルベースの表面処理プロセスを実施した後に、湿式プロセスを実施することができる。いくつかの実施形態では、有機ラジカルベースの表面処理プロセスを実施する前に、湿式プロセスを実施することができる。
本開示の別の例示的な実施形態は、半導体被加工物を処理する方法に関する。被加工物は、半導体材料を含む。半導体材料は、シリコンまたはシリコンゲルマニウムを含む。本方法は、半導体材料に対して有機ラジカルベースの表面処理プロセスを実施することを含む。有機ラジカルベースの表面処理プロセスは、誘導結合プラズマ源によって不活性ガス中でプラズマを誘導することにより、プラズマチャンバ内の不活性ガス中で1つまたは複数の励起種を生成することと、1つまたは複数の炭化水素分子を励起種と混合することにより、1つまたは複数の有機ラジカルを生成することと、ただし、1つまたは複数の炭化水素分子は、C2n+2またはC2nの化学式を有し、なお、nは、1以上かつ10以下であり、分離グリッドによってプラズマチャンバから分離されている処理チャンバ内で、半導体材料を有機ラジカルに曝すこととを含む。いくつかの実施形態では、1つまたは複数の有機ラジカルは、メチル(CH)ラジカルを含む。
図3は、本開示の例示的な実施形態による、表面処理プロセスを実施するために使用され得る例示的なプラズマ処理装置100を示す。図示のように、プラズマ処理装置100は、処理チャンバ110と、処理チャンバ110から分離されたプラズマチャンバ120とを含む。処理チャンバ110は、基板ホルダまたはペデスタル112を含み、この基板ホルダまたはペデスタル112は、半導体ウェハのような処理されるべき被加工物114を保持するように動作可能である。この例示的な図では、プラズマは、誘導結合プラズマ源135によってプラズマチャンバ120(すなわち、プラズマ生成領域)内で生成され、望ましい種は、プラズマチャンバ120から分離グリッドアセンブリ200を通って基板114の表面へと送られる。
本開示の態様は、例示および説明の目的で、誘導結合プラズマ源を参照しながら説明される。本明細書で提供される開示を使用する当業者は、本開示の範囲から逸脱することなく、任意のプラズマ源(例えば、誘導結合プラズマ源、容量結合プラズマ源など)が使用され得ることを理解するであろう。
プラズマチャンバ120は、誘電体側壁122と、天井124とを含む。誘電体側壁122と、天井124と、分離グリッド200とが、プラズマチャンバ室内125を画定する。誘電体側壁122は、石英および/またはアルミナのような誘電体材料から形成され得る。誘導結合プラズマ源135は、誘導コイル130を含むことができ、この誘導コイル130は、プラズマチャンバ120の周りの誘電体側壁122に隣接して配置されている。誘導コイル130は、適切なマッチングネットワーク132を介してRF電力発生器134に結合されている。プロセスガス(例えば、反応体および/またはキャリアガス)は、ガス供給部150および環型ガス分配チャネル151または他の適切なガス導入機構からチャンバ室内に供給され得る。RF電力発生器134からのRF電力によって誘導コイル130にエネルギ供給されると、プラズマチャンバ120内でプラズマが生成され得る。特定の実施形態では、プラズマ処理装置100は、誘導コイル130とプラズマとの容量結合を低減させるために、オプションの接地されたファラデーシールド128を含むことができる。
図3に示されるように、分離グリッド200は、プラズマチャンバ120を処理チャンバ110から分離する。分離グリッド200を使用して、プラズマチャンバ120内のプラズマによって生成された混合物からイオンフィルタリングを実施して、フィルタリングされた混合物を生成することができる。フィルタリングされた混合物は、処理チャンバ110内の被加工物114に曝され得る。
いくつかの実施形態では、分離グリッド200は、マルチプレート式の分離グリッドであり得る。例えば、分離グリッド200は、第1のグリッドプレート210および第2のグリッドプレート220を含むことができ、第1のグリッドプレート210と第2のグリッドプレート220とは、相互に平行な関係で離間されている。第1のグリッドプレート210と第2のグリッドプレート220とは、所定の距離だけ分離され得る。
第1のグリッドプレート210は、複数の孔を有する第1のグリッドパターンを有することができる。第2のグリッドプレート220は、複数の孔を有する第2のグリッドパターンを有することができる。第1のグリッドパターンは、第2のグリッドパターンと同じでもよいし、または異なっていてもよい。荷電粒子は、分離グリッド200のそれぞれのグリッドプレート210,220の孔を通って荷電粒子の経路上の壁で再結合することができる。中性物質(例えば、ラジカル)は、第1のグリッドプレート210および第2のグリッドプレート220の孔を通って比較的自由に流れることができる。孔の寸法と、それぞれのグリッドプレート210および220の厚さとは、荷電粒子および中性粒子の両方の透過性に対して影響を与えることができる。
いくつかの実施形態では、第1のグリッドプレート210は、金属(例えば、アルミニウム)または他の導電性材料から形成され得、かつ/または第2のグリッドプレート220は、導電性材料または誘電性材料(例えば、石英、セラミックなど)から形成され得る。いくつかの実施形態では、第1のグリッドプレート210および/または第2のグリッドプレート220は、シリコンまたは炭化シリコンのような他の材料から形成され得る。グリッドプレートが金属または他の導電性材料から形成されている場合には、グリッドプレートは、接地され得る。
図4は、本開示の例示的な態様による、例示的な有機ラジカルベースの表面処理プロセス(300)のフローチャートを示す。有機ラジカルベースの表面処理プロセス(300)は、プラズマ処理装置100を使用して実施され得る。しかしながら、以下で詳細に説明されるように、本開示の例示的な態様による有機ラジカルベースの表面処理プロセスは、本開示の範囲から逸脱することなく、他のアプローチを使用して実施され得る。図4は、例示および説明の目的で、特定の順序で実施されるステップを示す。本明細書で提供される開示を使用する当業者は、本開示の範囲から逸脱することなく、本明細書で説明される任意の方法の種々のステップが、種々のやり方で省略、拡張、同時実施、再配置、および/または変更され得ることを理解するであろう。さらに、本開示の範囲から逸脱することなく、種々の追加的なステップ(図示せず)が実施され得る。
(302)において、有機ラジカルベースの表面処理プロセスは、被加工物を加熱することを含むことができる。例えば、被加工物114は、処理チャンバ110内でプロセス温度まで加熱され得る。被加工物114は、例えば、ペデスタル112に関連する1つまたは複数の加熱システムを使用して加熱され得る。いくつかの実施形態では、被加工物は、約20℃〜約400℃の範囲のプロセス温度まで加熱され得る。
(304)において、表面処理プロセスは、プロセスガスをプラズマチャンバに入れることを含むことができる。例えば、プロセスガスは、ガス源150から環型ガス分配チャネル151または他の適切なガス導入機構を介してプラズマチャンバ室内125に入れられ得る。いくつかの実施形態では、プロセスガスは、1つまたは複数の炭化水素分子を含むことができる。例示的な炭化水素分子は、例えば、非環状アルカンC2n+2を含むことができ、なお、nは、1以上かつ10以下である。例えば、炭化水素分子は、メタンCH、エタンC、プロパン、またはイソプロパンCなどのような非環状アルカンを含むことができる。
いくつかの実施形態では、炭化水素分子は、環状アルカンC2nを含むことができ、なお、nは、5以上かつ10以下である。例えば、炭化水素前駆体は、シクロペンタンC10、シクロヘキサンC12、メチルシクロヘキサンC14、ジメチルシクロヘキサンC16、1,3,5−トリメチルシクロヘキサンC18などのような環状アルカンを含むことができる。いくつかの実施形態では、炭化水素前駆体は、エチレンC、プロペンCなどのようなアルケンC2nを含むことができ、なお、nは、2以上かつ10以下である。
(306)において、表面処理プロセスは、水素ガス(H)のような反応性ガスのような第2のガスをプラズマチャンバに入れることを含む(例えば、オプションで含む)ことができる。例えば、第2のガスは、プロセスガスの一部としてプラズマチャンバに入れられ得る。プロセスガスは、HとNとを含む混合物、および/またはHとHeとを含む混合物、および/またはHとArとを含む混合物を含むことができる。いくつかの実施形態では、プロセスガスは、ヘリウム、アルゴン、またはキセノンのような不活性ガスである。
(308)において、表面処理プロセスは、プラズマチャンバ内でプラズマを生成するために、誘導結合プラズマ源にエネルギ供給することを含むことができる。例えば、誘導コイル130は、プラズマチャンバ室内125でプラズマを生成するために、RF電力発生器134からのRFエネルギによってエネルギ供給され得る。いくつかの実施形態では、誘導結合プラズマ源は、低減されたプラズマエネルギを有する望ましいラジカルを得るために、パルス電力によってエネルギ供給され得る。プラズマを使用して、水素ガスから1つまたは複数の水素ラジカルを生成することができる。
(310)において、表面処理プロセスは、プラズマを使用して、プラズマチャンバ室内で混合物中の1つまたは複数の炭化水素分子を解離させることを含むことができる。例えば、誘導結合プラズマ源135を使用してプラズマチャンバ室内125で誘導されたプラズマは、プロセスガス中の炭化水素分子と他の分子とを解離させて、ラジカルおよびイオンを生成することができる。例えば、1つまたは複数の炭化水素分子は、プラズマ中で解離されて、CHラジカルのような有機ラジカルを生成することができる。
(312)において、表面処理プロセスは、混合物中のプラズマによって生成された1つまたは複数のイオンをフィルタリングして、フィルタリングされた混合物を生成することを含むことができる。フィルタリングされた混合物は、CHラジカルのような、炭化水素分子の解離によって生成されたラジカルを含むことができる。
いくつかの実施形態では、1つまたは複数のイオンは、被加工物が配置されている処理チャンバからプラズマチャンバを分離する分離グリッドアセンブリを使用してフィルタリングされ得る。例えば、分離グリッド200は、プラズマによって生成されたイオンをフィルタリングするために使用され得る。分離グリッド200は、複数の孔を有することができる。荷電粒子(例えば、イオン)は、複数の孔を通って荷電粒子の経路上の壁で再結合することができる。中性物質(例えば、CHラジカルのようなラジカル)は、孔を通過することができる。
いくつかの実施形態では、分離グリッド200は、約90%以上の、例えば約95%以上の効率でイオンをフィルタリングするように構成され得る。イオンフィルタリングに関するパーセント効率は、混合物中のイオンの総数に対する、混合物から除去されたイオンの量を指す。例えば、約90%の効率は、イオンの約90%がフィルタリング中に除去されることを示す。約95%の効率は、イオンの約95%がフィルタリング中に除去されることを示す。
いくつかの実施形態では、分離グリッドは、マルチプレート式の分離グリッドであり得る。マルチプレート式の分離グリッドは、複数の平行な分離グリッドプレートを有することができる。グリッドプレートにおける孔の配置および配列は、イオンフィルタリングに関する望ましい効率、例えば約95%以上の効率を提供するように選択され得る。
例えば、分離グリッド200は、相互に平行な関係の、第1のグリッドプレート210および第2のグリッドプレート220を有することができる。第1のグリッドプレート210は、複数の孔を有する第1のグリッドパターンを有することができる。第2のグリッドプレート220は、複数の孔を有する第2のグリッドパターンを有することができる。第1のグリッドパターンは、第2のグリッドパターンと同じでもよいし、または異なっていてもよい。荷電粒子(例えば、イオン)は、分離グリッド200のそれぞれのグリッドプレート210,220の孔を通って荷電粒子の経路上の壁で再結合することができる。中性種(例えば、ラジカル)は、第1のグリッドプレート210および第2のグリッドプレート220の孔を通って比較的自由に流れることができる。
図4の(314)において、表面処理プロセスは、被加工物を、フィルタリングされた混合物に曝すことを含むことができる。より具体的には、被加工物は、プラズマ中で生成されて分離グリッドアセンブリを通過したラジカル(例えば、CHラジカル)に曝され得る。一例として、有機ラジカル(例えば、CHラジカル)は、分離グリッド200を通過することができ、被加工物114上に曝され得る。いくつかの実施形態では、被加工物を有機ラジカルに曝すことよって、半導体材料上の少なくとも一部における有機ラジカルの付着を結果的に生じさせることができる。
有機ラジカルベースの表面処理プロセスは、本開示の範囲から逸脱することなく、他のアプローチを使用して実施され得る。例えば、いくつかの実施形態では、有機ラジカルは、少なくとも部分的に、プラズマ後のガス注入を使用して生成され得る。
例えば、図5は、本開示の例示的な実施形態による、プラズマ後のガス注入を使用して有機ラジカルが生成される、例示的な表面処理プロセス(400)のフローチャートを示す。プロセス(400)は、例として、図3のプラズマ処理装置100を参照しながら説明される。図5は、例示および説明の目的で、特定の順序で実施されるステップを示す。本明細書で提供される開示を使用する当業者は、本開示の範囲から逸脱することなく、本明細書で説明される任意の方法の種々のステップが、種々のやり方で省略、拡張、同時実施、再配置、および/または変更され得ることを理解するであろう。さらに、本開示の範囲から逸脱することなく、種々のステップ(図示せず)が実施され得る。
(402)において、表面処理プロセスは、被加工物を加熱することを含むことができる。例えば、被加工物114は、処理チャンバ110内でプロセス温度まで加熱され得る。被加工物114は、例えば、ペデスタル112に関連する1つまたは複数の加熱システムを使用して加熱され得る。いくつかの実施形態では、被加工物は、約50℃〜約400℃の範囲の温度まで加熱され得る。
(404)において、表面処理プロセスは、プロセスガス混合物をプラズマチャンバに入れることを含むことができる。例えば、プロセスガスは、ガス源150から環型ガス分配チャネル151または他の適切なガス導入機構を介してプラズマチャンバ室内125に入れられ得る。いくつかの実施形態では、プロセスガスは、水素ガス(H)のような反応性ガスを含むことができる。プロセスガスは、Nおよび/またはHeおよび/またはArのようなキャリアガスを含むことができる。例えば、いくつかの実施形態では、プロセスガスは、HとNとを含む混合物であり得る。いくつかの他の実施形態では、プロセスガスは、HとHeとを含む混合物であり得る。さらにいくつかの他の実施形態では、プロセスガスは、HとArとを含む混合物であり得る。
いくつかの実施形態では、プロセスガスは、不活性ガスであり得る。例えば、プロセスガスは、反応性ガスを含まない不活性ガスであり得る。特定の実施形態では、プロセスガスは、ヘリウム、アルゴン、キセノン、または他の不活性ガスであり得る。
(406)において、表面処理プロセスは、プラズマチャンバ内でプラズマを生成するために、誘導結合プラズマ源にエネルギ供給することを含むことができる。例えば、誘導コイル130は、プラズマチャンバ室内125でプラズマを生成するために、RF電力発生器134からのRFエネルギによってエネルギ供給され得る。いくつかの実施形態では、誘導結合プラズマ源は、低減されたプラズマエネルギを有する望ましい種を得るために、パルス電力によってエネルギ供給され得る。
(408)において、表面処理プロセスは、プロセスガスからのプラズマ中で1つまたは複数の種を生成することを含むことができる。例えば、誘導結合プラズマ源135を使用してプラズマチャンバ室内125で反応性のプロセスガス(例えば、H)から誘導されたプラズマは、プロセスガス混合物中の分子を解離させて、ラジカル(例えば、Hラジカル)およびイオンを生成することができる。別の例として、誘導結合プラズマ源135を使用してプラズマチャンバ室内125で不活性のプロセスガス(例えば、He)から誘導されたプラズマは、1つまたは複数の励起された不活性ガス分子(例えば、励起されたHe分子)を生成することができる。
(410)において、表面処理プロセスは、混合物中のプラズマによって生成された1つまたは複数のイオンをフィルタリングして、フィルタリングされた混合物を生成することを含むことができる。フィルタリングされた混合物は、プロセスガスからのプラズマ中で生成された種を含むことができる。
いくつかの実施形態では、1つまたは複数のイオンは、被加工物が配置されている処理チャンバからプラズマチャンバを分離する分離グリッドアセンブリを使用してフィルタリングされ得る。例えば、分離グリッド200は、プラズマによって生成されたイオンをフィルタリングするために使用され得る。
分離グリッド200は、複数の孔を有することができる。荷電粒子(例えば、イオン)は、複数の孔を通って荷電粒子の経路上の壁で再結合することができる。中性粒子(例えば、ラジカル)は、孔を通過することができる。いくつかの実施形態では、分離グリッド200は、約90%以上の、例えば約95%以上の効率でイオンをフィルタリングするように構成され得る。
いくつかの実施形態では、分離グリッドは、マルチプレート式の分離グリッドであり得る。マルチプレート式の分離グリッドは、複数の平行な分離グリッドプレートを有することができる。グリッドプレートにおける孔の配置および配列は、イオンフィルタリングに関する望ましい効率、例えば約95%以上の効率を提供するように選択され得る。
(412)において、プロセスは、フィルタリング後に炭化水素分子を、フィルタリングされた混合物に注入することを含むことができる。炭化水素分子は、水素ラジカルと反応して、望ましいラジカル(例えば、CHラジカル)を生成することができる。
例示的な炭化水素分子は、例えば、非環状アルカンC2n+2を含むことができ、なお、nは、1以上かつ10以下である。例えば、炭化水素分子は、メタンCH、エタンC、プロパン、またはイソプロパンCなどのような非環状アルカンを含むことができる。炭化水素分子は、環状アルカンC2nを含むことができ、なお、nは、5以上かつ10以下である。例えば、炭化水素分子は、シクロペンタンC10、シクロヘキサンC12、メチルシクロヘキサンC14、ジメチルシクロヘキサンC16、1,3,5−トリメチルシクロヘキサンC18などのような環状アルカンを含むことができる。いくつかの実施形態では、炭化水素分子は、エチレンC、プロペンCなどのようなアルケンC2nを含むことができ、なお、nは、1以上かつ10以下である。
図6は、本開示の例示的な実施形態による、イオンフィルタリング後に炭化水素分子を注入するための例示的な分離グリッド200を示す。より具体的には、分離グリッド200は、第1のグリッドプレート210および第2のグリッドプレート220を含み、第1のグリッドプレート210と第2のグリッドプレート220とは、イオン/UVフィルタリングのために平行な関係で配置されている。
第1のグリッドプレート210および第2のグリッドプレート220は、相互に平行な関係であり得る。第1のグリッドプレート210は、複数の孔を有する第1のグリッドパターンを有することができる。第2のグリッドプレート220は、複数の孔を有する第2のグリッドパターンを有することができる。第1のグリッドパターンは、第2のグリッドパターンと同じでもよいし、または異なっていてもよい。プラズマからの中性粒子および荷電粒子215は、分離グリッド200に曝され得る。荷電粒子(例えば、イオン)は、分離グリッド200のそれぞれのグリッドプレート210,220の孔を通って荷電粒子の経路上の壁で再結合することができる。中性種(例えば、Hラジカル、または励起された不活性ガス分子)は、第1のグリッドプレート210および第2のグリッドプレート220の孔を通って比較的自由に流れることができる。
第2のグリッドプレート220に続いて、フィルタリングされた混合物に炭化水素ガスを入れるために、ガス注入源230が構成され得る。炭化水素ガスの注入から結果的に生じるラジカル(例えば、CHラジカル)225は、第3のグリッドプレート235を通過して、被加工物に曝され得る。
本例は、例示の目的で、3つのグリッドプレートを有する分離グリッドを参照しながら説明される。本明細書で提供される開示を使用する当業者は、本開示の範囲から逸脱することなく、より多いまたはより少ないグリッドプレートが使用され得ることを理解するであろう。
図5の(414)において、表面処理プロセスは、被加工物を、フィルタリングされた混合物に曝すことを含むことができる。より具体的には、被加工物は、炭化水素分子の注入後のラジカル(例えば、CHラジカル)に曝され得る。一例として、ラジカル(例えば、CHラジカル)は、第3のグリッドプレート235(図6)を通過することができ、被加工物114上に曝され得る。いくつかの実施形態では、被加工物を有機ラジカルに曝すことによって、半導体材料の少なくとも一部のメチル化を結果的に生じさせることができる。
いくつかの実施形態では、水素ラジカルは、異なる水素ラジカル源を使用して生成され得る。例えば、図7に示されるように、水素ガスHは、加熱されたフィラメント(例えば、タングステンフィラメント)を通過して、第1のチャンバ内で水素ラジカルを生成することができる。水素ラジカルは、分離グリッド200を通過することができる。
分離グリッド200は、第1のグリッドプレート210および第2のグリッドプレート220を含み、第1のグリッドプレート210と第2のグリッドプレート220とは、平行な関係で配置されている。第1のグリッドプレート210は、複数の孔を有する第1のグリッドパターンを有することができる。第2のグリッドプレート220は、複数の孔を有する第2のグリッドパターンを有することができる。第1のグリッドパターンは、第2のグリッドパターンと同じでもよいし、または異なっていてもよい。
第1のグリッドプレート210に続いて、フィルタリングされた混合物に炭化水素ガスを入れるために、ガス注入源230が構成され得る。炭化水素ガスの注入から結果的に生じるラジカル(例えば、CHラジカル)264は、第2のグリッドプレート220を通過して、被加工物に曝され得る。
炭化水素ガスは、1つまたは複数の炭化水素分子を含むことができる。例示的な炭化水素分子は、例えば、非環状アルカンC2n+2を含むことができ、なお、nは、1以上かつ10以下である。例えば、炭化水素分子は、メタンCH、エタンC、プロパン、またはイソプロパンCなどのような非環状アルカンを含むことができる。炭化水素分子は、環状アルカンC2nを含むことができ、なお、nは、5以上かつ10以下である。例えば、炭化水素分子は、シクロペンタンC10、シクロヘキサンC12、メチルシクロヘキサンC14、ジメチルシクロヘキサンC16、1,3,5−トリメチルシクロヘキサンC18などのような環状アルカンを含むことができる。いくつかの実施形態では、炭化水素分子は、エチレンC、プロペンCなどのようなアルケンC2nを含むことができ、なお、nは、1以上かつ10以下である。
本例は、例示の目的で、2つのグリッドプレートを有する分離グリッドを参照しながら説明される。本明細書で提供される開示を使用する当業者は、本開示の範囲から逸脱することなく、より多いまたはより少ないグリッドプレートが使用され得ることを理解するであろう。
有機ラジカル(例えば、CHラジカル)は、本開示の範囲から逸脱することなく、他のアプローチを使用して生成され得る。一例として、有機ラジカル(例えば、CHラジカル)は、分子の熱分解(熱による分解)(例えば、アゾメタンCH−N=N−CH)を使用して生成され得る。別の例として、有機ラジカルは、UVアシストによる分子解離(例えば、アセトンCHCOCH)を使用して生成され得る。
図8は、本開示の例示的な態様による、半導体デバイスを製造するための1つの例示的な方法(500)のフローチャートを示す。方法(500)は、例として、図3のプラズマ処理装置100を参照しながら説明される。方法(500)は、例えば、分離グリッドによって第2のチャンバから分離された第1のチャンバを有する任意の適切な処理装置において実施され得る。図8は、例示および説明の目的で、特定の順序で実施されるステップを示す。本明細書で提供される開示を使用する当業者は、本開示の範囲から逸脱することなく、本明細書で説明される任意の方法の種々のステップが、種々のやり方で省略、拡張、同時実施、再配置、および/または変更され得ることを理解するであろう。さらに、本開示の範囲から逸脱することなく、種々のステップ(図示せず)が実施され得る。
(502)において、本方法は、本開示の例示的な実施形態による有機ラジカルベースの表面処理プロセスを実施するための処理装置を調整することを含むことができる。例えば、本方法は、表面処理プロセスを実施するために、プラズマチャンバ120および/または処理チャンバ110を調整することを含むことができる。いくつかの実施形態では、プラズマ処理装置100を調整することは、被加工物を処理チャンバ110に導入する前に、プラズマチャンバ120内で酸素ベースのプラズマを生成することを含むことができる。本開示の範囲から逸脱することなく、プラズマ処理装置を調整するために、他の酸化ベースの化学プロセスが実施され得る。
(504)において、本方法は、プラズマ処理装置の処理チャンバ内に被加工物を配置することを含むことができる。処理チャンバは、プラズマチャンバから分離され得る(例えば、分離グリッドアセンブリによって分離され得る)。例えば、本方法は、処理チャンバ110内のペデスタル112上に被加工物114を配置することを含むことができる。
図8を参照すると、本方法は、本開示の例示的な態様による有機ラジカルベースの表面処理プロセスを実施すること(506)を含むことができる。有機ラジカルベースの表面処理プロセスは、本明細書に開示される任意の有機ラジカルベースの表面処理プロセスであり得る。例えば、有機ラジカルベースの表面処理プロセスは、図4〜7を参照しながら説明された例示的な表面処理プロセスであり得る。有機ラジカルベースの表面処理プロセスは、半導体材料の表面の少なくとも一部のメチル化を結果的に生じさせるメチルラジカルベースのプロセスであり得る。
いくつかの実施形態では、有機ラジカルベースの表面処理プロセスは、乾式ストリッププロセスと同時に、単一のステップとして実施され得る。例えば、この例示的な実施形態では、プラズマ源135を使用して、プラズマチャンバ120内のプロセスガスから1つまたは複数の水素ラジカルを生成することができる。プロセスガスは、例えば、HとNとの混合物、および/またはHとHeとの混合物、および/またはHとArとの混合物を含むことができる。プラズマ源135を使用して、1つまたは複数の炭化水素分子を解離させて、同じプロセスステップの一部として有機ラジカル(例えば、CHラジカル)を生成することもできる。ラジカル(例えば、CHラジカルを含む)は、分離グリッド200を通過して処理チャンバ110に到達して、被加工物114に曝され得る。ラジカルは、例えば、フォトレジスト、残留物、または他の材料の除去のために使用され得る。CHラジカルは、被加工物上のSiおよび/またはSiGe表面上に保護層を形成して、乾式ストリッププロセス中のSiおよび/またはSiGe表面の材料損失を低減させることができる。
図8の(508)において、本方法は、処理チャンバから被加工物を取り外すことを含むことができる。例えば、処理チャンバ110内のペデスタル112から被加工物114を取り外すことができる。次に、プラズマ処理装置は、追加的な被加工物の将来の処理のために調整され得る。
図9は、本開示の例示的な態様による、半導体デバイスを製造するための1つの例示的な方法(600)のフローチャートを示す。方法(600)は、例として、図3のプラズマ処理装置100を参照しながら説明される。方法(600)は、例えば、分離グリッドによって第2のチャンバから分離された第1のチャンバを有する任意の適切な処理装置において実施され得る。図9は、例示および説明の目的で、特定の順序で実施されるステップを示す。本明細書で提供される開示を使用する当業者は、本開示の範囲から逸脱することなく、本明細書で説明される任意の方法の種々のステップが、種々のやり方で省略、拡張、同時実施、再配置、および/または変更され得ることを理解するであろう。さらに、本開示の範囲から逸脱することなく、種々のステップ(図示せず)が実施され得る。
(602)において、本方法は、本開示の例示的な実施形態による有機ラジカルベースの表面処理プロセスを実施するための処理装置を調整することを含むことができる。例えば、本方法は、表面処理プロセスを実施するために、プラズマチャンバ120および/または処理チャンバ110を調整することを含むことができる。いくつかの実施形態では、プラズマ処理装置100を調整することは、被加工物を処理チャンバ110に導入する前に、プラズマチャンバ120内で酸素ベースのプラズマを生成することを含むことができる。本開示の範囲から逸脱することなく、プラズマ処理装置を調整するために、他の酸化ベースの化学プロセスが実施され得る。
(604)において、本方法は、プラズマ処理装置の処理チャンバ内に被加工物を配置することを含むことができる。処理チャンバは、プラズマチャンバから分離され得る(例えば、分離グリッドアセンブリによって分離され得る)。例えば、本方法は、処理チャンバ110内のペデスタル112上に被加工物114を配置することを含むことができる。
(606)において、本方法は、乾式ストリッププロセスを実施することを含むことができる。乾式ストリッププロセスは、有機ラジカルベースの表面処理プロセスとは別個のステップとして実施され得る。乾式ストリッププロセスは、誘導結合プラズマ源135を使用してプラズマチャンバ120内のプロセスガス中でプラズマを誘導して、1つまたは複数のイオンおよびラジカルを生成することを含むことができる。プロセスガスは、例えば、HとNとを含む混合物、および/またはHとHeとを含む混合物、および/またはHとArとを含む混合物であり得る。イオンおよびラジカルは、分離グリッドに供給され得る。分離グリッドは、1つまたは複数のイオンをフィルタリングすると共に、ラジカルが分離グリッドを通過して処理チャンバに到達して、被加工物に曝されることを可能にすることができる。ラジカルは、例えば、フォトレジストの除去のため、または他の乾式ストリッププロセスのために使用され得る。
(608)において、本方法は、本開示の例示的な態様による有機ラジカルベースの表面処理プロセスを実施することを含むことができる。有機ラジカルベースの表面処理プロセスは、本明細書に開示される任意の有機ラジカルベースの表面処理プロセスであり得る。例えば、有機ラジカルベースの表面処理プロセスは、図4〜7を参照しながら説明された例示的な表面処理プロセスであり得る。有機ラジカルベースの表面処理プロセスは、半導体材料の表面の少なくとも一部のメチル化を結果的に生じさせるメチルラジカルベースのプロセスであり得る。
図9の(610)において、本方法は、処理チャンバから被加工物を取り外すことを含むことができる。例えば、処理チャンバ110内のペデスタル112から被加工物114を取り外すことができる。次に、プラズマ処理装置は、追加的な被加工物の将来の処理のために調整され得る。
いくつかの実施形態では、本開示の例示的な実施形態による有機ラジカルベースの表面処理プロセスは、湿式プロセス(例えば、湿式クリーニングプロセスおよび/または湿式エッチングプロセス)と組み合わせて実施され得る。例えば、有機ラジカルベースの表面処理プロセスは、被加工物上の材料を、有機ラジカル(例えば、CHラジカル)に曝すことができる。有機ラジカルは、湿式プロセスの性能を向上させるために、材料の表面濡れ角を調整するができる。
一例として、図10は、基板702(例えば、Si基板)上にシリコン含有誘電体層704(例えば、SiO層および/またはSi層)を有する被加工物700を示す。湿式プロセス中に使用される化学溶液710は、シリコン含有誘電体層の親水性の結果として、シリコン含有誘電体層の表面全体にわたって広がり得る。より具体的には、本開示の態様による有機ラジカルベースの表面処理プロセスを実施する前のシリコン含有誘電体層704は、比較的疎水性ではない(例えば、親水性である)。
矢印730で示されるように、本開示の例示的な態様による有機ラジカルベースの表面処理プロセスが実施された後には、図10の705に示されるように、シリコン含有誘電体層704の表面特性が、より疎水性に変化させられ得る。このことは、表面処理プロセス中にシリコン含有誘電体層704を有機ラジカル(例えば、CH)に曝すことから結果的に生じ得る。図示のように、湿式プロセス中に使用された化学溶液720は、シリコン含有誘電体層704の表面上で玉状になり得る。このようにして、本開示の例示的な態様による有機ラジカルベースの表面処理プロセスを使用して、表面濡れ角を調整することができ、これによって、湿式クリーニングプロセスおよび/または湿式エッチングプロセスのような湿式プロセスの改善が可能となる。
本開示の例示的な態様は、例示および説明の目的で、シリコン含有誘電体材料(例えば、酸化シリコンおよび/または窒化シリコン)の表面濡れ角の調整を参照しながら説明される。本明細書で提供される開示を使用する当業者は、本開示の範囲から逸脱することなく、本開示の例示的な態様による有機ラジカルベースの表面処理プロセスを使用して、被加工物上の他の適切な材料の特性を調整することができることを理解するであろう。
図11は、本開示の例示的な態様による、半導体デバイスを製造するための1つの例示的な方法(800)のフローチャートを示す。方法(800)は、例として、図3のプラズマ処理装置100を参照しながら説明される。方法(800)は、例えば、分離グリッドによって第2のチャンバから分離された第1のチャンバを有する任意の適切な処理装置において実施され得る。図11は、例示および説明の目的で、特定の順序で実施されるステップを示す。本明細書で提供される開示を使用する当業者は、本開示の範囲から逸脱することなく、本明細書で説明される任意の方法の種々のステップが、種々のやり方で省略、拡張、同時実施、再配置、および/または変更され得ることを理解するであろう。さらに、本開示の範囲から逸脱することなく、種々のステップ(図示せず)が実施され得る。
(802)において、本方法は、湿式プロセスを実施することを含むことができる。湿式プロセスは、例えば、湿式クリーニングプロセスおよび/または湿式エッチングプロセスを含むことができる。湿式プロセスは、被加工物を化学溶液(例えば、水性の化学溶液)に曝すことを含むことができる。化学溶液は、例えば、被加工物から種々の残留物または他の材料を除去するために使用され得る。
(804)において、本方法は、プラズマ処理装置の処理チャンバ内に被加工物を配置することを含むことができる。処理チャンバは、プラズマチャンバから分離され得る(例えば、分離グリッドアセンブリによって分離され得る)。例えば、本方法は、処理チャンバ110内のペデスタル112上に被加工物114を配置することを含むことができる。
図11を参照すると、本方法は、本開示の例示的な態様による有機ラジカルベースの表面処理プロセスを実施すること(806)を含むことができる。有機ラジカルベースの表面処理プロセスは、本明細書に開示される任意の有機ラジカルベースの表面処理プロセスであり得る。例えば、有機ラジカルベースの表面処理プロセスは、図4〜7を参照しながら説明された例示的な表面処理プロセスであり得る。有機ラジカルベースの表面処理プロセスは、被加工物の表面の少なくとも一部のメチル化を結果的に生じさせる有機ラジカルベースのプロセスであり得る。
有機ラジカルベースの表面処理プロセスを使用して、被加工物上の材料(例えば、シリコン含有誘電体材料)の表面濡れ角を調整することができる。例えば、有機ラジカルベースの表面処理の特性を利用して、材料をより疎水性にすることができ、これによって、湿式クリーニングプロセス中に使用される化学溶液を、材料上で玉状にすることができる。これにより、被加工物から化学溶液を除去することが容易になり得る。このようにして、表面処理プロセスを使用して、材料腐食および表面劣化を低減させることができ、かつ湿式プロセスの後に被加工物に残っている化学残留物から結果的に生じる粒子形成を低減させることができる。
図11の(808)において、本方法は、処理チャンバから被加工物を取り外すことを含むことができる。例えば、処理チャンバ110内のペデスタル112から被加工物114を取り外すことができる。
図12は、本開示の例示的な態様による、半導体デバイスを製造するための1つの例示的な方法(900)のフローチャートを示す。方法(900)は、例として、図3のプラズマ処理装置100を参照しながら説明される。方法(900)は、例えば、分離グリッドによって第2のチャンバから分離された第1のチャンバを有する任意の適切な処理装置において実施され得る。図12は、例示および説明の目的で、特定の順序で実施されるステップを示す。本明細書で提供される開示を使用する当業者は、本開示の範囲から逸脱することなく、本明細書で説明される任意の方法の種々のステップが、種々のやり方で省略、拡張、同時実施、再配置、および/または変更され得ることを理解するであろう。さらに、本開示の範囲から逸脱することなく、種々のステップ(図示せず)が実施され得る。
(902)において、本方法は、プラズマ処理装置の処理チャンバ内に被加工物を配置することを含むことができる。処理チャンバは、プラズマチャンバから分離され得る(例えば、分離グリッドアセンブリによって分離され得る)。例えば、本方法は、処理チャンバ110内のペデスタル112上に被加工物114を配置することを含むことができる。
(904)において、本方法は、本開示の例示的な態様による有機ラジカルベースの表面処理プロセスを実施することを含むことができる。有機ラジカルベースの表面処理プロセスは、本明細書に開示される任意の有機ラジカルベースの表面処理プロセスであり得る。例えば、有機ラジカルベースの表面処理プロセスは、図4〜7を参照しながら説明された例示的な表面処理プロセスであり得る。有機ラジカルベースの表面処理プロセスは、被加工物の表面の少なくとも一部のメチル化を結果的に生じさせるメチルラジカルベースのプロセスであり得る。
有機ラジカルベースの表面処理プロセスを使用して、被加工物上の材料(例えば、シリコン含有誘電体材料)の表面濡れ角を調整することができる。例えば、有機ラジカルベースの表面処理の特性を利用して、材料をより疎水性にすることができ、これによって、湿式クリーニングプロセス中に使用される化学溶液を、材料上で玉状にすることができる。
図12の(906)において、本方法は、処理チャンバから被加工物を取り外すことを含むことができる。例えば、処理チャンバ110内のペデスタル112から被加工物114を取り外すことができる。
(908)において、本方法は、湿式プロセスを実施することを含むことができる。湿式プロセスは、例えば、湿式クリーニングプロセスおよび/または湿式エッチングプロセスを含むことができる。湿式プロセスは、被加工物を化学溶液(例えば、液状の化学溶液)に曝すことを含むことができる。化学溶液は、例えば、被加工物から種々の残留物または他の材料を除去するために使用され得る。
有機ラジカルベースの表面処理プロセスを、湿式プロセスの前に実施することにより、被加工物上の種々の材料の表面濡れ角を調整して、湿式プロセス中のプロセス性能を向上させることができる。これにより、パターニングされた構造の上および内部における化学クリーニングを容易にすることが可能となり、それと同時に、高アスペクト比のパターンの崩壊を回避するために、表面張力を調整することが可能となる。さらに、有機ラジカルベースの表面処理プロセスは、有機基の安定した層によって表面を不動態化させることができる。これにより、被加工物の材料に対する化学的な攻撃を低減させることができ、かつ不所望な材料損失または材料変化(例えば、酸化)を低減させることができる。
本主題を、その特定の例示的な実施形態に関して詳細に説明してきたが、当業者は、前述したことを理解すれば、そのような実施形態の代替形態、変形形態、および等価形態を容易に生成し得ることが理解されるであろう。したがって、本開示の範囲は、限定ではなく例としてのものであり、本主題の開示は、当業者には容易に明らかであるように、本主題に対するそのような修正、変形、および/または追加を包含することを排除するものではない。

Claims (24)

  1. 被加工物を処理するための方法であって、
    前記被加工物は、半導体材料を含み、
    当該方法は、前記被加工物に対して有機ラジカルベースの表面処理プロセスを実施するステップを含み、
    前記有機ラジカルベースの表面処理プロセスは、
    第1のチャンバ内で1つまたは複数の種を生成するステップと、
    1つまたは複数の有機ラジカルを含む混合物を生成するために、1つまたは複数の炭化水素分子を前記種と混合するステップと、
    第2のチャンバ内で前記半導体材料を前記混合物に曝すステップと、
    を含む、
    方法。
  2. 前記半導体材料は、シリコンを含む、請求項1記載の方法。
  3. 前記半導体材料は、シリコンゲルマニウムを含む、請求項1記載の方法。
  4. 前記1つまたは複数の有機ラジカルを、前記第1のチャンバ内で前記1つまたは複数の炭化水素分子を解離させることによって生成する、請求項1記載の方法。
  5. 前記1つまたは複数の炭化水素分子は、C2n+2の化学式を有し、
    nは、1以上かつ10以下である、
    請求項1記載の方法。
  6. 前記1つまたは複数の炭化水素分子は、C2nの化学式を有し、
    nは、2以上かつ10以下である、
    請求項1記載の方法。
  7. 前記1つまたは複数の有機ラジカルを、前記1つまたは複数の炭化水素分子と前記種との反応によって生成する、請求項1記載の方法。
  8. 前記1つまたは複数の有機ラジカルは、CHラジカルを含む、請求項1記載の方法。
  9. 前記有機ラジカルベースの表面処理プロセスは、前記半導体材料上の少なくとも一部のメチル化を結果的に生じさせる、請求項1記載の方法。
  10. 前記1つまたは複数の種を、前記第1のチャンバ内のプロセスガス中で誘導されたプラズマによって生成する、請求項1記載の方法。
  11. 前記プロセスガスは、不活性ガスである、請求項10記載の方法。
  12. 前記不活性ガスは、ヘリウムである、請求項11記載の方法。
  13. 前記プロセスガスは、水素ガスを含み、
    前記種は、水素ラジカルを含む、
    請求項10記載の方法。
  14. 前記種は、加熱されたフィラメントを使用して生成された1つまたは複数の水素ラジカルを含む、請求項1記載の方法。
  15. 前記1つまたは複数の有機ラジカルを、分子の熱分解を使用して、またはUVアシストによる分子解離を使用して生成する、請求項1記載の方法。
  16. 当該方法は、前記第1のチャンバを前記第2のチャンバから分離する分離グリッドを使用して、1つまたは複数のイオンをフィルタリングするステップを含む、請求項10記載の方法。
  17. 前記被加工物は、シリコン含有誘電体層を含む、請求項1記載の方法。
  18. 前記有機ラジカルベースの表面処理プロセスを、前記シリコン含有誘電体層に対して実施して、当該シリコン含有誘電体層の表面濡れ角を調整する、請求項17記載の方法。
  19. 当該方法は、前記有機ラジカルベースの表面処理プロセスを実施した後に、前記被加工物に対して湿式プロセスを実施するステップを含む、請求項18記載の方法。
  20. 当該方法は、前記有機ラジカルベースの表面処理プロセスを実施する前に、前記被加工物に対して湿式プロセスを実施するステップを含む、請求項18記載の方法。
  21. 前記シリコン含有誘電体層は、酸化シリコン層を含み、
    前記酸化シリコン層におけるシリコンに対する酸素の比は、1を超える、
    請求項17記載の方法。
  22. 前記シリコン含有誘電体層は、窒化シリコン層を含み、
    前記窒化シリコン層におけるシリコンに対する窒素の比は、0.5を超える、
    請求項17記載の方法。
  23. 半導体被加工物を処理する方法であって、
    前記被加工物は、半導体材料を含み、
    前記半導体材料は、シリコンまたはシリコンゲルマニウムを含み、
    当該方法は、前記半導体材料に対して有機ラジカルベースの表面処理プロセスを実施するステップを含み、
    前記有機ラジカルベースの表面処理プロセスは、
    誘導結合プラズマ源によって不活性ガス中でプラズマを誘導することにより、プラズマチャンバ内の不活性ガス中で1つまたは複数の励起種を生成するステップと、
    1つまたは複数の炭化水素分子を前記励起種と混合することにより、1つまたは複数の有機ラジカルを生成するステップであって、前記1つまたは複数の炭化水素分子は、C2n+2またはC2nの化学式を有し、nは、1以上かつ10以下である、ステップと、
    分離グリッドによって前記プラズマチャンバから分離されている処理チャンバ内で、前記半導体材料を前記有機ラジカルに曝すステップと、
    を含む、
    方法。
  24. 前記1つまたは複数の有機ラジカルは、メチル(CH)ラジカルを含む、請求項23記載の方法。
JP2020519096A 2017-10-03 2018-09-19 有機ラジカルを使用したシリコンまたはシリコンゲルマニウム表面の表面処理 Active JP7021344B2 (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201762567295P 2017-10-03 2017-10-03
US62/567,295 2017-10-03
US15/958,560 2018-04-20
US15/958,560 US10354883B2 (en) 2017-10-03 2018-04-20 Surface treatment of silicon or silicon germanium surfaces using organic radicals
PCT/US2018/051699 WO2019070402A1 (en) 2017-10-03 2018-09-19 SURFACE TREATMENT OF SILICON OR SILICON SURFACES AND GERMANIUM USING ORGANIC RADICALS

Publications (2)

Publication Number Publication Date
JP2020536387A true JP2020536387A (ja) 2020-12-10
JP7021344B2 JP7021344B2 (ja) 2022-02-16

Family

ID=65896836

Family Applications (3)

Application Number Title Priority Date Filing Date
JP2020519096A Active JP7021344B2 (ja) 2017-10-03 2018-09-19 有機ラジカルを使用したシリコンまたはシリコンゲルマニウム表面の表面処理
JP2020519055A Active JP6991323B2 (ja) 2017-10-03 2018-09-19 有機ラジカルを使用した炭素含有膜の表面処理
JP2020519129A Active JP6991324B2 (ja) 2017-10-03 2018-09-19 有機前駆体を使用した遠隔のプラズマによる、シリコンと炭素とを含有する膜の表面処理

Family Applications After (2)

Application Number Title Priority Date Filing Date
JP2020519055A Active JP6991323B2 (ja) 2017-10-03 2018-09-19 有機ラジカルを使用した炭素含有膜の表面処理
JP2020519129A Active JP6991324B2 (ja) 2017-10-03 2018-09-19 有機前駆体を使用した遠隔のプラズマによる、シリコンと炭素とを含有する膜の表面処理

Country Status (6)

Country Link
US (5) US10354883B2 (ja)
JP (3) JP7021344B2 (ja)
KR (3) KR20200039809A (ja)
CN (3) CN111433895B (ja)
TW (3) TWI743396B (ja)
WO (3) WO2019070404A1 (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2022094344A (ja) * 2020-12-14 2022-06-24 マトソン テクノロジー インコーポレイテッド プラズマ・熱加工システムを備えたワークピース加工装置
JP2022094345A (ja) * 2020-12-14 2022-06-24 マトソン テクノロジー インコーポレイテッド プラズマ・熱加工システムを備えたワークピース加工装置

Families Citing this family (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102363121B1 (ko) 2018-06-11 2022-02-15 매슨 테크놀로지 인크 워크피스의 처리를 위한 수소 반응성 종의 생성
US11495456B2 (en) 2018-10-15 2022-11-08 Beijing E-Town Semiconductor Technology, Co., Ltd Ozone for selective hydrophilic surface treatment
US10950416B2 (en) 2018-11-16 2021-03-16 Mattson Technology, Inc. Chamber seasoning to improve etch uniformity by reducing chemistry
US10403492B1 (en) * 2018-12-11 2019-09-03 Mattson Technology, Inc. Integration of materials removal and surface treatment in semiconductor device fabrication
WO2020131989A1 (en) 2018-12-21 2020-06-25 Mattson Technology, Inc. Surface smoothing of workpieces
US11380523B2 (en) * 2019-02-14 2022-07-05 Hitachi High-Tech Corporation Semiconductor manufacturing apparatus
TW202111144A (zh) * 2019-04-30 2021-03-16 美商得昇科技股份有限公司 使用甲基化處理的選擇性沉積
CN110335802B (zh) * 2019-07-11 2022-03-22 北京北方华创微电子装备有限公司 预清洗腔室及其过滤装置
US11189464B2 (en) * 2019-07-17 2021-11-30 Beijing E-town Semiconductor Technology Co., Ltd. Variable mode plasma chamber utilizing tunable plasma potential
CN110491760B (zh) * 2019-08-23 2020-09-15 江苏鲁汶仪器有限公司 一种法拉第清洗装置及等离子体处理***
WO2021041389A1 (en) * 2019-08-28 2021-03-04 Mattson Technology, Inc. Methods for processing a workpiece using fluorine radicals
CN110349830B (zh) * 2019-09-09 2020-02-14 北京北方华创微电子装备有限公司 等离子体***以及应用于等离子体***的过滤装置
CN116057672A (zh) * 2020-08-20 2023-05-02 应用材料公司 用于氮化钛膜的处理方法
EP4068000A1 (en) * 2021-03-30 2022-10-05 ASML Netherlands B.V. Conditioning apparatus and method
KR102585950B1 (ko) * 2021-05-24 2023-10-05 성균관대학교산학협력단 그리드 및 기판의 전위 제어를 이용한 건식 식각 방법

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010163331A (ja) * 2009-01-19 2010-07-29 Toshiba Corp カーボンナノチューブ成長方法とカーボンナノチューブ束形成基板
JP2010541167A (ja) * 2007-09-27 2010-12-24 東京エレクトロン株式会社 負イオンプラズマを生成する処理システム
JP2011253832A (ja) * 2008-07-24 2011-12-15 Canon Anelva Corp レジストトリミング方法及びトリミング装置
JP2013519217A (ja) * 2010-02-01 2013-05-23 ラム リサーチ コーポレーション 高アスペクト比ナノ構造におけるパターン崩壊の低減方法
JP2017526179A (ja) * 2014-08-06 2017-09-07 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 上流のプラズマ源を使用するチャンバ後の軽減

Family Cites Families (78)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5275798A (en) * 1986-07-11 1994-01-04 Kyocera Corporation Method for producing diamond films
US4885067A (en) * 1987-08-31 1989-12-05 Santa Barbara Research Center In-situ generation of volatile compounds for chemical vapor deposition
US5811022A (en) * 1994-11-15 1998-09-22 Mattson Technology, Inc. Inductive plasma reactor
JPH09190979A (ja) * 1996-01-10 1997-07-22 Nec Corp 選択シリコンエピタキシャル成長方法及び成長装置
DE19744060C2 (de) 1997-10-06 1999-08-12 Fraunhofer Ges Forschung Verfahren und Vorrichtung zur Oberflächenbehandlung von Substraten
EP0948080A1 (fr) * 1998-03-31 1999-10-06 Koninklijke Philips Electronics N.V. Appareil électronique portable muni d'une antenne
US6028015A (en) * 1999-03-29 2000-02-22 Lsi Logic Corporation Process for treating damaged surfaces of low dielectric constant organo silicon oxide insulation material to inhibit moisture absorption
JP3365554B2 (ja) * 2000-02-07 2003-01-14 キヤノン販売株式会社 半導体装置の製造方法
JP4644964B2 (ja) * 2001-04-04 2011-03-09 ソニー株式会社 多結晶性半導体薄膜の形成方法、及び半導体装置の製造方法
US6989108B2 (en) 2001-08-30 2006-01-24 Micron Technology, Inc. Etchant gas composition
US7541200B1 (en) 2002-01-24 2009-06-02 Novellus Systems, Inc. Treatment of low k films with a silylating agent for damage repair
JP4863182B2 (ja) * 2002-01-31 2012-01-25 東ソー株式会社 有機シラン化合物を含んでなる絶縁膜用材料、その製造方法および半導体デバイス
JP5117755B2 (ja) * 2002-05-08 2013-01-16 ルネサスエレクトロニクス株式会社 半導体装置
JP4034227B2 (ja) * 2002-05-08 2008-01-16 Necエレクトロニクス株式会社 半導体装置の製造方法
JP2003332317A (ja) * 2002-05-16 2003-11-21 Japan Steel Works Ltd:The プラズマを用いたレジスト剥離装置及び方法
US20040086434A1 (en) * 2002-11-04 2004-05-06 Gadgil Pradad N. Apparatus and method for treating objects with radicals generated from plasma
US7711675B2 (en) * 2002-07-22 2010-05-04 Microsoft Corporation Database simulation of data types
US6677251B1 (en) * 2002-07-29 2004-01-13 Taiwan Semiconductor Manufacturing Co., Ltd Method for forming a hydrophilic surface on low-k dielectric insulating layers for improved adhesion
US20040154743A1 (en) * 2002-11-29 2004-08-12 Savas Stephen E. Apparatus and method for low temperature stripping of photoresist and residues
KR101127294B1 (ko) 2003-02-14 2012-03-30 어플라이드 머티어리얼스, 인코포레이티드 수소-함유 라디칼을 이용한 자연 산화물 세정
US7256134B2 (en) * 2003-08-01 2007-08-14 Applied Materials, Inc. Selective etching of carbon-doped low-k dielectrics
WO2005029550A2 (en) * 2003-09-16 2005-03-31 The Trustees Of Columbia University In The City Of New York Method and system for producing crystalline thin films with a uniform crystalline orientation
JP2005093688A (ja) * 2003-09-17 2005-04-07 Jsr Corp 半導体装置および半導体装置の製造方法
JP2005268312A (ja) * 2004-03-16 2005-09-29 Semiconductor Leading Edge Technologies Inc レジスト除去方法及びそれを用いて製造した半導体装置
JP4470557B2 (ja) 2004-03-31 2010-06-02 日本電気株式会社 携帯電話機
US20050233555A1 (en) * 2004-04-19 2005-10-20 Nagarajan Rajagopalan Adhesion improvement for low k dielectrics to conductive materials
US7539329B2 (en) * 2004-07-01 2009-05-26 Hewlett-Packard Development Company, L.P. Method and apparatus for enhancing the usability of an electronic device having an integrated fingerprint sensor
US20060081273A1 (en) * 2004-10-20 2006-04-20 Mcdermott Wayne T Dense fluid compositions and processes using same for article treatment and residue removal
CA2575479C (en) * 2005-03-25 2012-05-22 Institut National De La Recherche Scientifique Methods and apparatuses for purifying carbon filamentary structures
US7642195B2 (en) 2005-09-26 2010-01-05 Applied Materials, Inc. Hydrogen treatment to improve photoresist adhesion and rework consistency
US7901743B2 (en) 2005-09-30 2011-03-08 Tokyo Electron Limited Plasma-assisted vapor phase treatment of low dielectric constant films using a batch processing system
US7695567B2 (en) 2006-02-10 2010-04-13 Applied Materials, Inc. Water vapor passivation of a wall facing a plasma
CN101378850A (zh) * 2006-02-21 2009-03-04 应用材料股份有限公司 加强用于介电膜层的远程等离子体源清洁
US20080026140A1 (en) * 2006-07-25 2008-01-31 Clark E Bradley Graffiti furniture
US7500397B2 (en) * 2007-02-15 2009-03-10 Air Products And Chemicals, Inc. Activated chemical process for enhancing material properties of dielectric films
JP4884268B2 (ja) * 2007-03-22 2012-02-29 東京エレクトロン株式会社 アッシング方法
JP4823952B2 (ja) * 2007-03-26 2011-11-24 三菱電機株式会社 半導体装置の製造方法
US7807579B2 (en) 2007-04-19 2010-10-05 Applied Materials, Inc. Hydrogen ashing enhanced with water vapor and diluent gas
KR100777043B1 (ko) 2007-05-22 2007-11-16 주식회사 테스 비정질 탄소막 형성 방법 및 이를 이용한 반도체 소자의제조 방법
US7838426B2 (en) * 2007-08-20 2010-11-23 Lam Research Corporation Mask trimming
JP5173396B2 (ja) * 2007-12-25 2013-04-03 大陽日酸株式会社 絶縁膜のダメージ回復処理方法
SG188848A1 (en) * 2008-03-07 2013-04-30 Advanced Tech Materials Non-selective oxide etch wet clean composition and method of use
US8805844B2 (en) * 2008-08-04 2014-08-12 Liveperson, Inc. Expert search
US8105465B2 (en) * 2008-10-14 2012-01-31 Applied Materials, Inc. Method for depositing conformal amorphous carbon film by plasma-enhanced chemical vapor deposition (PECVD)
DE102009023379B4 (de) * 2009-05-29 2014-08-21 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Erzeugen einer hydrophoben Oberfläche empfindlicher Dielektrika mit kleinem ε von Mikrostrukturbauelementen durch eine in-situ-Plasmabehandlung
US8501145B2 (en) 2009-07-12 2013-08-06 Mahmood Ghoanneviss Method for growing carbon nanowalls
NO345393B1 (no) * 2010-03-12 2021-01-18 Optoplan As Roterende ledd/svivelanordning
WO2012122186A2 (en) * 2011-03-07 2012-09-13 Entegris, Inc. Chemical mechanical planarization pad conditioner
US9653327B2 (en) 2011-05-12 2017-05-16 Applied Materials, Inc. Methods of removing a material layer from a substrate using water vapor treatment
US8741775B2 (en) * 2011-07-20 2014-06-03 Applied Materials, Inc. Method of patterning a low-K dielectric film
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
KR101659594B1 (ko) 2011-08-19 2016-09-23 맷슨 테크놀로지, 인크. 고효율 플라즈마 소스
US8575041B2 (en) * 2011-09-15 2013-11-05 Globalfoundries Inc. Repair of damaged surface areas of sensitive low-K dielectrics of microstructure devices after plasma processing by in situ treatment
KR101321424B1 (ko) 2011-11-22 2013-10-22 김일욱 반도체 소자의 표면 처리 및 박막 성장 방법, 그리고 이를 구현하는 표면 처리 및 박막 성장 장치
JP5398853B2 (ja) * 2012-01-26 2014-01-29 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
KR101331566B1 (ko) * 2012-03-28 2013-11-21 한국과학기술연구원 나노결정다이아몬드 박막 및 그 제조방법
JPWO2013153777A1 (ja) * 2012-04-11 2015-12-17 東京エレクトロン株式会社 半導体装置の製造方法、半導体装置、半導体製造装置
KR101897062B1 (ko) * 2012-05-31 2018-09-12 엘지이노텍 주식회사 탄화규소 에피 웨이퍼 및 이의 제조 방법
KR101692170B1 (ko) * 2012-07-18 2017-01-02 도쿄엘렉트론가부시키가이샤 반도체 장치의 제조 방법
US20140099794A1 (en) * 2012-09-21 2014-04-10 Applied Materials, Inc. Radical chemistry modulation and control using multiple flow pathways
US8821987B2 (en) 2012-12-17 2014-09-02 Intermolecular, Inc. Combinatorial processing using a remote plasma source
US10006121B2 (en) * 2013-03-14 2018-06-26 Eugene Technology Co., Ltd. Method and apparatus for manufacturing three-dimensional-structure memory device
EP2976676B1 (en) * 2013-03-22 2018-07-04 Vlyte Innovations Limited An electrophoretic device having a transparent light state
US20140342569A1 (en) * 2013-05-16 2014-11-20 Applied Materials, Inc. Near surface etch selectivity enhancement
US20150239759A1 (en) * 2014-02-25 2015-08-27 Energy Onvector, LLC Microbubble Generator for Enhanced Plasma Treatment of Liquid
US9190290B2 (en) * 2014-03-31 2015-11-17 Applied Materials, Inc. Halogen-free gas-phase silicon etch
US9469912B2 (en) 2014-04-21 2016-10-18 Lam Research Corporation Pretreatment method for photoresist wafer processing
US9412581B2 (en) * 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US20160049293A1 (en) * 2014-08-14 2016-02-18 Air Products And Chemicals, Inc. Method and composition for providing pore sealing layer on porous low dielectric constant films
US9735009B2 (en) 2014-09-15 2017-08-15 Applied Materials, Inc. Pre-clean of silicon germanium for pre-metal contact at source and drain and pre-high K at channel
US9502255B2 (en) * 2014-10-17 2016-11-22 Lam Research Corporation Low-k damage repair and pore sealing agents with photosensitive end groups
CN105762109B (zh) * 2014-12-19 2019-01-25 中芯国际集成电路制造(上海)有限公司 半导体结构的形成方法
US9881805B2 (en) * 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10475626B2 (en) * 2015-03-17 2019-11-12 Applied Materials, Inc. Ion-ion plasma atomic layer etch process and reactor
US10280512B2 (en) * 2015-07-27 2019-05-07 Varian Semiconductor Equipment Associates, Inc. Apparatus and method for carbon film deposition profile control
JP2017050304A (ja) * 2015-08-31 2017-03-09 東京エレクトロン株式会社 半導体装置の製造方法
TWI697940B (zh) 2016-02-26 2020-07-01 美商得昇科技股份有限公司 使用icp剝離劑的分層植入型光阻剝離製程
US9837270B1 (en) 2016-12-16 2017-12-05 Lam Research Corporation Densification of silicon carbide film using remote plasma treatment

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010541167A (ja) * 2007-09-27 2010-12-24 東京エレクトロン株式会社 負イオンプラズマを生成する処理システム
JP2011253832A (ja) * 2008-07-24 2011-12-15 Canon Anelva Corp レジストトリミング方法及びトリミング装置
JP2010163331A (ja) * 2009-01-19 2010-07-29 Toshiba Corp カーボンナノチューブ成長方法とカーボンナノチューブ束形成基板
JP2013519217A (ja) * 2010-02-01 2013-05-23 ラム リサーチ コーポレーション 高アスペクト比ナノ構造におけるパターン崩壊の低減方法
JP2017526179A (ja) * 2014-08-06 2017-09-07 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 上流のプラズマ源を使用するチャンバ後の軽減

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2022094344A (ja) * 2020-12-14 2022-06-24 マトソン テクノロジー インコーポレイテッド プラズマ・熱加工システムを備えたワークピース加工装置
JP2022094345A (ja) * 2020-12-14 2022-06-24 マトソン テクノロジー インコーポレイテッド プラズマ・熱加工システムを備えたワークピース加工装置
JP7311577B2 (ja) 2020-12-14 2023-07-19 マトソン テクノロジー インコーポレイテッド プラズマ・熱加工システムを備えたワークピース加工装置
JP7315644B2 (ja) 2020-12-14 2023-07-26 マトソン テクノロジー インコーポレイテッド プラズマ・熱加工システムを備えたワークピース加工装置

Also Published As

Publication number Publication date
TWI715872B (zh) 2021-01-11
CN111433893A (zh) 2020-07-17
JP6991323B2 (ja) 2022-01-12
CN111433896B (zh) 2023-04-21
TW201915211A (zh) 2019-04-16
JP2020536389A (ja) 2020-12-10
TW201933431A (zh) 2019-08-16
KR20200039815A (ko) 2020-04-16
CN111433896A (zh) 2020-07-17
US20190103270A1 (en) 2019-04-04
US11062910B2 (en) 2021-07-13
US10269574B1 (en) 2019-04-23
KR20200039017A (ko) 2020-04-14
JP7021344B2 (ja) 2022-02-16
TW201928105A (zh) 2019-07-16
CN111433893B (zh) 2024-04-02
CN111433895A (zh) 2020-07-17
WO2019070402A1 (en) 2019-04-11
JP6991324B2 (ja) 2022-02-03
US10910228B2 (en) 2021-02-02
US20190103279A1 (en) 2019-04-04
US10354883B2 (en) 2019-07-16
KR20200039809A (ko) 2020-04-16
TWI743396B (zh) 2021-10-21
WO2019070403A1 (en) 2019-04-11
JP2020536385A (ja) 2020-12-10
US20190214262A1 (en) 2019-07-11
US10804109B2 (en) 2020-10-13
US20190304793A1 (en) 2019-10-03
WO2019070404A1 (en) 2019-04-11
CN111433895B (zh) 2023-04-07
US20190103280A1 (en) 2019-04-04

Similar Documents

Publication Publication Date Title
JP7021344B2 (ja) 有機ラジカルを使用したシリコンまたはシリコンゲルマニウム表面の表面処理
US10403492B1 (en) Integration of materials removal and surface treatment in semiconductor device fabrication
TW202032661A (zh) 用於移除硬遮罩之以水蒸氣為基礎的含氟電漿
JP2021530102A (ja) エッチング後の脱フッ素化プロセス
US11495456B2 (en) Ozone for selective hydrophilic surface treatment
WO2020236920A1 (en) Surface pretreatment process to improve quality of oxide films produced by remote plasma
JP7311628B2 (ja) メチル化処理を使用した選択的な堆積

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20200527

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20210623

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20210628

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210924

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20220105

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20220203

R150 Certificate of patent or registration of utility model

Ref document number: 7021344

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150