CN101378850A - 加强用于介电膜层的远程等离子体源清洁 - Google Patents

加强用于介电膜层的远程等离子体源清洁 Download PDF

Info

Publication number
CN101378850A
CN101378850A CNA2006800530463A CN200680053046A CN101378850A CN 101378850 A CN101378850 A CN 101378850A CN A2006800530463 A CNA2006800530463 A CN A2006800530463A CN 200680053046 A CN200680053046 A CN 200680053046A CN 101378850 A CN101378850 A CN 101378850A
Authority
CN
China
Prior art keywords
treatment chamber
chamber
species
reactive
oxygen
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CNA2006800530463A
Other languages
English (en)
Inventor
T·诺瓦克
K·S·伊姆
S-Y·B·唐
K·D·李
V·N·T·恩古耶
D·辛格尔顿
M·J·西蒙斯
K·杰纳基拉曼
G·巴拉苏布拉马尼恩
M·阿优伯
W·H·叶
A·T·迪莫斯
H·M'沙迪
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN101378850A publication Critical patent/CN101378850A/zh
Pending legal-status Critical Current

Links

Images

Landscapes

  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

本发明揭示用以清洁用来处理含碳膜层(例如非晶形碳膜层,含硅与碳的阻障膜层,以及含硅、氧与碳的低介电常数膜层)的半导体处理腔室的方法。此方法包含在腔室中不存在有RF功率下使用一远程等离子体源以产生活性物质,其中该活性物质系清洁腔室的内表面。活性物质是从一含氧气体(例如O2)与/或一含卤素气体(例如NF3)所产生。一基于氧气的灰化过程也可以在腔室暴露于来自远程等离子体源的活性物质之前被用以从腔室内表面除去碳沉积物。

Description

加强用于介电膜层的远程等离子体源清洁
技术领域
本发明的实施例大体上系有关于使用一远程等离子体源来清洁处理腔室的方法。
背景技术
集成电路几何规模的尺寸已经戏剧般地减少,这是因为这样的器件在数十年前被首先引入。从那时以来,集成电路大致上依循着两年/一半尺寸的规则(通常被称为摩尔定律(Moore’s Law)),其意谓着芯片上的器件数目每两年会加倍。今日的制造设施系惯例地在制造具有0.13微米且甚至0.1微米特征尺寸的器件,且明日的设施将会制造具有甚至更小特征尺寸的器件。
有助于这样的小器件尺寸的发展的一即是图案化膜层的发展,其中这些图案化膜层可以被精细地图案化,且具有经由基材下方层次来转移精细图案的能力。这样的图案化膜层的一实例为非晶形碳膜层,例如APFTM膜层,其可以由美国加州圣大克劳拉市的Applied Materials,lnc.公司所获得。
在半导体器件制造中使用非晶形碳图案化膜层已经产生了除去含碳材料的方法的需求,其中该含碳材料系不乐见地被产生在用以处理(例如沉积或蚀刻)非晶形碳图案化膜层的腔室的内表面上(例如侧壁与腔室部件)。使用被腔室中原位射频(RF)功率所活化的氧(O2)做为清洁气体的清洁过程已经被发展出用来清洁适用以沉积非晶形碳膜层的腔室。然而,需要以除去含碳沉积物的原位RF功率会损坏腔室部件。使用被远程等离子体源所活化的氧O2做为清洁气体的清洁过程可以降低或消除清洁过程期间的腔室损坏。但是,许多被远程等离子体源产生的氧自由基会在达到足够的腔室清洁之前再结合。例如,氧自由基可能会在其抵达需要清洁的腔室的所有区域之前再结合以形成O2
器件几何规模的持续减少也已经对于具有低介电常数(k)值的膜层产生需求。具有k值低于约3.0且甚至低于约2.5的低介电常数膜层(例如有机硅膜层(SiCOH膜层))已经被发展出。这些膜层拥有高碳含量。低介电常数SiCOH膜层常常与含硅与碳的阻障膜层一起被使用。
具有高碳含量的低介电常数膜层的发展已经产生了除去含碳材料的方法的需求,其中该含碳材料系被沉积在用来处理低介电常数膜层的腔室的内表面上。已经发现到的是,用来除去源自其它介电膜层(例如不含碳的氧化硅膜层)的材料的方法已经具有不乐见的负效果,且不总是足以从源自低介电常数膜层(其具有高碳含量)的沉积物除去碳。例如,已经观察到的是,通过提供原位射频(RF)功率至一低介电常数有机硅膜层沉积腔室以清洁此腔室会造成污染氟化铝微粒的形成(其中该RF功率系会活化足够的NF3来清洁碳沉积物),这是因为RF功率所产生的氟离子会与铝结合,其中铝常常被用做为处理腔室中的衬里材料。
从处理腔室中除去污染微粒渐渐地变为重要,因为器件尺寸变得更小,且深宽比(aspect ratio)变得更关键。随着更小的特征尺寸与更大的深宽比,污染微粒的尺寸与数目必须被减少以维持器件效能。
因此,存在有一种能够有效率地清洁处理腔室且减少污染物形成的方法的需求。特别地,存在有一种清洁用来处理膜层(其具有高碳含量,例如非晶形碳膜层)的腔室的方法的需求,其中这些膜层能够被用做为图案化膜层、低介电常数有机硅膜层、以及含硅与碳阻障膜层。
发明内容
本发明大体上系有关于清洁半导体处理腔室的方法。通过使用本文描述的方法,可以清洁用来处理含碳膜层与其内表面上具有含碳沉积物的半导体处理腔室。例如,通过使用本文描述的方法,可以清洁用来处理非晶形碳膜层、含硅与碳阻障膜层以及含硅、氧与碳的低介电常数膜层的半导体处理腔室。
在一实施例中,一种清洁一具有含碳沉积物的处理腔室的方法系包含:在一远程等离子体源中从一含氧气体产生活性氧物质,其中该远程等离子体源连接至该处理腔室;在该远程等离子体源中从一含氮气体产生活性氮物质;导引该活性氧物质与该活性氮物质进入该处理腔室;以及在腔室中不存在有RF功率下将该处理腔室的多个内表面暴露于该活性氧物质与该活性氮物质。腔室具有一气体散布组件与多个腔室壁,气体散布组件包含一面板,气体散布组件与腔室壁在清洁过程期间皆被加热至一温度,较佳为至少150℃。活性氟物质也可以从远程等离子体源被导入用来沉积含硅与碳膜层的处理腔室,而用来沉积不含硅膜层的处理腔室系被清洁而不使用活性氟物质。
在另一实施例中,一种清洁一处理腔室的方法系包含:在该处理腔室中执行一基于氧气的灰化过程;在一远程等离子体源中从一含卤素气体产生活性物质,其中该远程等离子体源连接至该处理腔室;导引来自该含卤素气体的活性氧物质进入该处理腔室;以及将该处理腔室的多个内表面暴露于该活性物质。
基于氧气的灰化过程系包含导入一含氧气体至该处理腔室,以及在该处理腔室中施加RF功率以从含氧气体产生活性氧物质。基于氧气的灰化过程可以被执行为一步骤。替代性地,基于氧气的灰化过程可以被执行于两个步骤中,其一步骤系用以清洁处理腔室的面板,且另一步骤系用以清洁处理腔室的其它内表面。RF功率系在基于氧气的灰化过程之后被终止,且处理腔室的内表面系在不存在有RF功率下被暴露于来自远程等离子体源的活性物质。基于氧气的灰化过程用以从腔室内表面除去含碳沉积物,且来自远程等离子体源的活性物质用以接续地从腔室内表面除去含硅与氧沉积物。在一较佳实施例中,使用在基于氧气的灰化过程中的含氧气体为氧(O2),提供原端等离子体源中活性物质的含卤素气体为三氟化氮(NF3)。
附图说明
本发明之前述特征可以通过参照实施例详细地被了解,其中一些实施例系绘示于附图中。然而,必须注意的是,附图仅绘示出本发明的典型实施例,且因此不被认定会限制本发明范围,本发明可以具有其它等效的实施例。
第1图为一流程图,其系绘制清洁一处理腔室的方法的一实施例。
第2图为一处理腔室的截面图,其中该处理腔室可以根据本发明实施例被清洁。
第3图为一流程图,其系绘制清洁一处理腔室的方法的另一实施例。
第4图显示显示内部腔室表面的FTIR光谱的Si-CH3曲线,其中该内部腔室表面系历经根据本发明一实施例所执行的基于氧气的灰化过程。
具体实施方式
本发明大体上系提供用以清洁处理腔室(例如沉积腔室)的方法,其中该处理腔室系用来制造集成电路与半导体器件。这些清洁方法包括有使用产生于远程等离子体源中的活性物质,以从处理腔室清洁含碳沉积物。
本文描述的腔室清洁方法的一实施例系绘制于第1图,且以下将详细地讨论。如步骤100所示,一基于氧气的灰化(ashing)过程被执行于一处理腔室中。如步骤102所示,活性物质系从一含卤素气体被产生在一远程等离子体源中,其中该远程等离子体源连接至处理腔室。如步骤104所示,活性物质被导入处理腔室。如步骤106所示,接着,在腔室内不存在有RF功率时,处理腔室的内表面暴露于活性物质。
可以使用本文方法来清洁的腔室的一实例系为化学气相沉积(CVD)腔室,其可以由美国加州圣大克劳拉市的Applied Materials,lnc.公司所获得。
Figure A200680053046D0009111230QIETU
化学气相沉积腔室具有两个用以沉积碳掺杂氧化硅(例如包含硅、氧、与碳、及其它材料的低介电常数膜层)的隔离区域。一种具有两隔离处理区域的腔室系描述于美国专利US5,855,681中,其在此被并入本文以做为参考。
Figure A200680053046D00092
化学气相沉积腔室具有远程等离子体源可以接附的一端口。拥有从MKS Instruments公司获得的
Figure A200680053046D00093
远程等离子体源的
Figure A200680053046D00094
化学气相沉积腔室可以被使用于本文所描述的方法的实施例中。然而,也可以使用其它处理腔室与远程等离子体源。
下文描述的气体流速系指CVD腔室整体(即两个隔离处理区域)所经历的流速。是以,CVD腔室的每一处理区域所经历的气体流速约为CVD腔室整体所经历的气体流速的一半。虽然实施例的一些实例系以清洁具有两个处理区域的CVD腔室的一处理区域来叙述,在此描述的方法可以被用于清洁具有一个或超过两个处理区域的腔室的一处理区域。
具有两个处理区域与两个远程等离子体源的腔室的一实例系显示于第2图。腔室200在一腔室本体212内具有处理区域218与220,腔室本体212具有壁,壁内具有一加热构件(未示出)。一远程等离子体源250连接至处理区域218,且另一远程等离子体源250连接至处理区域220。一基材支撑件228(其为一加热载座)系通过一杆体226可移动地设置在每一处理区域218、220中,杆体226延伸穿过腔室本体212的底部而连接至一驱动***203。每一处理区域218、220也较佳地包括一气体散布组件208,气体散布组件208系被设置成穿过腔室盖204。每一处理腔室的气体散布组件208包括一气体入口信道240,气体入口信道240会输送气体进入一淋洒头器件242。淋洒头器件242包括一面板246以输送气体进入处理区域218、220。气体散布组件208包括一加热构件(未示出),加热构件会加热气体散布组件208的部件(包括面板246)。
再参阅第1图,基于氧气的灰化过程包括导引一含氧气体进入处理腔室,且施加RF功率于处理腔室中以提供用以产生活性氧物质的等离子体。活性氧物质可以为氧自由基、离子化氧物质、或激化状态的氧物质。含氧气体可以选自例如由O2、O3、CO2、与其组合物所构成的群组中。含氧气体能够以一流速被导入处理腔室。本文所提供的流速与其它处理条件系针对用以处理300毫米基材的腔室,且对于其它基材或腔室尺寸可以被调整。选择性地,含氧气体能够与一稀释载气(例如氩、氮、或氦)一起被导入处理腔室,以增加腔室中等离子体稳定性。基于氧气的灰化过程系被执行在足以除去先前形成于腔室内表面上的碳沉积物的条件下。基于氧气的灰化过程的速率可以通过改变RF功率、间隔、温度、含氧气体的流速、与/或压力来调整。
在基于氧气的灰化过程被执行于处理腔室中之后,处理腔室中RF功率系在远程等离子体源中产生的活性物质被导入腔室之前被停止,如第1图的步骤102与104所示。较佳地,在基于氧气的灰化过程完成之后,在远程等离子体源中产生的活性物质系立即地被导入腔室,使得基于氧气的灰化与使用远程等离子体源中产生的活性物质的清洁系被“接续地(back-to-back)”执行。
通过在远程等离子体源中将一含卤素气体(例如含氟气体或含氯气体)暴露于等离子体条件,活性物质会被产生于远程等离子体源中。可以被使用的含氟气体的实例系包括NF3、CF4、C2F4、C2F6、F2、与其组合。可以被使用的含氯气体的实例系包括CCl4、C2Cl6、Cl2、与其组合。
远程等离子体源所提供用以产生活性物质的功率可以约为例如10千瓦。活性物质可以是自由基、离子化物质、或激化状态的物质。例如,活性物质可以是氟自由基、离子化氟物质、或激化状态的氟物质。活性物质能够以一流速从远程等离子体源被导入处理腔室。处理腔室的内表面暴露于活性物质而持续一段足以除去含硅与氧沉积物的时间,其中在含碳沉积物通过基于氧气的灰化过程从腔室内表面被除去之后这些沉积物系残留在腔室内表面上。
在较佳实施例中,使用于基于氧气的灰化过程中的含氧气体为O2,且提供远程等离子体源中活性物质的含卤素气体为NF3
虽然第1图的实施例系将基于氧气的灰化过程显示且描述为单一步骤100,在其它实施例中,基于氧气的灰化过程包括两个步骤。例如,基于氧气的灰化过程可以包含一用以主要地清洁腔室面板的步骤,以及另一清洁除了面板以外的腔室内表面的步骤。例如,基于氧气的灰化过程可以包括以第一压力与第一面板至基材支撑件间隔来清洁面板,以及接着以第二压力与第二面板至基材支撑件间隔来清洁腔室的其它内表面。较佳者,相对于用来清洁腔室的其它内表面的压力与间隔而言,面板是被清洁在较高压力与较小的面板至基材支撑件间隔。除了压力与间隔的外,其它处理条件(例如温度、RF功率、与含氧气体的流速)在面板清洁与腔室内表面清洁的期间可以不改变,并且可以位在相同于根据第1图实施例的单一步骤基于氧气的灰化过程的条件范围内。
通过利用基于氧气的灰化过程来处理具有硅、碳、与氧沉积物在内表面上的腔室,许多碳沉积物可以被除去,这是因为基于氧气的灰化过程会氧化碳沉积物(例如CO2,CO2为可以轻易地从腔室除去的气体)。第4图显示内部腔室表面在历经根据本发明一实施例所执行的基于氧气的灰化过程(在进行灰化0、30、60与90之后)的傅利叶转换红外线光谱仪(FTIR)光谱。FTIR光谱显示出Si-CH3峰随着基于氧气的灰化过程进行而缩减。所以,在基于氧气的灰化过程之后,残余的沉积物主要为含硅与氧沉积物,其可以通过使用仅由远程等离子体源(即不具有原位RF功率)产生的活性物质来除去。
本发明的较佳实施例系概述于第3图中,且下文将详细地讨论。在第3图的实施例中,产生于远程等离子体源中的活性物质系用以清洁一处理腔室,其中该处理腔室系连接至远程等离子体源而不在处理腔室中于清洁过程期间使用RF功率。如第3图的步骤302所示,活性氧物质与活性氮物质被产生于一连接至处理腔室的远程等离子体源中。接着,活性氧物质与活性氮物质被导入处理腔室(如步骤304所示),并且处理腔室的内表面在处理腔室中不存在有RF功率下系暴露于活性氧物质与活性氮物质以从处理腔室除去含碳沉积物(如步骤306所示)。较佳地,活性氧物质是从O2产生。活性氮物质是从例如N2、N2O、或NO3产生。
活性氧物质与腔室内表面上的含碳沉积物反应,以形成挥发性氧与含碳副产物,其可以轻易地从腔室除去。活性氮物质系促进用以提供活性氧物质的含氧气体的解离。活性氮物质也有助于传送活性氧物质至腔室,且接着在处理腔室中以活化形式来释放活性氧物质。
选择性地,活性氟物质也被产生于远程等离子体源中,且被导入处理腔室。活性氟物质对于从腔室除去含硅沉积物是有用的。若处理腔室不是用来沉积包含硅的膜层(例如仅用来沉积非晶形碳膜层的腔室),较佳是不使用活性氟物质地来清洁腔室,这是因为活性氟物质会与含碳沉积物反应而在腔室表面上形成氟碳聚合物。另一方面,对于用来沉积非晶形碳膜层与SiON介电防反射涂层(dielectric anti-reflective coating,DARC)两者的腔室,所希望的是在清洁过程中包括活性氟物质以除去含硅沉积物。
较佳地,一惰性气体(例如氩、氦、或其它惰性气体)在产生活性物质的期间也存在于远程等离子体源中。惰性气体有助于稳定化远程等离子体源中的压力,且有助于传送活性物质至处理腔室。惰性气体也可以被远程等离子体解离,且促进清洁过程。惰性气体可以根据沉积物的类型被选择以从处理腔室被除去。例如,氦可以被用做为清洁用来沉积含有硅、氧、碳、与氢的低介电常数膜层的处理腔室的惰性气体,而氩可以被用做为清洁用来沉积非晶形碳膜层或含有硅与碳但不含有氧的处理腔室的惰性气体。然而,任何惰性气体可以被用来清洁用来沉积本文所描述任何膜层的腔室。
一旦活性氧物质、活性氮物质、与选择性的活性氟物质为在处理腔室中时,活性物质的清洁活性通过加热气体散布组件(包括面板与腔室壁)至至少约150℃温度来提升。加热这些腔室表面系通过在腔室中活化与/或建立额外的活性物质来加速清洁过程。在一方面中,腔室表面是通过在腔室中沉积一膜层于基材上完成之后且在整个清洁过程期间继续或维持住热而被加热,其中该热是在沉积期间典型地被施加在这些表面。
相对于使用原位RF功率而在腔室内提供等离子体的清洁过程而言,在此描述的基于远程等离子体的清洁过程具有一些优点。例如,可以减少对腔室部件(例如面板)的损坏,这是因为等离子体系被远程地而非原位地提供。面板上氟化铝微粒的形成也通过远程地而非原位地提供等离子体而被减少。远程等离子体源提供的活性物质可以抵达难以利用原位RF功率来清洁的腔室区域,例如腔室狭缝阀(slit valve)或基材通道、排气端口、与腔室底部,这是因为其不是位在腔室的等离子体处理区域中。再者,在此描述的基于远程等离子体的清洁过程可以比基于原位氧等离子体的清洁过程提供更高的蚀刻速率,其中该基于原位氧等离子体的清洁过程会在腔室表面上造成残余物或沉积物的等离子体致密化。等离子体致密化的残余物是更难以蚀刻,且因此会减缓清洁过程。
为了进一步加强腔室底部的清洁,来自远程等离子体源的活性物质可以经由一分向线(其系从远程等离子体源延伸进入腔室底部)被导入腔室底部,使得一些活性物质被导入腔室而不会先通过腔室的气体散布组件。
清洁用来沉积含硅与碳的膜层的腔室
对于用以沉积与/或后处理含硅与碳的膜层(例如含硅与碳阻障膜层,以及含硅、碳、氧与氢的低介电常数膜层(譬如k<2.5))的腔室,在此提供的腔室清洁方法是特别有用的。例如,低介电常数膜层可以通过从包括一有机硅化合物与一烃基化合物的沉积气体混合物来进行等离子体强化化学气相沉积而沉积。如同在此所定义者,烃基化合物系包括仅具有碳与氢的碳氢化合物,以及主要具有碳与氢但是也包括其它原子(例如氧或氮)的化合物。沉积气体混合物也可以包括其它成分,例如氧化气体与多个有机硅化合物。用来调整膜层性质(例如增加孔隙度与改善机械性质)之后处理系包括等离子体、UV、与电子束处理。沉积这样低介电常数膜层的方法系描述于共同受让的美国专利案号US6,936,551与美国专利公开案号US2004/0101633中,其在此被并入本文以做为参考。
下文将提供通过第3图清洁过程来清洁用以沉积含硅与碳且选择性氧膜层的腔室的过程条件。活性氧物质与活性氟物质可以为自由基、离子化物质、或激化状态的物质。活性氧物质系从含氧气体所产生,例如O2、O3、CO2、与其组合物。活性氟物质系从含氟气体所产生,例如NF3、CF4、C2F4、C2F6、F2、与其组合物。在一较佳实施例中,活性氧物质是从O2产生,且活性氟物质是从NF3产生。活性氧物质能够以第一流速从远程等离子体源被导入处理腔室,且活性氟物质能够以第二流速从远程等离子体源被导入处理腔室。较佳地,活性氧物质是从O2产生,且活性氟物质是从NF3产生。
我们观察到的是,对于控制清洁过程的蚀刻速率,从NF3产生的活性物质的流速对从O2产生的活性物质的流速的比值(在此简写为NF3:O2比值)是一个重要变量。最佳地,NF3:O2比值约0.083(1:12)。我们亦观察到的是,虽然大部分硅可以在更高的NF3:O2比值从腔室表面上残余物除去,在更高的NF3:O2比值完成腔室清洁过程之后,会残留松弛的固体碳与含氟残余物。
选择性地,可以使用一载气或稀释气体(例如氩或氦)以促进活性物质从远程等离子体源传送至处理腔室。
处理腔室的内表面暴露于活性物质一段足以除去含硅与碳沉积物的时间,其中该沉积物系先前腔室中在沉积含硅与碳膜层期间(例如从包含有机硅化合物与烃基化合物的混合物所沉积的低介电常数膜层)形成在处理腔室的内表面上。
在腔室的内表面暴露于活性物质的期间,腔室压力可以介于约1托与约2.8托之间。更高的腔室压力会造成更低的蚀刻速率。所相信的是,更高的压力会加速活性物质的再结合成为较不活性的物质,例如氟自由基会再结合而形成F2,而更低的压力会提升活性物质传送至难以清洁的腔室区域。
所相信的是,将NF3与O2两者暴露于远程等离子体源中的等离子体条件会产生OF自由基,OF自由基可以解离成氧与氟自由基,氧与氟自由基会与腔室中含碳与氢残余物反应而形成可以轻易地从腔室除去的CO与HF挥发性副产物。相对于NF3与O2两者在被导入处理腔室之前暴露于远程等离子体源中等离子体条件的清洁过程,习知使用类似于在此所提供过程条件(除了O2是从远程等离子体源下游而非从远程等离子体源内被提供至处理腔室的外)的清洁过程系显著地降低蚀刻速率。
当O2从远程等离子体源下游被提供至处理腔室时,缺少NF3与O2的激化且潜在的活性物质已经被证实会缺乏等离子体中的余晖发光(luminescence of the afterglow)。余晖发光通常发生在当NF3与O2两者在被导入处理腔室之前暴露于远程等离子体源中等离子体条件的时。因此,观察到的NF3与O2等离子体余晖发光可以被用来监视处理腔室中清洁速率条件,除了使用余晖(afterglow)做为清洁过程的终点指示以外。在一实施例中,NF3与O2等离子体余晖发光的强度可以通过此技术领域熟知的传统光度计(luminometer)来测量。更高的经测量强度值代表等离子体中更高的NF3与O2激化物质浓度。因此,经测量的强度值可以被用做为过程参数(例如流速、温度、与RF功率)如何影响等离子体中NF3与O2激化物质的形成与因而清洁速率条件的指针。清洁过程期间发光强度值的增加也可以被用做为清洁过程的终点指示。当清洁过程被启始时,等离子体中NF3与O2激化物质会与腔室中含碳与氢残余物反应。一旦除去了含碳与氢残余物之后,NF3与O2激化物质的浓度会增加,这是因为不太有激化物质会与含碳与氢残余物反应。
较佳地,腔室的内表面在腔室内表面暴露于活性物质的期间被加热到至少约150℃温度。内表面可以通过腔室中一加热基材支撑件与一加热气体散布组件来加热。加热腔室的内表面会透过在腔室中活化与/或建立额外的活性物质来加速清洁过程。例如,在被加热到至少约150℃温度的表面上,相当不活性的清洁气体O3将会解离,且提供活性氧物质。加热气体散布组件的面板会尤其加速清洁过程,这是因为面板可以允许更多活性物质进入腔室其它部分中。
清洁用来沉积非晶形碳膜层的腔室
如前所讨论者,用来沉积非晶形探膜层的处理腔室可以通过将处理腔室的内表面暴露于活性氧物质与活性氮物质而不存在有活性氟物质来清洁,其中该活性氧物质与活性氮物质是由一远程等离子体源所产生,亦即不具有被远程等离子体源提供的活性氟物质或通过在腔室中导入氟源且施加功率而产生的活性氟物质。此外,如前所讨论者,腔室的内表面被加热于一温度,例如至少约150℃的温度。
用来沉积非晶形碳膜层的处理腔室可以为
Figure A200680053046D00161
腔室,该两者皆可以由Applied Materials,lnc.公司所获得。远程等离子体源可以为从MKS Instruments公司获得的
Figure A200680053046D00163
远程等离子体源。但是,可以使用其它处理腔室与远程等离子体源。
远程等离子体源所提供用以产生活性物质的功率可以高达10千瓦。活性氧物质能够以第一流速从远程等离子体源被导入处理腔室,活性氮物质能够以第二流速从远程等离子体源被导入处理腔室。较佳地,活性氧物质是由O2产生。
选择性地,可以使用一载气或稀释气体(例如氩或氦)以促进活性物质从远程等离子体源传送至处理腔室。
在腔室内表面暴露于活性物质的期间,腔室压力可以介于约1托与约2托之间。
根据本发明的另一方面,一清洁过程系用以清洁用来沉积非晶形碳膜层的处理腔室,其中该清洁过程包含:在连接至处理腔室的远程等离子体源中自一含氧气体产生活性氧物质且自一含氟气体产生活性氟物质;导引活性氧物质与活性氟物质进入处理腔室;以及在腔室中不存在有RF功率下将腔室内表面暴露于活性氧物质与活性氟物质。尤其,对于欲除去先前在一等离子体强化化学气相沉积(PECVD)反应中从芳香族前驱物(例如甲苯、环状化合物、不饱和碳氢化合物)沉积非晶形碳膜层期间所形成在处理腔室内表面上的沉积物,这样的清洁过程是有用的。在从这样之前驱物沉积非晶形碳膜层的期间所形成的沉积物常常包括大的聚合含碳残余物,这些残余物是比从短链线性碳氢化合物(例如丙烯或乙炔)沉积非晶形碳膜层的期间所形成的沉积物更难以除去。值得注意的是,在此提供用来清洁从芳香族前驱物(例如甲苯、其它环状化合物、不饱和碳氢化合物)沉积非晶形碳膜层的腔室的清洁过程,也可以被用来清洁从其它碳氢化合物(例如短链线性碳氢化合物,像是丙烯或乙炔)沉积非晶形探膜层的腔室。
用来沉积非晶形碳膜层的处理腔室可以为
Figure A200680053046D00171
Figure A200680053046D00172
腔室,该两者皆可以由Applied Materials,lnc.公司所获得。远程等离子体源可以为从MKS Instruments公司获得的
Figure A200680053046D00173
远程等离子体源。但是,可以使用其它处理腔室与远程等离子体源。
远程等离子体源所提供用以产生活性物质的功率可以高达10千瓦。活性氧物质能够以介于约1000sccm与约4000sccm之间流速从远程等离子体源被导入处理腔室,活性氮物质能够以介于约50sccm与约500sccm之间流速从远程等离子体源被导入处理腔室。较佳地,活性氧物质是由O2产生,且活性氟物质是由NF3产生。观察到的是,对于控制清洁过程的蚀刻速率,从NF3产生的活性物质的流速对从O2产生的活性物质的流速的比值(在此简写为NF3:O2比值)是一个重要变量。较佳地,NF3:O2比值系介于约0.1(1:10)与约0.3,这是因为更高与更低的比值会造成较低的蚀刻速率。最佳地,NF3:O2比值为约0.1。
选择性地,可以使用一载气或稀释气体(例如氩或氦)以促进活性物质从远程等离子体源传送至处理腔室。载气或稀释气体进入处理腔室的流速可以介于约0sccm与约3000sccm或甚至高达9000sccm。对于使用氩做为载气或稀释气体的清洁过程与使用氦做为载气或稀释气体的清洁过程,可以得到比较的蚀刻速率。此两清洁过程最佳的NF3:O2比值为0.1。当在NF3:O2比值0.1使用氦而非氩做为载气或稀释气体时,可以观察到稍高的蚀刻速率。
NF3、O2与选择性的载气的总流速可以介于约2000sccm与约6000sccm之间。在更高的总流速下,可以获得更高的蚀刻速率。
在腔室内表面暴露于活性物质的期间,腔室压力可以介于约1托与约2托之间。腔室压力高于约2托时,可以观察到蚀刻速率的显著下降。
基材支撑件的温度可以设定成介于约300℃与约400℃。较佳地,气体散布组件可以被加热至约160℃的温度,而使得面板去有约160℃的温度。然而,气体散布组件也可以被加热至较低的温度,例如介于约75℃与约160℃。所观察到的是,在更高的气体散布组件加热器温度会增加蚀刻速率。然而,在75℃加热器温度,可以观察到令人满意的大于8000埃/分钟的蚀刻速率。
基材支撑件与气体散布组件的面板之间间隔可以介于约200密尔与约1000密尔。
处理腔室的内表面暴露于活性物质一段足以从腔室内表面除去含硅与氧沉积物的时间。例如,对于每1000埃沉积物厚度,处理腔室的内表面可以暴露于活性物质约35秒。
以下将描述一实施例的实例。
实例1
Figure A200680053046D00181
腔室通过以下程序来清洁:在一
Figure A200680053046D00182
远程等离子体源中产生活性氧物质与活性氟物质;导引活性氧物质与活性氟物质进入
Figure A200680053046D00183
腔室;以及在腔室中不存在有RF功率将腔室内表面暴露于活性物质150秒,以除去约6000埃的含硅、氧与碳的低介电常数膜层。此低介电常数膜层已经在先前于PECVD过程中从含有甲基二乙氧基硅烷(methyldiethoxysilane,mDEOS)、降冰片二烯(norbornadiene,BCHD)、与氧的混合物被沉积于腔室中。活性氧物质以约6000sccm流速从远程等离子体源被导入腔室。活性氟物质以约500sccm流速从远程等离子体源被导入腔室。氦被用做为载气,且以约6000sccm流速流入腔室。在腔室内表面暴露于活性物质的期间,腔室压力约为2.8托。气体散布组件(包括面板与腔室壁)在内表面暴露于活性物质的期间被加热。面板至基材支撑件之间隔约为1800密尔。
虽然前述系着重在本发明的实施例,本发明的其它与进一步实施例可以在不脱离其基本范围下进行构思,且本发明范围系由随附的申请专利范围所决定。

Claims (20)

1.一种用于清洁一包含有多个腔室壁与一气体散布组件的处理腔室的方法,该气体散布组件具有一面板,该方法包括:
在一远程等离子体源中从一含氧气体产生活性氧物质,其中该远程等离子体源连接至该处理腔室;
在该远程等离子体源中从一含氮气体产生活性氮物质;
导引该活性氧物质与该活性氮物质进入该处理腔室;以及
在腔室中不存在有RF功率的情况下将该处理腔室的多个内表面暴露于该活性氧物质与该活性氮物质,而同时加热该气体散布组件与这些腔室壁,其中将多个内表面暴露于该活性氧物质与该活性氮物质可除去先前在该处理腔室中沉积一非晶形碳膜层期间形成于该处理腔室的内表面上的含碳沉积物。
2.如权利要求1所述的方法,其中这些内表面被暴露于该活性氧物质与该活性氮物质,而不暴露于活性氟物质。
3.如权利要求1所述的方法,其中该活性氧物质是从O2产生的,且该活性氮物质是从NF3产生的。
4.如权利要求3所述的方法,其中从NF3产生的活性物质流入该处理腔室的流速与从O2产生的活性物质流入该处理腔室的流速的比值介于约0.1与约0.3之间。
5.如权利要求4所述的方法,其中该处理腔室的内表面在介于约1托与约2托之间的腔室压力下暴露于该活性氧物质与该活性氮物质。
6.如权利要求1所述的方法,其中该非晶形碳膜层是通过PECVD过程从一包含甲苯的气体混合物中沉积的。
7.如权利要求1所述的方法,还包括测量在该处理腔室中该活性氧物质与该活性氮物质的余晖发光。
8.一种用于清洁一包含有多个腔室壁与一气体散布组件的处理腔室的方法,该气体散布组件具有一面板,该方法包含:
在一远程等离子体源中从一含氧气体产生活性氧物质,其中该远程等离子体源连接至该处理腔室;
在该远程等离子体源中从一含氟气体产生活性氟物质;
导引该活性氧物质与该活性氟物质进入该处理腔室;以及
在腔室中不存在有RF功率的情况下将该处理腔室的多个内表面暴露于该活性氧物质与该活性氟物质,同时加热该气体散布组件与这些腔室壁,其中将多个内表面暴露于该活性氧物质与该活性氟物质可除去先前在该处理腔室的内表面上形成的含硅与碳的沉积物。
9.如权利要求8所述的方法,其中该活性氧物质是从O2产生的,且该活性氟物质是从NF3产生的。
10.如权利要求9所述的方法,其中从NF3产生的活性物质流入该处理腔室的流速与从O2产生的活性物质流入该处理腔室的流速的比值约为1:12。
11.如权利要求10所述的方法,其中该处理腔室的内表面在介于约1托与约2.8托之间的腔室压力下暴露于该活性氧物质与该活性氟物质。
12.如权利要求8所述的方法,其中在该处理腔室中从包含有机硅化合物与烃基化合物的混合物来沉积一低介电常数膜层的期间,形成该含硅与碳的沉积物。
13.如权利要求8所述的方法,还包括测量在该处理腔室中该活性氧物质与该活性氮物质的余晖发光。
14.一种用于清洁一处理腔室的方法,包括:
在该处理腔室中执行一基于氧气的灰化;
在一远程等离子体源中从一含卤素气体产生活性物质,其中该远程等离子体源连接至该处理腔室;以及
在该处理腔室中不存在有RF功率的情况下将该处理腔室的多个内表面暴露于该活性物质。
15.如权利要求14所述的方法,其中该基于氧气的灰化包括导入一含氧气体至该处理腔室并且在该处理腔室中施加RF功率以产生活性氧物质,且在将该处理腔室的多个内表面暴露于来自含卤素气体的活性物质之前该RF功率被终止。
16.如权利要求15所述的方法,其中该基于氧气的灰化包括导入O2至该处理腔室,且该含卤素气体为NF3
17.如权利要求14所述的方法,其中该处理腔室包含一面板与一基材支撑件,且该基于氧气的灰化包括以第一压力与第一面板至基材支撑件的间隔来清洁该面板以及以第二压力与第二面板至基材支撑件的间隔来清洁该处理腔室的其它表面。
18.如权利要求14所述的方法,其中该含卤素气体是含氟气体或含氯气体。
19.如权利要求14所述的方法,其中该基于氧气的灰化与将该处理腔室的多个内表面暴露于该活性物质可除去在该处理腔室内表面上的硅、碳与氧沉积物,这些沉积物是先前在该处理腔室中从包含有机硅化合物与烃基化合物的混合物来沉积一低介电常数膜层的期间所形成的。
20.如权利要求14所述的方法,还包括测量在该处理腔室中该活性氧物质与该活性氮物质的余晖发光。
CNA2006800530463A 2006-02-21 2006-11-21 加强用于介电膜层的远程等离子体源清洁 Pending CN101378850A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US77541406P 2006-02-21 2006-02-21
US60/775,414 2006-02-21
US77/508,544 2006-08-23

Publications (1)

Publication Number Publication Date
CN101378850A true CN101378850A (zh) 2009-03-04

Family

ID=40421939

Family Applications (1)

Application Number Title Priority Date Filing Date
CNA2006800530463A Pending CN101378850A (zh) 2006-02-21 2006-11-21 加强用于介电膜层的远程等离子体源清洁

Country Status (1)

Country Link
CN (1) CN101378850A (zh)

Cited By (94)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102543844A (zh) * 2010-12-30 2012-07-04 中芯国际集成电路制造(上海)有限公司 一种制造半导体器件结构的方法和半导体器件结构
CN103219226A (zh) * 2013-04-09 2013-07-24 上海华力微电子有限公司 降低在沉积非晶碳膜时晶圆背面金属污染的方法
CN103219227A (zh) * 2013-04-09 2013-07-24 上海华力微电子有限公司 等离子体清洗方法
CN103648789A (zh) * 2011-07-01 2014-03-19 太阳化学工业株式会社 底漆组合物、包括由该组合物构成的底漆层的结构体、和制备该结构体的方法
CN104981895A (zh) * 2013-02-08 2015-10-14 应用材料公司 具有多个等离子体配置的半导体处理***
CN106835063A (zh) * 2015-12-03 2017-06-13 中芯国际集成电路制造(上海)有限公司 Sacvd腔室的清洁方法
CN107004560A (zh) * 2014-11-26 2017-08-01 应用材料公司 增进工艺均匀性的方法及***
US9837249B2 (en) 2014-03-20 2017-12-05 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9837284B2 (en) 2014-09-25 2017-12-05 Applied Materials, Inc. Oxide etch selectivity enhancement
US9842744B2 (en) 2011-03-14 2017-12-12 Applied Materials, Inc. Methods for etch of SiN films
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9885117B2 (en) 2014-03-31 2018-02-06 Applied Materials, Inc. Conditioned semiconductor system parts
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9978564B2 (en) 2012-09-21 2018-05-22 Applied Materials, Inc. Chemical control features in wafer process equipment
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10032606B2 (en) 2012-08-02 2018-07-24 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10062578B2 (en) 2011-03-14 2018-08-28 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062587B2 (en) 2012-07-18 2018-08-28 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10147620B2 (en) 2015-08-06 2018-12-04 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10186428B2 (en) 2016-11-11 2019-01-22 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10424463B2 (en) 2015-08-07 2019-09-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10424485B2 (en) 2013-03-01 2019-09-24 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10465294B2 (en) 2014-05-28 2019-11-05 Applied Materials, Inc. Oxide and metal removal
US10468276B2 (en) 2015-08-06 2019-11-05 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10468285B2 (en) 2015-02-03 2019-11-05 Applied Materials, Inc. High temperature chuck for plasma processing systems
US10468267B2 (en) 2017-05-31 2019-11-05 Applied Materials, Inc. Water-free etching methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10593523B2 (en) 2014-10-14 2020-03-17 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10615047B2 (en) 2018-02-28 2020-04-07 Applied Materials, Inc. Systems and methods to form airgaps
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
CN111433896A (zh) * 2017-10-03 2020-07-17 马特森技术有限公司 使用有机自由基对硅或硅锗表面的表面处理
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
CN113498442A (zh) * 2019-02-11 2021-10-12 应用材料公司 清洁处理腔室的方法
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN114975066A (zh) * 2022-07-25 2022-08-30 拓荆科技(北京)有限公司 沉积腔室及沉积腔室清洁方法
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11594428B2 (en) 2015-02-03 2023-02-28 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems

Cited By (134)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102543844A (zh) * 2010-12-30 2012-07-04 中芯国际集成电路制造(上海)有限公司 一种制造半导体器件结构的方法和半导体器件结构
CN102543844B (zh) * 2010-12-30 2014-05-14 中芯国际集成电路制造(上海)有限公司 一种制造半导体器件结构的方法和半导体器件结构
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9842744B2 (en) 2011-03-14 2017-12-12 Applied Materials, Inc. Methods for etch of SiN films
US10062578B2 (en) 2011-03-14 2018-08-28 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
CN103648789A (zh) * 2011-07-01 2014-03-19 太阳化学工业株式会社 底漆组合物、包括由该组合物构成的底漆层的结构体、和制备该结构体的方法
CN103648789B (zh) * 2011-07-01 2015-11-25 太阳诱电化学科技株式会社 底漆组合物、包括由该组合物构成的底漆层的结构体、和制备该结构体的方法
US10062587B2 (en) 2012-07-18 2018-08-28 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US10032606B2 (en) 2012-08-02 2018-07-24 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9978564B2 (en) 2012-09-21 2018-05-22 Applied Materials, Inc. Chemical control features in wafer process equipment
US10354843B2 (en) 2012-09-21 2019-07-16 Applied Materials, Inc. Chemical control features in wafer process equipment
US11264213B2 (en) 2012-09-21 2022-03-01 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
CN104981895A (zh) * 2013-02-08 2015-10-14 应用材料公司 具有多个等离子体配置的半导体处理***
US11024486B2 (en) 2013-02-08 2021-06-01 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US10424485B2 (en) 2013-03-01 2019-09-24 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
CN103219227A (zh) * 2013-04-09 2013-07-24 上海华力微电子有限公司 等离子体清洗方法
CN103219226A (zh) * 2013-04-09 2013-07-24 上海华力微电子有限公司 降低在沉积非晶碳膜时晶圆背面金属污染的方法
CN103219226B (zh) * 2013-04-09 2015-12-02 上海华力微电子有限公司 降低在沉积非晶碳膜时晶圆背面金属污染的方法
US9837249B2 (en) 2014-03-20 2017-12-05 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9885117B2 (en) 2014-03-31 2018-02-06 Applied Materials, Inc. Conditioned semiconductor system parts
US10465294B2 (en) 2014-05-28 2019-11-05 Applied Materials, Inc. Oxide and metal removal
US9837284B2 (en) 2014-09-25 2017-12-05 Applied Materials, Inc. Oxide etch selectivity enhancement
US10707061B2 (en) 2014-10-14 2020-07-07 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US10796922B2 (en) 2014-10-14 2020-10-06 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US10490418B2 (en) 2014-10-14 2019-11-26 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US10593523B2 (en) 2014-10-14 2020-03-17 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
CN107004560B (zh) * 2014-11-26 2020-08-21 应用材料公司 增进工艺均匀性的方法及***
US11239061B2 (en) 2014-11-26 2022-02-01 Applied Materials, Inc. Methods and systems to enhance process uniformity
CN107004560A (zh) * 2014-11-26 2017-08-01 应用材料公司 增进工艺均匀性的方法及***
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US11594428B2 (en) 2015-02-03 2023-02-28 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US12009228B2 (en) 2015-02-03 2024-06-11 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US10468285B2 (en) 2015-02-03 2019-11-05 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10147620B2 (en) 2015-08-06 2018-12-04 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US11158527B2 (en) 2015-08-06 2021-10-26 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10468276B2 (en) 2015-08-06 2019-11-05 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10607867B2 (en) 2015-08-06 2020-03-31 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US10424463B2 (en) 2015-08-07 2019-09-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10424464B2 (en) 2015-08-07 2019-09-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US11476093B2 (en) 2015-08-27 2022-10-18 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
CN106835063A (zh) * 2015-12-03 2017-06-13 中芯国际集成电路制造(上海)有限公司 Sacvd腔室的清洁方法
CN106835063B (zh) * 2015-12-03 2019-08-27 中芯国际集成电路制造(上海)有限公司 Sacvd腔室的清洁方法
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11735441B2 (en) 2016-05-19 2023-08-22 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US11049698B2 (en) 2016-10-04 2021-06-29 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10541113B2 (en) 2016-10-04 2020-01-21 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10224180B2 (en) 2016-10-04 2019-03-05 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US10319603B2 (en) 2016-10-07 2019-06-11 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10186428B2 (en) 2016-11-11 2019-01-22 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10770346B2 (en) 2016-11-11 2020-09-08 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10600639B2 (en) 2016-11-14 2020-03-24 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10903052B2 (en) 2017-02-03 2021-01-26 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10325923B2 (en) 2017-02-08 2019-06-18 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10529737B2 (en) 2017-02-08 2020-01-07 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11361939B2 (en) 2017-05-17 2022-06-14 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11915950B2 (en) 2017-05-17 2024-02-27 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10468267B2 (en) 2017-05-31 2019-11-05 Applied Materials, Inc. Water-free etching methods
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10593553B2 (en) 2017-08-04 2020-03-17 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US11101136B2 (en) 2017-08-07 2021-08-24 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
CN111433896A (zh) * 2017-10-03 2020-07-17 马特森技术有限公司 使用有机自由基对硅或硅锗表面的表面处理
CN111433896B (zh) * 2017-10-03 2023-04-21 玛特森技术公司 使用有机自由基对硅或硅锗表面的表面处理
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10861676B2 (en) 2018-01-08 2020-12-08 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10699921B2 (en) 2018-02-15 2020-06-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10615047B2 (en) 2018-02-28 2020-04-07 Applied Materials, Inc. Systems and methods to form airgaps
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11004689B2 (en) 2018-03-12 2021-05-11 Applied Materials, Inc. Thermal silicon etch
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
CN113498442A (zh) * 2019-02-11 2021-10-12 应用材料公司 清洁处理腔室的方法
CN114975066B (zh) * 2022-07-25 2022-10-25 拓荆科技(北京)有限公司 沉积腔室清洁方法
CN114975066A (zh) * 2022-07-25 2022-08-30 拓荆科技(北京)有限公司 沉积腔室及沉积腔室清洁方法

Similar Documents

Publication Publication Date Title
CN101378850A (zh) 加强用于介电膜层的远程等离子体源清洁
US7581549B2 (en) Method for removing carbon-containing residues from a substrate
CN106992114B (zh) 含硅薄膜的高温原子层沉积
KR100656770B1 (ko) 고유전율 물질의 에칭 방법 및 고유전율 물질용 증착챔버의 세정 방법
KR100667723B1 (ko) 고유전율 물질의 에칭 방법 및 고유전율 물질용 증착챔버의 세정 방법
US7780865B2 (en) Method to improve the step coverage and pattern loading for dielectric films
US20070207275A1 (en) Enhancement of remote plasma source clean for dielectric films
KR100760891B1 (ko) 불소 이용 강화를 위한 방법
KR20180035929A (ko) Pecvd 시스템에서 비정질 붕소-탄소 하드마스크 프로세스의 막-내 입자 성능을 개선하기 위한 방법들
US20040011380A1 (en) Method for etching high dielectric constant materials and for cleaning deposition chambers for high dielectric constant materials
US20080216958A1 (en) Plasma Reaction Apparatus Having Pre-Seasoned Showerheads and Methods for Manufacturing the Same
CN105719949A (zh) 选择性氮化物蚀刻
WO2007118026A2 (en) Step coverage and pattern loading for dielectric films
US20050258137A1 (en) Remote chamber methods for removing surface deposits
CN100461344C (zh) 从基板上清除含碳的残余物的方法
TW202012682A (zh) 具有高碳含量的含矽膜的製造方法
JP2007531289A (ja) 表面堆積物を除去するための遠隔チャンバ方法
US20040045577A1 (en) Cleaning of processing chambers with dilute NF3 plasmas
KR20080018810A (ko) 세정 공정의 종말점을 검출하는 방법
US20230272525A1 (en) Method of in situ ceramic coating deposition
Nicolazo et al. Study of oxygen/TEOS plasmas and thin SiOx films obtained in an helicon diffusion reactor
US8932406B2 (en) In-situ generation of the molecular etcher carbonyl fluoride or any of its variants and its use
Lee et al. Gas‐phase chemistry and reactive‐ion etching kinetics for silicon‐based materials in C4F8+ O2+ Ar plasma
EP2944385A1 (en) A process for etching and chamber cleaning and a gas therefor
US20060144819A1 (en) Remote chamber methods for removing surface deposits

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C53 Correction of patent for invention or patent application
CB02 Change of applicant information

Address after: American California

Applicant after: Applied Materials Inc.

Address before: American California

Applicant before: Applied Materials Inc.

C02 Deemed withdrawal of patent application after publication (patent law 2001)
WD01 Invention patent application deemed withdrawn after publication

Application publication date: 20090304