JP2020529731A - 改善された金属コンタクトランディング構造 - Google Patents

改善された金属コンタクトランディング構造 Download PDF

Info

Publication number
JP2020529731A
JP2020529731A JP2020505328A JP2020505328A JP2020529731A JP 2020529731 A JP2020529731 A JP 2020529731A JP 2020505328 A JP2020505328 A JP 2020505328A JP 2020505328 A JP2020505328 A JP 2020505328A JP 2020529731 A JP2020529731 A JP 2020529731A
Authority
JP
Japan
Prior art keywords
nitride
forming
plasma
cap
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2020505328A
Other languages
English (en)
Other versions
JP6886557B2 (ja
Inventor
ソン クォン カン,
ソン クォン カン,
キョンハ キム,
キョンハ キム,
キル リー,
キル リー,
Original Assignee
マイクロマテリアルズ エルエルシー
マイクロマテリアルズ エルエルシー
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by マイクロマテリアルズ エルエルシー, マイクロマテリアルズ エルエルシー filed Critical マイクロマテリアルズ エルエルシー
Publication of JP2020529731A publication Critical patent/JP2020529731A/ja
Application granted granted Critical
Publication of JP6886557B2 publication Critical patent/JP6886557B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32422Arrangement for selecting ions or species in the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Analytical Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Contacts (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

三次元メモリ構造を含みうる半導体構造を形成するために、処理方法を実行することができる。本方法は、処理チャンバの遠隔プラズマ領域でフッ素含有前駆体のプラズマを形成することを含みうる。本方法は、半導体基板をプラズマの放出物と接触させることを含みうる。半導体基板は、処理チャンバの処理領域に収容されうる。本方法は、露出した窒化物材料をプラズマの放出物で選択的に洗浄することを含みうる。本方法はまた、その後、洗浄した窒化物材料の上にキャップ材料を堆積させることも含みうる。キャップ材料は、誘電体材料の露出領域に対して窒化物材料上に選択的に堆積させることができる。【選択図】図5

Description

本出願は、その開示全体があらゆる目的で参照により本明細書に組み込まれる、2017年8月4日出願の米国仮出願第62/541,384号の利益を主張する。
本技術は、半導体システム、プロセス、及び機器に関する。より詳細には、本技術は、半導体装置上に材料層を、選択的にエッチング及び選択的に堆積させるシステム及び方法に関する。
集積回路は、基板表面上に複雑にパターン形成された材料層を製造するプロセスによって可能となる。基板上にパターン形成された材料を製造するには、露出した材料を除去するための制御された方法が必要である。化学エッチングは、フォトレジストのパターンを下層の中へ転写すること、層を薄くすること、又は表面にすでに存在する特徴の横寸法を薄くすることを含めた、さまざまな目的に使用されている。例えばパターン転写プロセス又は個々の材料の除去を促進する、ある材料を別の材料よりも速くエッチングするエッチングプロセスを有することが望ましいことがよくある。このようなエッチングプロセスは、最初の材料に対して選択的であると言われる。材料、回路、及びプロセスの多様性の結果として、エッチングプロセスは、さまざまな材料に対して選択性を有するように開発されてきた。しかしながら、堆積プロセスは、一般的には、ブランケットコーティング又は共形充填(conformal fill)を利用して、基板全体にわたって実行され続ける。
次世代デバイスではデバイスのサイズが縮小し続けていることから、特定の層に数ナノメートルの材料のみが形成される場合、とりわけ材料がトランジスタの形成において重要である場合には、選択性が大きな役割を果たす可能性がある。さまざまな材料間で多くの異なるエッチングプロセス選択性が開発されているが、現在及び将来のデバイススケールでは標準的な選択性はもはや適切ではない可能性がある。さらには、パターニング及び形成が基板上の他の場所で実行されている間、デバイス全体にわたる特徴のさまざまな重要な寸法を形成及び保護するために必要とされるマスキング、形成、及び除去工程の数に基づいて、プロセスのキュー時間が増加し続ける。
したがって、高品質のデバイスと構造を製造するために使用することができる改善されたシステム及び方法が必要とされている。本技術は、これら及び他の必要性に対処するものである。
三次元メモリ構造を含みうる半導体構造を形成するために、処理方法を行うことができる。本方法は、処理チャンバの遠隔プラズマ領域でフッ素含有前駆体のプラズマを形成することを含みうる。本方法は、半導体基板をプラズマの放出物と接触させることを含みうる。半導体基板は、処理チャンバの処理領域に収容されうる。本方法は、露出した窒化物材料をプラズマの放出物で選択的に洗浄することを含みうる。本方法はまた、その後、洗浄した窒化物材料の上にキャップ材料を堆積させることも含みうる。キャップ材料は、誘電体材料の露出領域に対して窒化物材料上に選択的に堆積させることができる。
幾つかの実施形態では、エッチングは第1の処理チャンバで実行することができ、堆積は第2の処理チャンバで実行することができる。本方法はまた、第1の処理チャンバから第2の処理チャンバへ半導体基板を移送することも含み、移送は、真空破壊することなく実行することができる。本方法はまた、窒化物材料及びキャップ材料を選択的にエッチングして間隙を形成することも含む。エッチングは、湿式エッチング又はプラズマ強化エッチングであるか、若しくはこれらを含むことができる。本方法はまた、間隙内に金属材料を形成することも含む。幾つかの実施形態では、金属材料は、窒化チタン又はタングステン、若しくはその両方であるか、あるいはそれを含むことができる。キャップ材料は窒化ケイ素を含むことができる。誘電体材料は酸化ケイ素であるか、若しくはそれを含むことができる。堆積は、約2:1以上の窒化物材料の誘電体材料に対する選択性で行うことができる。キャップ材料を選択的に堆積させることは、誘電体材料上でのキャップ材料の成長を抑制することを含みうる。基板は窒化物材料と誘電体材料の交互の層を含んでよく、キャップ材料は、分離したキャップ材料構成間の分離を維持するように形成することができる。
本技術はまた、半導体構造を形成する方法も包含する。本方法は、処理チャンバの遠隔プラズマ領域でフッ素含有前駆体のプラズマを形成することを含みうる。本方法は、半導体基板をプラズマの放出物と接触させることを含みうる。半導体基板は、処理チャンバの処理領域に収容されうる。本方法は、半導体基板上の誘電体材料の露出領域に近接して配置された窒化物材料の露出領域を選択的に洗浄することを含みうる。本方法はまた、その後に窒化物材料の上にキャップ材料を形成することも含む。キャップ材料は、誘電体材料の露出領域に対して窒化物材料上に選択的に形成することができる。
幾つかの実施形態では、基板は、窒化物材料の第1の露出領域と、誘電体材料の露出領域によって垂直方向に分離された窒化物材料の第2の露出領域とを含みうる。誘電体材料は酸化ケイ素であるか、若しくはそれを含むことができる。キャップ材料は窒化ケイ素であるか、若しくはそれを含むことができる。窒化物材料の各露出領域は、露出した上面と、該上面に対して垂直な露出した側壁とを含みうる。キャップ材料は、第1の窒化物材料及び第2の窒化物材料の露出した上面及び露出した側壁の上に形成されうる。キャップ材料は、第1の窒化物材料の上に形成することができ、形成後に第2の窒化物材料の上に形成されるキャップ材料とは接触しなくてもよい。本方法はまた、第1の窒化物材料、第2の窒化物材料、及びキャップ材料を半導体基板から除去することも含む。
このような技術は、従来のシステム及び技術に対して多くの利点をもたらすことができる。例えば、プロセスは、金属コンタクトランディングのためにより多くの領域を提供することができる。さらには、選択的工程を実行することにより、マスキング及び除去工程をより少なくすることができ、これにより製造キュー時間を劇的に短縮することができ、そうでなければ困難な構造を形成できるようになる。これら及び他の実施形態は、その多くの利点及び特徴と共に、後述の記載及び添付の図面と併せてより詳細に説明される。
開示される技術の性質及び利点は、明細書の残りの部分及び図面を参照することによってさらに理解を深めることができる。
本技術の実施形態による例示的な処理システムの上面図を示す。 本技術の実施形態による例示的な処理チャンバの概略的な断面図を示す。 本技術の実施形態による例示的なシャワーヘッドの詳細図を示す。 本技術の実施形態による例示的なシャワーヘッドの底面図を示す。 本技術の実施形態による例示的な処理チャンバの概略的な断面図を示す。 本技術の実施形態による半導体構造を形成する方法における選択された工程を示す。 本技術の実施形態による例示的な基板の概略的な断面図を示す。 本技術の実施形態による例示的な基板の概略的な断面図を示す。 本技術の実施形態による例示的な基板の概略的な断面図を示す。 本技術の実施形態による例示的な基板の概略的な断面図を示す。 本技術の実施形態による例示的な基板の概略的な断面図を示す。 本技術の実施形態による例示的な基板の概略的な断面図を示す。
幾つかの図が概略図として含まれている。図面は例示を目的とするものであり、特に縮尺が明確に述べられていない限り、縮尺が考慮されるべきではないことが理解されるべきである。さらには、概略図として、図面は理解を助けるために提供されており、現実的な表現と比較してすべての態様又は情報を含まない場合があり、説明目的のために誇張された素材を含む場合がある。
添付の図面において、類似の構成要素及び/又は特徴は、同一の参照符号を有しうる。さらには、同種のさまざまな構成要素は、類似の構成要素間を区別する文字により、参照符号に従って区別することができる。本明細書において第1の参照符号のみが用いられる場合、その記載は、文字に関わりなく、同じ第1の参照符号を有する類似の構成要素のうちのいずれにも適用可能である。
本技術は、小ピッチ特徴の半導体処理のためのシステム及び構成要素を含む。2D NANDから3D NANDへの移行では、材料層を横方向にエッチングして形成するために、多くのプロセス工程が垂直工程から水平工程に変更される。さらには、3D NAND構造が、形成されるセルの数に応じて成長するにつれて、メモリホール及び他の構造のアスペクト比が、時には劇的に、増加する。従来の3D NAND処理では、プレースホルダ層と誘電体材料とのスタックが電極間誘電体又はIPD層を形成しうる。これらのプレースホルダ層では、プレースホルダ材料を完全に除去して金属で置き換える前に、構造を創出するために行われるさまざまな工程が存在する。
製造プロセスの一部には、各垂直レベルでの金属接点形成のためのアクセスを提供するための階段構造の形成が含まれうる。酸化物−窒化物層又はONONを有する特定の製造工程では、窒化物層が除去されて、メタライゼーションへのアクセスを提供するが、これには、金属コンタクトランディングが含まれうる。この構造を形成するプロセスには、階段の形成に続いて、階段の上に窒化物及び酸化物の層を形成することを含む、従来の処理における幾つかの工程が含まれうる。これらの層形成はブランケット又は共形コーティングであるため、階段の側壁を横方向にエッチングして各レベルを分離するために、後続のエッチングプロセスが実行される。上側を覆う酸化物層を形成することにより、各レベルの窒化物の上部がエッチング中に保護される。しかしながら、このエッチングプロセスは、しばしば各レベルの窒化物層を横方向にオーバーエッチングし、それによってコンタクトランディングが形成される露出部分を減らす。続いて形成されるメタライゼーション及びコンタクトピラーは、したがって、接触の減少、抵抗の増加、又は他の問題によって特徴付けることができる。
本技術は、これらの製造作業の多くを不要にする構造を形成することにより、これらの問題を克服する。従来のセルが窒化ケイ素の共形層を形成する場合、本技術は、追加の窒化ケイ素キャッピング材料の分離領域を生成することができる。このキャップは、コンタクトランディング材料の有効面積を増加させることができ、また、メモリ構造の各レベルの露出部分をより効果的に利用することができる横方向のエッチング工程を削減又は除去することができる。本技術は、階段構造の各レベルの露出した窒化物部分の上に選択的に堆積されたキャップ層を利用することにより、これらの構造の形成を可能にする。キャップ材料を選択的に堆積させることによって、層のエッチバックプロセスを必要とせずに、構造の各レベル間に分離を生成することができ、それによって全体的なコンタクトランディング領域を削減することができる。
残りの開示内容は、開示された技術を利用して特定のエッチング及び堆積プロセスを規定通りに識別するものであるが、本システム及び方法は、説明されたチャンバで起こりうるさまざまな他のエッチング、堆積、及び洗浄プロセスに等しく適用可能であることは容易に理解されよう。したがって、この技術は、説明されたエッチング及び堆積プロセスのみでの使用に限定されるとみなされるべきではない。本開示は、本技術による例示的なプロセスシーケンスの工程を説明する前に、本技術とともに使用して特定の除去及び堆積工程を実行することができる1つの可能なシステム及びチャンバについて論じる。
図1は、実施形態による堆積、エッチング、ベーキング、及び硬化チャンバの処理システム100の一実施形態の上面図を示している。この図では、一対の前方開口型統一ポッド(FOUP)102により、さまざまなサイズの基板が供給され、該基板は、ロボットアーム104に受け入れられて、低圧保持領域106内に置かれ、その後、タンデムセクション109a〜cに位置付けられた基板処理チャンバ108a〜fのうちの1つの中に配置される。第2のロボットアーム110を使用して、基板ウエハを保持領域106から基板処理チャンバ108a〜fへと搬送したり、戻したりすることができる。各基板処理チャンバ108a〜fは、周期的層堆積(CLD)、原子層堆積(ALD)、化学気相堆積(CVD)、物理的気相堆積(PVD)、湿式エッチング、予洗浄、ガス抜き、配向、及び他の基板プロセスに加えて、本明細書に記載されるドライエッチングプロセス及び選択的堆積を含む多くの基板処理工程を実行するように装備することができる。
基板処理チャンバ108a〜fは、基板ウエハ上で誘電体膜を堆積させ、アニールし、硬化し、及び/又はエッチングするための1つ以上のシステム構成要素を含みうる。一構成において、例えば108c〜d及び108e〜fなどの2対の処理チャンバを使用して、誘電体材料又は金属含有材料を基板上に堆積させることができ、例えば108a〜bなどの第3の対の処理チャンバを使用して、堆積された誘電体をエッチングすることができる。別の構成では、例えば108a〜fなどの3対すべてのチャンバを、基板上の誘電体膜をエッチングするように構成することができる。記載されるプロセスのいずれか1つ以上を、異なる実施形態に示される製造システムから分離されたチャンバで実行することができる。
幾つかの実施形態では、チャンバは、具体的には、後述の少なくとも1つのエッチングチャンバと、後述の少なくとも1つの堆積チャンバとを含む。これらのチャンバを工場のインターフェースの処理側に組み合わせて含めることにより、以下で論じるエッチング及び堆積プロセスのすべてを、制御された環境で実行することができる。例えば、実施形態では、すべてのチャンバ及び移送が減圧下で維持されるように、保持領域106の処理側で減圧環境を維持することができる。これにより、水蒸気及び他の空気成分が処理されている基板に接触するのを制限することもできる。誘電体膜のための堆積、エッチング、アニーリング、及び硬化チャンバの追加の構成がシステム100によって企図されることが認識されよう。
図2Aは、処理チャンバ内に仕切られたプラズマ生成領域を備えた例示的な処理チャンバシステム200の断面図を示している。エッチング、例えば、窒化チタン、窒化タンタル、タングステン、コバルト、酸化アルミニウム、酸化タングステン、ケイ素、ポリシリコン、酸化ケイ素、窒化ケイ素、酸窒化ケイ素、シリコンオキシカーバイドなどの膜のエッチング中、プロセスガスは、ガス入口アセンブリ205を通って第1のプラズマ領域215に流れ込むことができる。遠隔プラズマシステム(RPS)201は、システム内に任意選択的に含まれてよく、その後にガス入口アセンブリ205を通って移動する第1のガスを処理することができる。入口アセンブリ205は、第2のチャネル(図示せず)が含まれる場合、RPS201を迂回することができる2つ以上の別個のガス供給チャネルを含むことができる。
冷却板203、面板217、イオンサプレッサ223、シャワーヘッド225、及び基板255がその上に配置された基板支持体265が示されており、実施形態に従ってその各々が含まれうる。ペデスタル265は、基板の温度を制御するために内部を熱交換流体が流れる熱交換チャネルを有することができ、処理工程中に基板又はウエハを加熱及び/又は冷却するように動作することができる。アルミニウム、セラミック、又はそれらの組合せを含みうるペデスタル265のウエハ支持プラッタはまた、埋め込まれた抵抗加熱素子を使用して、約100℃以下から約1100℃以上までなどの比較的高い温度を達成するために、抵抗加熱されてもよい。
面板217は、ピラミッド形、円錐形、又は上部が狭く、底部に向かって広くなっている別の同様の構造でありうる。面板217はさらに、図示されるように平坦であってよく、プロセスガスを分配するために用いられる複数の貫通チャネルを含みうる。プラズマ生成ガス及び/又はプラズマ励起種は、RPS201の使用に応じて、第1のプラズマ領域215へのより均一な送給のために、図2Bに示されるように、面板217の複数の穴を通過しうる。
例示的な構成は、ガス/種が面板217の穴を通って第1のプラズマ領域215に流れるように、面板217によって第1のプラズマ領域215から仕切られたガス供給領域258内へとガス入口アセンブリ205を開くことを含みうる。構造的及び動作的特徴は、プラズマが第1のプラズマ領域215から供給領域258、ガス入口アセンブリ205、及び流体供給システム210へと逆流するのを防ぐように選択することができる。面板217又はチャンバの導電性上部とシャワーヘッド225は、特徴の間に配置された絶縁リング220とともに示されており、それによって、シャワーヘッド225及び/又はイオンサプレッサ223に対して面板217にAC電位を印加することが可能となる。絶縁リング220は、面板217とシャワーヘッド225及び/又はイオンサプレッサ223との間に位置づけることができ、それによって、容量結合プラズマ(CCP)が第1のプラズマ領域に形成されることが可能となる。バッフル(図示せず)は、追加的に第1のプラズマ領域215に配置されるか、あるいは他の方法でガス入口アセンブリ205と結合して、ガス入口アセンブリ205を通る領域内への流体の流れに影響を与えることができる。
イオンサプレッサ223は、非荷電中性種又はラジカル種がイオンサプレッサ223を通過してサプレッサとシャワーヘッドとの間の活性化ガス送達領域内に入ることを可能にしつつ、第1のプラズマ領域215からのイオン荷電種の移動を抑制するように構成された構造全体にわたって複数の開孔を画成するプレート又は他の幾何学形状を含むことができる。実施形態では、イオンサプレッサ223は、さまざまな開孔構成を有する有孔板を含みうる。これらの非荷電種には、反応性の低いキャリアガスとともに開孔から輸送される、反応性の高い種が含まれうる。上記のように、孔を通るイオン種の移動は減少し、場合によっては完全に抑制することができる。イオンサプレッサ223を通過するイオン種の量を制御することにより、有利には、下にあるウエハ基板と接触する混合ガスの制御を高めることができ、それによって混合ガスの堆積及び/又はエッチング特性の制御を高めることができる。例えば、混合ガスのイオン濃度の調整により、そのエッチング選択性、例えば、SiNx:SiOxエッチング比、Si:SiOxエッチング比などを大幅に変えることができる。堆積が行われる代替的な実施形態では、誘電体材料の共形型の堆積の流動可能型の堆積に対するバランスをシフトさせることもできる。
イオンサプレッサ223の複数の開孔は、イオンサプレッサ223を通る活性化ガス、すなわち、イオン種、ラジカル種、及び/又は中性種の通過を制御するように構成することができる。例えば、孔のアスペクト比、又は孔の長さに対する直径、及び/又は孔の幾何学形状は、イオンサプレッサ223を通過する活性化ガス中のイオン荷電種の流れが減少するように制御することができる。イオンサプレッサ223の孔は、プラズマ励起領域215に面するテーパ部分と、シャワーヘッド225に面する円筒部分とを含みうる。円筒部分は、シャワーヘッド225を通過するイオン種の流れを制御するように成形及び寸法決めされうる。調整可能な電気バイアスを、サプレッサを通るイオン種の流れを制御する追加の手段としてイオンサプレッサ223に印加することもできる。
イオンサプレッサ223は、プラズマ生成領域から基板へと移動するイオン荷電種の量を低減又は排除するように機能することができる。非荷電中性種及びラジカル種は、依然として、イオンサプレッサの開口部を通過して、基板と反応することができる。基板を取り囲む反応領域でのイオン荷電種の完全な排除は、実施形態では実行されない場合があることに留意されたい。ある特定の事例では、イオン種は、エッチング及び/又は堆積プロセスを実行するために基板に到達することが意図されている。これらの事例では、イオンサプレッサは、プロセスを支援するレベルで反応領域内のイオン種の濃度を制御するのに役立てることができる。
イオンサプレッサ223と組み合わせたシャワーヘッド225は、第1のプラズマ領域215に存在するプラズマが基板処理領域233内のガスを直接励起することを回避する一方で、励起種がチャンバプラズマ領域215から基板処理領域233内へと移動することを可能にする。このようにして、チャンバは、プラズマがエッチングされる基板255に接触するのを防ぐように構成することができる。これにより、生成されたプラズマが直接接触すると損傷、転位、又は他の方法で反る可能性がある基板上にパターン形成されたさまざまな複雑な構造及び膜を有利に保護することができる。さらには、プラズマが基板に接触するか、又は基板レベルに近づくと、酸化物種をエッチングする速度が増加しうる。したがって、材料の露出領域が酸化物である場合、プラズマを基板から遠隔に維持することによって、この材料をさらに保護することができる。
処理システムは、処理チャンバと電気的に結合されて、面板217、イオンサプレッサ233、シャワーヘッド225、及び/又はペデスタル265に電力を供給して第1のプラズマ領域215又は処理領域233にプラズマを生成する、電源240をさらに含むことができる。電源は、実行されるプロセスに応じて、調整可能な量の電力をチャンバに供給するように構成することができる。このような構成により、実行中のプロセスにおいて、調整可能なプラズマを使用できるようになる。しばしばオン又はオフ機能が提示される遠隔プラズマユニットとは異なり、調整可能なプラズマは、特定の量の電力をプラズマ領域215に送給するように構成することができる。これにより、特定のプラズマ特性の開発が可能になり、その結果、前駆体を特定の方法で解離して、これらの前駆体によって生成されるエッチングプロファイルを強化することができる。
プラズマは、シャワーヘッド225の上のチャンバプラズマ領域215又はシャワーヘッド225の下の基板処理領域233のいずれかで点火することができる。実施形態では、基板処理領域233に形成されるプラズマは、電極として作用するペデスタルとともに形成されるDCバイアスプラズマでありうる。プラズマは、例えばフッ素含有前駆体又は他の前駆体の流入からラジカル前駆体を生成するために、チャンバプラズマ領域215に存在してもよい。典型的には高周波(RF)範囲内のAC電圧は、堆積中にチャンバプラズマ領域215内のプラズマに点火するために、面板217などの処理チャンバの導電性上部とシャワーヘッド225及び/又はイオンサプレッサ223との間に印加することができる。RF電源は13.56MHzの高いRF周波数を生成しうるが、他の周波数を単独で又は13.56MHz周波数と組み合わせて生成することもできる。
図2Bは、面板217を通るプロセスガスの分布に影響を与える特徴の詳細図253を示している。図2A及び2Bに示されるように、面板217、冷却板203、及びガス入口アセンブリ205が交差して、ガス入口205からプロセスガスを送給することができるガス供給領域258を画成する。ガスは、ガス供給領域258を満たし、面板217の開孔259を通って第1のプラズマ領域215へと流れうる。開孔259は、プロセスガスが処理領域233内に流れ込むことができるが、面板217を横切った後にガス供給領域258への逆流を部分的に又は完全に防止することができるように、流れを実質的に一方向に向けるように構成することができる。
処理チャンバセクション200で使用するためのシャワーヘッド225などのガス分配アセンブリは、デュアルチャネルシャワーヘッド(DCSH)と称されることがあり、図3に記載される実施形態においてさらに詳述される。デュアルチャネルシャワーヘッドは、処理領域233外でのエッチング液の分離を可能にするエッチングプロセスを提供することができ、それにより、処理領域内に送給される前に、チャンバ構成要素及び互いとの制限された相互作用をもたらすことができる。
シャワーヘッド225は、上部プレート214及び下部プレート216を備えることができる。該プレートは、プレート間の容積218を画成するように互いに結合されうる。プレートの結合により、上部及び下部プレートを通る第1の流体チャネル219、並びに下部プレート216を通る第2の流体チャネル221を設けることができる。形成されたチャネルは、第2の流体チャネル221のみを介して下部プレート216を通る容積218からの流体アクセスをもたらすように構成することができ、第1の流体チャネル219は、プレートと第2の流体チャネル221との間の容積218から流体的に隔離することができる。容積218は、ガス分配アセンブリ225の側面を介して流体的にアクセス可能でありうる。
図3は、実施形態による処理チャンバと共に使用するためのシャワーヘッド325の底面図である。シャワーヘッド325は、図2Aに示されたシャワーヘッド225に対応しうる。第1の流体チャネル219のビューを示す貫通孔365は、シャワーヘッド225を通る前駆体の流れを制御し影響を与えるために、複数の形状及び構成を有しうる。第2の流体チャネル221のビューを示す小孔375は、貫通孔365の間でさえシャワーヘッドの表面上に実質的に均等に分配することができ、前駆体がシャワーヘッドを出るときに、他の構成よりも前駆体のより均一な混合をもたらすのに役立ちうる。
図4を参照すると、本技術の1つ以上の実施形態による原子層堆積システム400又はリアクタの概略的な断面図が示されている。システム400は、ロードロックチャンバ10及び処理チャンバ20を含みうる。処理チャンバ20は、一般に、真空下又は少なくとも低圧で動作することができる密閉可能な筐体でありうる。処理チャンバ20は、隔離弁15によってロードロックチャンバ10から隔離することができる。隔離弁15は、閉位置でロードロックチャンバ10から処理チャンバ20を密閉し、開位置で基板60をロードロックチャンバ10から弁を介して処理チャンバ20へ、またはその逆へと移送できるようにする。
システム400は、基板60全体に1つ以上のガスを分配することができるガス分配プレート30を含みうる。ガス分配プレート30は、当業者に知られている任意の適切な分配プレートであってよく、記載される特定のガス分配プレートは、技術の範囲を限定するものとして解釈されるべきではない。ガス分配プレート30の出力面は、基板60の第1の表面61に面しうる。
ガス分配プレート30は、1つ以上のガス流を基板60に送るように構成された複数のガスポートと、各ガスポート間に配置され、処理チャンバ20からガス流を送るように構成された複数の真空ポートとを含みうる。図4に示されるように、ガス分配プレート30は、第1の前駆体注入器420、第2の前駆体注入器430及びパージガス注入器440を含みうる。注入器420、430、440は、メインフレームなどのシステムコンピュータ(図示せず)によって、又はプログラマブルロジックコントローラなどのチャンバ固有のコントローラによってすることができる。前駆体注入器420は、化合物Aの反応性前駆体の連続流又はパルス流を、複数のガスポート425を通して処理チャンバ20内に注入するように構成することができる。前駆体注入器430は、化合物Bの反応性前駆体の連続流又はパルス流を、複数のガスポート435を介して処理チャンバ20内に注入するように構成することができる。パージガス注入器440は、複数のガスポート445を介して処理チャンバ20に非反応性ガス又はパージガスの連続流又はパルス流を注入するように構成することができる。パージガスは、処理チャンバ20から反応性材料及び反応性副生成物を除去するように構成されうる。パージガスは、典型的には、窒素、アルゴン、又はヘリウムなどの不活性ガスでありうる。化合物Aの前駆体を化合物Bの前駆体から分離するように、ガスポート425とガスポート4435との間にガスポート445を配置することができ、それによって、前駆体間の相互汚染を回避することができる。
別の態様では、前駆体を処理チャンバ20に注入する前に、遠隔プラズマ源(図示せず)を前駆体注入器420及び前駆体注入器430に接続することができる。反応性核種のプラズマは、遠隔プラズマ源内の化合物に電界を印加することで生成することができる。意図された化合物を活性化することができる任意の電源を使用することができる。例えば、DC、高周波、及びマイクロ波ベースの放電技術を使用する電源を使用することができる。RF電源を使用する場合、容量結合又は誘導結合のいずれかを使用することができる。活性化は、熱に基づく技術、ガス分解技術、紫外線などの高強度光源、又はX線源への曝露によっても生成されうる。
システム400は、処理チャンバ20に接続されたポンピングシステム450をさらに含むことができる。ポンピングシステム450は、一般に、1つ以上の真空ポート455を介して処理チャンバ20からガス流を排出するように構成することができる。真空ポート455は、ガス流が基板表面と反応した後、処理チャンバ20からガス流を排出し、前駆体間の相互汚染をさらに制限するように、各ガスポート間に配置されうる。
システム400は、各ポート間の処理チャンバ20上に配置された複数のパーティション460を含みうる。各パーティションの下部は、例えば、第1の表面61から例えば約0.5mm以上など、基板60の第1の表面61の近くまで延びうる。このようにして、ガス流が基板表面と反応した後、ガス流が下部の周りを真空ポート455に向かって流れることを可能にするのに十分な距離だけ、パーティション460の下部を基板表面から分離することができる。矢印498はガス流の方向を示している。パーティション460は、ガス流に対する物理的バリアとして動作しうることから、前駆体間の相互汚染も制限することができる。示されている配置は単なる例示であり、技術の範囲を限定するものとして解釈されるべきではない。示されたガス分配システムは、可能な分配システムの1つにすぎず、他のタイプのシャワーヘッドを使用できることが当業者には理解されよう。
工程において、基板60は、ロボットなどによってロードロックチャンバ10に送達することができ、シャトル65上に配置することができる。隔離弁15が開かれた後、シャトル65は、進路70に沿って移動させることができる。シャトル65が処理チャンバ20に入ると、隔離弁15が閉じ、処理チャンバ20を密閉することができる。その後、シャトル65は、処理のために処理チャンバ20を通って移動することができる。一実施形態では、シャトル65は、チャンバを通る直線経路で移動することができる。
基板60が処理チャンバ20を通って移動すると、基板60の第1の表面61は、ガスポート425から来る化合物Aの前駆体、及びガスポート435から来る化合物Bの前駆体、並びにそれらの間にあるガスポート445から来るパージガスに繰り返し曝露されうる。パージガスの注入は、基板表面61を次の前駆体に曝露する前に、前の前駆体由来の未反応の材料を除去するように設計することができる。さまざまなガス流への各暴露の後、ガス流は、ポンピングシステム450により真空ポート455を通して排出されうる。真空ポートが各ガスポートの両側に配置されうることから、ガス流は両側の真空ポート455を通して排出することができる。したがって、ガス流は、それぞれのガスポートから垂直に下向きに基板60の第1の表面61に向かって流れ、第1の表面410を横切ってパーティション460の下部の周りを流れ、最後に真空ポート455に向かって上向きに流れうる。このようにして、各ガスは、基板表面61にわたって均一に分配されうる。基板60はまた、さまざまなガス流に曝露されている間に回転させることができる。基板の回転は、形成された層にストリップが形成されるのを防ぐのに役立ちうる。基板の回転は、連続的ステップであっても個別のステップであってもよい。
基板表面61が各ガスにさらされる程度は、例えば、ガスポートから来る各ガスの流量及び基板60の移動速度によって決定することができる。一実施形態では、各ガスの流量は、吸着された前駆体を基板表面61から除去しないように構成することができる。各パーティション間の幅、処理チャンバ20に配置されたガスポートの数、及び基板が往復しうる回数によって、基板表面61がさまざまなガスに曝露される程度も決定することができる。その結果、堆積膜の量及び品質は、上記の要因を変動させることによって最適化することができる。
別の実施形態では、システム400は、パージガス注入器440を伴わずに、前駆体注入器420及び前駆体注入器430を含みうる。その結果、基板60が処理チャンバ20を通って移動するとき、基板表面61は、その間にパージガスに曝露されることなく、化合物Aの前駆体と化合物Bの前駆体とに交互に曝露させることができる。
図4に示される実施形態は、基板の上にガス分配プレート30を有する。実施形態は、この直立配向に関して説明及び示されてきたが、逆の方向も可能であることが理解されよう。その状況では、基板60の第1の表面61は下向きになり、一方、基板に向かって流れるガスは上向きになりうる。1つ以上の実施形態では、少なくとも1つの放射熱源90は、基板の第2の側面を加熱するために位置づけられうる。
幾つかの実施形態では、シャトル65は、基板60を運ぶためのサセプタ66でありうる。一般に、サセプタ66は、基板全体にわたって均一な温度を形成するのに役立つキャリアでありうる。サセプタ66は、ロードロックチャンバ10と処理チャンバ20との間で、図4の配置に対して左から右及び右から左の両方向に移動可能であってもよい。サセプタ66は、基板60を運ぶための上面67を有しうる。サセプタ66は、処理のために基板60を加熱できるように、加熱されたサセプタであってもよい。一例として、サセプタ66は、放射熱源90、加熱プレート、抵抗コイル、又はサセプタ66の下に配置された他の加熱装置によって加熱されうる。横方向の遷移として示されているが、システム400の実施形態は、ホイールが時計回り又は反時計回りに回転して図示されるガス分配システムの下に位置づけられた1つ以上の基板を連続的に処理する回転ベースのシステムにおいても利用することができる。さらなる修正も同様に、本技術に包含されると理解される。
図5は、半導体構造を形成する方法500を示しており、その多くの工程は、例えば、前述のチャンバ200及び400、並びに以下で論じられる選択的工程を実行することができる他のチャンバで実行することができる。方法500は、フロントエンド処理、堆積、エッチング、研磨、洗浄、又は記載された工程の前に実行することができる他の工程を含めた、本方法の開始前の1つ以上の工程を含みうる。本方法は、図に示されるように、本技術による方法に具体的に関連付けられても関連付けられていなくてもよい、幾つかの任意選択的な工程を含みうる。例えば、工程の多くは、より広い範囲の構造形成を提供するために説明されているが、それらは技術にとって重要ではなく、あるいは、以下でさらに論じられる代替的方法論によって実行されてもよい。方法500は、図6A〜6Fに概略的に示される工程を説明しており、その例示は方法500の工程と併せて説明される。図6は部分的な概略図のみを示しており、基板は、図面に示されている態様を有する任意の数のセクションを含むことができることが理解されるべきである。
方法500は、3D NAND構造を生成する際にさらに発達する領域を含む基板上など、複数の露出領域を有する基板上で実行される工程を含みうる。図6Aに示されるように、ケイ素、シリコンゲルマニウム、又は他の基板材料でありうる、基板の上を覆うことができる複数の積み重ねられた層を含む、処理された構造600の一部が示されている。これらの層は、例えば窒化ケイ素でありうる窒化物材料620との交互の層に、酸化ケイ素などの酸化物でありうる誘電体材料610を含む、メモリノードを生成するための層を含みうる。構造の各層は、誘電体材料610の層と窒化物材料620の上層とを含むものとして特徴づけることができる。階段構造は、窒化物材料620の上部、並びに窒化物材料620と酸化物材料610の両方の側壁を露出させることができる。7層の材料のみで示されているが、例示的な構造は、最大で約10以上、約15以上、約20以上、約25以上、約30以上、約35以上、約40以上、約45以上、約50以上、約55以上、約60以上、約65以上、約70以上、約80以上、約90以上、約100以上、又はそれ以上の材料の層など、任意の数の層を含むことができる。
方法500は、最初に、図6Bに示されるように、階段構造上に側壁キャップ又は保護材料623を生成することを含みうる。保護材料623は、工程505において構造600上に共形的に形成された酸化物でありうる。保護材料623は、実施形態では、酸化物、窒化物、炭素含有材料、又はさまざまな他の材料を含みうる。材料は、構造の上面623a並びに側壁面623bにわたって形成されてもよい。実施形態では、側壁部分は、酸化物材料610及び/又は窒化物材料620の一方又は両方の上に延在しうる。形成されると、上面623aは、窒化物材料620の表面を露出するように凹設されてもよい。上面623aは、方向性成分を含む選択的除去を実行することを含むさまざまな方法によって、工程510においてを凹設することができる。例えば、上面623a及び側壁面623bは同様の保護材料を含みうるが、除去は上面623aに限定することができる、若しくは上面623aに実質的に限定することができる。
実施形態では、不活性プラズマを保護材料623の方に方向づけるために、プロセスを実行することができる。例えば、ウエハレベルのDCプラズマなどのバイアスプラズマは、水素、ヘリウム、アルゴン、又は保護材料623と化学的に反応しないであろう他の材料などの前駆体で形成されうる。DCバイアスプラズマを利用することにより、プラズマ放出物は、基板に対して実質的に垂直な配向で基板に送達されてよく、それにより、側壁面623bとの相互作用を制限又は低減することができる。上面623aは、プラズマ放出物と接触することができ、それにより、実施形態では、保護材料623を損傷することがある。損傷すると、上面623aは、側壁部分623bよりも除去され易くなりうる。したがって、以下で論じられるプラズマ除去は、約80℃以上などの高温で実行することができ、これにより、上面623aが除去され、一方、側壁部分623bへの影響は制限される。
方法500は、基板上に階段構造を形成し、保護材料623の上面を除去した後、窒化物材料の清浄な表面を生成することを含みうる。本方法は、半導体処理チャンバの処理領域内に配置されると、工程515で処理チャンバの遠隔プラズマ領域にフッ素含有前駆体のプラズマを形成することを含みうる。幾つかの実施形態では、工程515は、工程510の継続であってもよいが、圧力及び/又は温度などの特定の特性は調整されてもされなくてもよい。遠隔プラズマ領域は、処理領域と流体的に結合されていてもよいが、露出した構造又は材料を損傷する可能性があるプラズマを基板レベルに制限するために物理的に仕切ることができる。
プラズマ放出物は処理領域内に流れ込んでよく、工程520において半導体基板に接触することができる。工程525において、窒化物材料から、該窒化物材料620の露出領域の上面622又は側壁面624のいずれかの上に残りうる残留酸化物材料又は他の材料を選択的に洗浄することができる。窒化物材料620の露出領域は、3D NAND半導体構造でありうる、構造の階段形成中など、以前の製造工程中に露出された可能性がある。エッチングプロセスによって、上を覆う誘電体材料610が実質的に又は本質的に除去された可能性があるが、残留微粒子材料は依然として表面上に残っている場合がある。幾つかの実施形態では、堆積工程などの後続の工程は、窒化物材料620の表面が、例えば、窒化物材料620上で残留微粒子材料と接触している可能性のある、あるいは該残留微粒子材料を堆積又は残している可能性のある酸化物又は他の材料など、他の材料又は微粒子を実質的又は本質的に含まないことに少なくとも部分的に基づきうる。したがって、フッ素含有プラズマ放出物を窒化物の露出領域に送給して、残留微粒子又は他の材料を除去し、酸化物又は他の微粒子材料を実質的に又は本質的に除去した窒化物材料の上面及び/又は側壁面を提供することができる。洗浄プロセスは、窒化物と比較して酸化物に対して高い選択性を有するエッチングプロセスに類似しうる。したがって、プロセスは、洗浄される窒化物層への影響が最小限に抑えられるように構成することができる。
任意選択的な工程530において、基板は、エッチングチャンバから堆積チャンバへと移送されうる。移送は真空下で行うことができ、2つのチャンバは両方とも同じクラスタツール上にあってよく、制御された環境で移送を行うことができる。例えば、移送中に真空状態を維持することができ、真空を破壊することなく、移送を行うことができる。上述のチャンバ400などの堆積チャンバに入ると、工程535において、洗浄した窒化物材料620の上に、キャップ材料が形成又は堆積されうる。図6Dに示されるように、誘電体材料でありうるキャップ材料625は、洗浄した窒化物材料620上に直接又は接触して形成されうる。堆積工程は、キャップ材料が露出した誘電体材料610に対して窒化物材料620上に優先的に形成される、選択的堆積でありうる。追加のマスキング工程を含みうる従来の技術とは対照的に、工程535は、エッチング工程525の直後に実行することができる。
図に示されるように、キャップ材料625は、上面622に沿って形成されるか、側壁部624が露出している程度に応じて、窒化物材料620の側壁部分624と上面622の両方に沿って形成されうる。例えば、工程510での選択的除去は、側壁624の一部を露出する保護材料623の高さを低下させうる。キャップ材料625は、窒化物材料620の被覆範囲を拡張する側壁624に沿って延びうる。キャップ材料625の形成は、誘電体材料610よりも窒化物材料620上で優先的に起こりうるが、キャップ材料625の形成は、上面622及び側壁部分624上で共形又は実質的に共形でありうる。幾つかの実施形態では、上面622に沿ったキャップ材料625の第1の部分と側壁面624に沿ったキャップ材料625の第2の部分との厚さの差は、実施形態では約5nm以下であってよく、厚さの差は、約4nm以下、約3nm以下、約2nm以下、約1nm以下、約0.5nm以下、約0.1nm以下であってよく、あるいは、厚さは実質的に又は本質的に等しくてもよい。
さらには、上面622に沿ったキャップ材料625の第1の部分の厚さは、側壁面624に沿ったキャップ材料625の第2の部分の厚さの約150%以下でありうる。幾つかの実施形態では、キャップ材料625の第1の部分の厚さは、第2の部分の厚さの約140%以下であってよく、あるいは約130%以下、約120%以下、約110%以下、約109%以下、約108%以下、約107%以下、約106%以下、約105%以下、約104%以下、約103%以下、約102%以下、約101%以下であってよく、あるいは、窒化物材料620の側壁部分624に沿った第2の部分の約100%又は同等の厚さであってもよい。
図示されるように、実施形態では、第1のキャップ材料625と第2のキャップ材料630との間に間隔628を維持することができる。第1のキャップ材料625と同様に、第2のキャップ材料630は、窒化物材料620の上面に沿って形成された第1の部分と、窒化物材料の上面に垂直な側壁面に沿って形成された第2の部分とによって特徴付けることができる。この場合も、側壁の被覆範囲は、窒化物材料620の上面から保護材料630を垂直に除去する量に基づきうる。間隔628は、窒化物材料620の層の間に配置された誘電体材料610の露出した側壁部分に沿っていてもよい。第1のキャップ材料625及び第2のキャップ材料630は、誘電体材料610aの露出部分又は側壁によって構造600内で互いに垂直に分離されてもよい。間隔628の長さは、誘電体材料の厚さに対して相対的であってよく、間隔は、第1のキャップ材料625の第1の部分が第2のキャップ材料630の第2の部分と接触しないことを保証するように、誘電体材料610aに沿った距離でありうる。第1のキャップ材料625の第1の部分は、誘電体材料610aの露出した側壁に垂直に平行に延びうることから、実施形態では、間隔は、誘電体材料610aの厚さ未満でありうる。
形成プロセスの特性に起因して、第1のキャップ材料625と第2のキャップ材料630との間に画成された間隔628を維持することができるが、例えば、間隔628は、誘電体材料610aの厚さの約90%以下であってよく、実施形態では、間隔628は、誘電体材料610の厚さの約80%以下、該厚さの約70%以下、該厚さの約60%以下、該厚さの約50%以下、該厚さの約40%以下、該厚さの約30%以下、該厚さの約20%以下、該厚さの約10%以下、該厚さの約5%以下、又はそれ以下でありうる。本技術に従って半導体構造を形成するための幾つかのプロセスは、窒化物及びキャップ材料を、接点が着地しうる導電性材料で置き換えることを含みうる。キャップ材料625、630間の間隔を維持することにより、後に形成される導電性材料間の接触を制限又は回避して、層間の短絡を低減することができる。
基板の移動を行うことはできるが、選択的エッチングと選択的堆積との間に他の基板処理を行うことはできない。以下でさらに詳細に説明するように、選択的堆積には複数の工程を含めることができるが、実施形態では、工程間の基板移動を行うことはできるものの、全体的な堆積プロセスはエッチングの工程セットの直後に行われうる。方法500に従って選択的エッチング及び選択的堆積を実行することにより、ブランケット堆積又はキャップ材料625の形成に起因して、追加のマスキング及び除去技術を必要としうる従来技術よりもキュー時間を大幅に短縮することができる。
方法500は、キャップ材料625の選択的堆積に続く追加の工程を含みうる。本技術に対する任意選択ではあるが、メモリ構造の形成など、より広範な製造プロセスで追加の工程を実行することができる。例えば、キャップ材料が形成された後に行うことができる幾つかの例示的な工程には、任意選択的な工程540での構造600上の誘電体材料の形成又は堆積が含まれる。堆積は、階段構造に沿って被覆を提供するための任意の数の堆積技術でありうる。図6Eに示されるように、窒化物材料620の露出部分及び窒化物材料の上にあるキャップ材料625を覆うように、構造600上に材料635が形成又は堆積されてもよい。幾つかの実施形態では、誘電体材料635は酸化物材料でありうる。後続の製造中の追加の工程は、任意選択的な工程545で窒化物材料及びキャップ材料を除去することを含んでよく、これは、コンタクトランディングなどの後続の導電性材料のプースホルダーであってもよい。窒化物材料620は、誘電体材料635の下から横方向に除去されて、構造600内に間隙640を形成しうる。
例えば、本技術による幾つかのメモリ構造では、窒化物材料620及びキャップ材料625は、プラズマ強化エッチング又は蒸気エッチングなどのウェットエッチング工程又はドライエッチングで除去することができる。除去は、構造600上に形成された誘電体材料610及び誘電体材料635に対して選択的に実行することができる。エッチングは、窒化物材料620及びキャップ材料625を実質的に、本質的に、又は完全に除去して、間隙領域640を生成することができる。このような間隙領域が誘電体材料610の露出面を横切る距離よりも短くなりうる従来の技術とは異なり、本技術は、誘電体材料610の少なくとも横方向縁部まで延びる横方向の長さによって特徴付けることができる間隙領域640を生成しうる。幾つかの実施形態では、間隙領域640は、図示されるように誘電材料610の横方向縁部を超えて横方向に延びてもよい。
従来の技術は、前述のようにキャップ材料の共形層を形成する場合があり、構造の個々の層間の連続性を壊すためにエッチバック処理をする必要がありうる。このエッチバックプロセスは、酸化物材料に対する窒化物の選択などの選択的エッチングであってよく、側壁に沿ってキャップ材料を少なくともわずかにオーバーエッチングして、構造の層間の完全な分割を保証してもよい。一部の構造では、横方向に下にある材料も窒化物でありうることから、オーバーエッチングプロセスにより、下にある窒化物構造の横方向の長さが短くなる場合があり、これは、次に、階段構造の同じレベルにある誘電材料610の横方向の長さよりも短い横方向長さによって特徴付けられうる。上を覆う誘電体材料635の形成は、この横方向領域を埋めることができる。追加の誘電体の下での後続の間隙形成は、横方向の長さが短くなった間隙領域を単に生成することができるだけであり、金属コンタクトランディング用でありうる導電性材料の面積又は体積が小さくなる。本技術は、除去前の間隙領域又は窒化物材料に隣接する誘電体材料610でありうる各構造層の関連する誘電体材料と同等の横方向の長さを維持するだけでなく、関連する誘電体材料の横方向の長さを超える横方向の長さも提供することができる。このようにして、導電性材料のその後の充填のために、追加の厚さ又は体積が提供されうる。
間隙領域640が形成されると、任意選択的な工程550で間隙領域640内に導電性材料を送達又は形成することができる。図6Fに示されるように、導電性材料645は、誘電体材料635の下の間隙領域640内に横方向に流れるか、又は形成されうる。構造の各層の間の間隔638を維持するように形成されたキャップ材料625の形成に基づいて、導電性材料645は、該導電性材料が該構造の異なる層の導電性材料と接触しないように、該導電性材料の下にある領域の上を覆う各々から分離されうる。各層の導電性材料645は、導電性材料645に隣接又は直接接触しうる、各層の関連する誘電性材料610の横方向の長さの90%以上まで、横方向に延びうる。幾つかの実施形態では、導電性材料645は、各層の関連した又は隣接する誘電体材料610の横方向の長さの95%又は100%以内まで、横方向に延びうる。
さらには、導電性材料645は、各層の関連した又は隣接する誘電体材料610の横方向の長さを越えて横方向に延びうる。導電性材料645は、関連した又は隣接する誘電体材料610を超えて約0.1nm以上延びてよく、実施形態では、約0.5nm以上、約1nm以上、約2nm以上、約5nm以上、約10nm以上、又はそれ以上、延びうる。キャップ材料及び最終的に形成される金属又は導電性材料に関連する長さは、誘電体材料610の元の長さに基づきうる。例えば、誘電体材料635は、誘電体材料610と同様又は同じ材料でありうることから、導電性領域間の誘電体コーティングは、最終構造において連続的でありうる。したがって、本明細書で論じられる長さは、階段レベルの形成時に、形成された階段構造に関連付けられた材料の元の長さに関連付けることができる。
構造の各レベルで少なくとも関連した又は隣接する誘電体材料の横方向の長さまで、又はそれを越えて延びる導電性材料645を提供することにより、本技術は、従来の材料よりも優れうる、より長くより厚いコンタクトランディング材料を提供することができる。金属の厚さは抵抗に反比例しうることから、より厚くより長いコンタクトランディング材料を提供することにより、本技術は、より低い抵抗を特徴とする構造を提供することができる。
実施形態では、金属材料は1つ以上の材料から形成されうる。例えば、間隙領域640は、誘電体材料610の層間のチャネル領域655によって特徴付けることができる。金属含有材料は、バリア層を含むことができ、これは遷移金属材料を含んでよく、幾つかの実施形態ではチタンでありうる。例えば、バリア層に用いられる金属含有材料は、実施形態では、窒化チタンを含みうる。任意選択的なバリア層の形成後、チャネル領域655に導電性材料645を充填することができる。間隙領域640は、構造の上層を越えて延びる端部660によって特徴付けることができる。例えば、端部660は、階段構造の次の上部レベルに関連する誘電体材料610の上層の横方向の長さの端部から始まる導電性材料の部分でありうる。導電性材料は端部660内に含まれうる。導電性材料645は、金属コンタクトランディングで利用できる任意の導電性材料であってよく、銅、タングステン、コバルト、又は半導体構造の製造に有用な任意の他のメタライゼーション材料を含むことができる。金属材料の形成後、導電性材料645と接続することができる構造を介して接点を形成することを含みうる、その後の製造を実行することができる。
端部660に含まれる導電性材料645は、実施形態では、厚さによっても特徴付けることができる。例えば、導電性材料645の端部660の厚さは、チャネル領域655に含まれる材料の厚さ以上の厚さによって特徴付けることができる。端部660に含まれる導電性材料645の厚さもまた、導電材料645と同じレベルの階段構造600に関連する誘電材料610の厚さなど、誘電体材料610の下層の厚さ以上の厚さによって特徴付けることができる。導電性材料645の厚さは、構造600の同じレベル上又は構造600の異なるレベル上の誘電材料610の厚さの少なくとも105%でありうる。
幾つかの実施形態では、導電性材料645の厚さは、構造600の任意のレベルでの誘電体材料610の厚さの約110%以上であってよく、該厚さの約115%以上、厚さの約120%以上、厚さの約125%以上、厚さの約130%以上、厚さの約135%以上、厚さの約140%以上、厚さの約145%以上、厚さの約150%以上、厚さの約155%以上、厚さの約160%以上、厚さの約165%以上、厚さの約170%以上、厚さの約175%以上、厚さの約180%以上、厚さの約185%以上、厚さの約190%以上、厚さの約195%以上、厚さの約200%以上、厚さの約210%以上、厚さの約220%以上、厚さの約230%以上、厚さの約240%以上、厚さの約250%以上、又は他の任意の厚さでありうる。しかしながら、実施形態では、誘電体材料610及び/又は635によって画成される間隔は、構造のノード間の間隔を維持するように、任意の2つの量の導電性材料645の間で維持されうる。
処理にはさまざまな材料を利用することができ、エッチングと堆積は複数の構成要素に対して選択的でありうる。したがって、本技術は、単一の材料セットに限定されない場合がある。例えば、前述のように、窒化物材料620は、半導体処理で利用される幾つかの絶縁種又は犠牲種でありうる。窒化物材料620は、窒化物、酸化物、又はメモリ構造内の他の層又は材料に対して選択的に後で除去することができる任意の他の誘電体材料又は犠牲材料であるか、若しくはそれを含みうる。誘電体材料610はまた、絶縁材料を含んでもよく、また、ケイ素含有材料、酸素含有材料、炭素含有材料、又はこれらの材料の幾つかの組合せ、例えば酸化ケイ素又はシリコンオキシカーバイドなどを含みうる。キャップ材料625は、1つ以上の誘電体材料、絶縁材料、セラミック材料、又はバリア材料を含みうる。キャップ材料625は上記の誘電体材料又は犠牲材料のいずれかでありうるが、幾つかの実施形態では、キャップ材料625は窒化物材料620と同じ材料でありうる。例えば、キャップ材料625は、実施形態では窒化ケイ素であってよく、あるいは、窒化物材料620と同様にエッチングする材料であってもよい。
洗浄工程は、特定のフッ素含有前駆体とともに追加の前駆体を包含してもよい。幾つかの実施形態では、三フッ化窒素を利用して、プラズマ放出物を生成することができる。追加的な又は代替的なフッ素含有前駆体も利用することができる。例えば、フッ素含有前駆体を遠隔プラズマ領域に流すことができ、フッ素含有前駆体には、フッ素原子、二原子フッ素、三フッ化臭素、三フッ化塩素、三フッ化窒素、フッ化水素、六フッ化硫黄、及び二フッ化キセノンからなる群より選択される少なくとも1つの前駆体が含まれうる。遠隔プラズマ領域は、処理チャンバ又は該処理チャンバ内の区画とは別個のモジュール内にあってもよい。図2に示されるように、RPSユニット201と第1のプラズマ領域215の両方を遠隔プラズマ領域として利用することができる。RPSは、他のチャンバ構成要素に損傷を与えることなく、プラズマ放出物の解離を可能にし、一方、第1のプラズマ領域215は、その間に再結合が起こりうる基板へのより短い経路長を提供することができる。
フッ素含有前駆体を増強するために、追加の前駆体を遠隔プラズマ領域に送給することもできる。例えば、窒素含有前駆体又は水素含有前駆体を、フッ素含有前駆体とともに送給することができる。幾つかの実施形態では、前駆体は、例えばアンモニアとして、窒素及び水素を含みうる。追加の前駆体は、例えばフッ化メチルなどのフッ素含有前駆体であってもよい。窒素含有前駆体又は水素含有前駆体を含めることにより、プラズマ放出物の特定のH:F原子比を維持することができる。
選択的堆積は、堆積可能なチャンバ内で実行されてもよく、これにより、上述のチャンバ400を含む原子層堆積が可能になりうる。堆積は、別の絶縁材料と比較して、窒化物材料上に絶縁材料を選択的に堆積することを前提としうる。例えば、キャップ材料625は、誘電体材料610から最小限に形成されるか、又は制限されるとともに、窒化物材料620上に実質的に形成されうる。選択的堆積は、該選択的堆積を促進するための自己組織化単層の形成を含みうる、複数の工程によって実行することができ、あるいは、他の誘電材料上の誘電体の形成を積極的に禁止することを含んでもよい。
堆積を調整するために、構造の領域に自己組織化単層を形成することができる。例えば、第1の自己組織化単層を構造上に形成することができ、その後、露出させて窒化物材料620から単層を除去してもよい。単層は、誘電体材料610の上に維持することができる。単層は、後に送給される前駆体と反発するか、又は相互作用し損なう可能性のある終端部分を有しうる。例えば、実施形態では、終端部分は疎水性であってよく、追加の前駆体と相互作用しないであろうメチル基などの水素含有部分で終端してもよい。親水性であるか又はキャップ材料625を生成するために利用される1つ以上の前駆体と反応性がありうる第2の自己組織化単層を、窒化物材料620の上に形成することができる。材料は第1の自己組織化単層から反発されるか、あるいは金属に選択的に引き寄せられうるため、第2の自己組織化単層は、窒化物材料620の上に選択的に形成することができる。第2の自己組織化単層は、ヒドロキシル又は他の親水性部分で、若しくはキャップ材料625の形成に用いられる追加の前駆体と特異的に相互作用する部分で終端しうる。
その後、原子層堆積を発達させるために、2つ以上の前駆体を利用して原子層堆積を実行することができる。堆積の前駆体は、金属含有前駆体と、第1の自己組織化単層ではなく第2の自己組織化単層を終端する部分とが相互作用するように構成された前駆体とを含みうる。例えば、親水性及び疎水性終端単層が利用される場合、原子層堆積前駆体の1つは、水、又は親水性でありうるキャップ材料を発達させる他の何らかの前駆体を含みうる。このように、堆積は、疎水性でありうる第1の自己組織化単層上には形成されない場合がある。キャップ材料が酸化ケイ素などの酸化物を含む場合、原子層堆積で使用される前駆体は、ケイ素含有前駆体、並びに水を含みうる。その後、水は、水又は他の前駆体との半反応中に誘電材料610上に形成された第1の自己組織化単層と相互作用しない可能性があり、したがって堆積は第1の自己組織化単層上には形成されない。このようにして、キャップ材料625は、化学的にエッチングされうるマスク層が形成されることなく、窒化物材料620の上に選択的に形成されうる。
キャップ材料625が適切な高さまで形成された後、第1の自己組織化単層は、一例ではUV光などに曝露され、基板から除去されうる。したがって、第1の自己組織化単層は、金属材料の選択的エッチングの直後、若しくは追加のチャンバへの移送後、追加のプロセス工程の前に形成することができ、化学的除去又はエッチングを必要とする追加のマスキング層は構造上で利用されない場合がある。同様に、キャップ材料625が金属材料上に選択的に形成されることを保証するために、選択的堆積に続くキャップ材料625のエッチングは必要ではない場合がある。このように、従来のフォーメーションで利用された複数の工程が不要になる可能性があり、これによりキュー時間を大幅に、例えば数時間、短縮することができる。
窒素含有材料などの誘電体材料を選択的に堆積させるための代替的な機構を含みうる追加の選択的堆積技術も利用することができる。例えば、窒素含有材料は、単層の終端部分の1つなど、堆積が発生する材料上の自己組織化単層の1つとして利用されてもよく、これにより、前述の1つ以上の材料の形成に用いられる特定の前駆体を引き付けることが可能となりうる。例えば、窒素含有終端部分を利用することができ、特定の層の形成中に1つ以上のアミンを利用することができる。さらに他の技術は、温度差を利用して、酸化ケイ素と比較して窒化物上の堆積を強化することができる。例えば、ケイ素含有前駆体と窒素含有前駆体とを利用する原子層堆積は、約500℃以上の温度で実行することができ、約750℃以上、約900℃以上、約1000℃以上、又は最高で約1100℃以上の温度で実行することができる。
温度がこの範囲内で上昇すると、酸化ケイ素上よりも高い速度で、窒化物上で堆積が起こりうる。その後、窒素の選択的エッチングを実施して、酸化ケイ素表面から第1の誘電体材料を除去することができる。窒化物材料の表面上の第1の誘電体材料も低減されうるが、厚さは酸化ケイ素の厚さよりも何倍も大きいことから、窒化物材料の厚さを維持しつつ、酸化ケイ素からの完全な除去を行うことができる。
実施形態は、抑制剤を利用して、窒化物材料620上にキャップ材料625を選択的に形成する一方、誘電体材料610上にキャップ材料625を形成しなくてもよい。例えば、誘電体材料全体に抑制剤が適用されてもよい。抑制剤は、他の油又は界面活性剤材料とともに、シリコーンなどのシロキサン骨格、又はPTFEなどのテトラフルオロエチレン骨格によって特徴付けられうる任意の数の材料でありうる。材料は、誘電体材料610の露出部分を覆うように適用されうる。抑制剤材料は、窒化物材料620上に通常ならば形成又は堆積しうる材料の付着又は吸着を防ぐことができる。キャップ材料625のその後の形成では、除去剤が基板に適用されて、抑制剤材料が除去されうる。除去剤は、下にある誘電体材料610を露出する残留抑制剤材料を除去することができる湿式エッチング液、反応物、又は界面活性剤クレンザーであってもよい。したがって、抑制剤は、選択的エッチングに続いて、又は基板の移送に続いて、かつ、基板に影響を及ぼす他のプロセス工程の前に、直接適用することができる。抑制剤を利用することにより、ブランケットフィルムの後続のパターン形成及び/又はエッチングを介して画成する必要のない画成された領域にキャップ材料を形成することができる。前後のパターン形成工程を削除することにより、プロセスは従来のプロセスよりもキュー時間をさらに短縮することができる。
抑制剤は、基板の表面を中和又は不活性にすることができる被毒剤又はプラズマ適用の生成物であってもよい。例えば、改質プラズマは、不活性前駆体を含みうる1つ以上の前駆体から形成することができる。プラズマは、誘電体材料610の表面を変えることができるが、窒化物材料620に影響を与えないであろう基板の表面に適用することができる。1つの可能な例では、窒素であってよい窒素含有前駆体は、プラズマが生成する処理チャンバのプラズマ処理領域に送給されうる。窒素含有プラズマ放出物を含みうるプラズマ放出物は、基板に送給されてよく、誘電体材料610に沿って窒素化表面を形成することができる。
プラズマ放出物は窒化物材料620に影響を及ぼさない可能性があり、それにより、きれいな又は未反応の表面を維持することができる。その後、キャップ材料625は、原子層堆積若しくは他の気相堆積又は物理的堆積を含みうる1つ以上の堆積技術で形成されうる。例えば、原子層堆積技術は、プラズマ放出物によるその後の処理に利用することができる。堆積の各サイクルの後、窒素含有プラズマは、誘電体材料610上など、基板に再適用されうる。このようにして、誘電材料610の表面を安定化処理して、これらの領域にわたるキャップ材料625の形成を防止又は制限することができる。他のプラズマ又は非プラズマ材料を使用して、誘電体材料610を修飾又は被毒化することもでき、キャップ材料625の形成に用いることができる前駆体の1つ以上をはじくように処理することもできる。基板の凹設されていない部分にこれらのプラズマ放出物を利用することにより、後続のブランケットフィルムのパターン形成及び/又はエッチングを介して画成する必要のない画成領域にキャップ材料を形成することができる。前後のパターン形成工程を削除することにより、プロセスは従来のプロセスよりもキュー時間をさらに短縮することができる。
これらの技術はいずれも、1つ以上の非金属の誘電体又は絶縁領域と比較して、窒素含有領域上に誘電体又は絶縁材料を選択的に堆積又は形成することができる。選択性は、キャップ材料が窒化物材料620又は介在層の上にのみ形成され、キャップ材料が誘電体材料610上には全く形成されないであろうという点で完全でありうる。他の実施形態では、選択性は完全ではない場合があり、窒素含有材料の誘電体又は絶縁材料に対する堆積の比率は約2:1より大きくなりうる。選択性はまた、約5:1以上、約10:1以上、約15:1以上、約20:1以上、約25:1以上、約30:1以上、約35:1以上、約40:1以上、約45:1以上、約50:1以上、約75:1以上、約100:1以上、約200:1以上、又はそれ以上であってもよい。
キャップ材料は、約50nm以下でありうる前述の厚さまで形成することができ、約40nm以下、約30nm以下、約20nm以下、約10nm以下、約5nm以下、又はそれ以下でありうる。したがって、誘電体材料610上に限られた量を形成するか、あるいは本質的に材料を形成しないで、キャップ材料625を完全に堆積させるために、50:1未満の選択性が許容されうる。セクションが完全に分離されることを保証するために、キャップ材料625が誘電体材料610から完全に除去されることを確実にするために、堆積に続いてチャンバ200においてわずかなエッチバック工程を実行することができる。被覆は窒化物材料620の露出表面全体にわたって完全でありうることから、エッチバックは堆積された材料に影響を及ぼさないか、あるいはエッジ又は側壁を洗浄して滑らかな表面を生成することができる。堆積は窒化物材料620上でより大きくなりうるため、誘電体材料610上に堆積しうる量は、堆積時間をわずかに長くすることによって窒化物材料620に対して補償することができ、その後、所望の量の厚さまで凹設され、誘電体材料610の側壁を洗浄することができる。
堆積工程は、前述の任意の温度又は圧力で実行することができ、約50℃以上の温度で実行することができ、また、約100℃以上、約150℃以上、約200℃以上、約250℃以上、約300℃以上、約350℃以上、約400℃以上、約450℃以上、約500℃以上、約600℃以上、約700℃以上、約800℃以上、又はそれ以上で実行することができる。例えば、材料の層が形成されているときに前駆体を活性化して互いに相互作用させるために、原子層堆積工程中に約400℃以上の温度を利用することができる。本技術を利用することにより、従来の技術に対してより選択的な形成及び除去で製造を実行することができ、これにより従来のプロセスよりキュータイムを数時間短縮することができる。キャップ形成に加えて凹設工程を実行することにより、本技術は、メモリ又は他の構造上のより厚い及び/又はより長い金属コンタクトランディング領域を提供することができる。これにより、本技術によるメモリ又は他の構造の抵抗を低減することができる。
前述の記載では、説明を目的として、本技術のさまざまな実施形態の理解をもたらすために、多くの詳細が述べられてきた。しかしながら、これらの詳細の幾つかを含まずに、又はさらなる詳細と共に、ある特定の実施形態を実施しすることができることは、当業者とって明白であろう。
幾つかの実施形態を開示してきたが、実施形態の趣旨から逸脱することなく、さまざまな修正、代替構成、及び等価物を使用することができることは、当業者に認識されよう。さらには、本技術を不必要に不明瞭にすることを避けるために、幾つかのよく知られているプロセス及び要素については説明されていない。したがって、上記の説明は、本技術の範囲を限定するものと解釈すべきではない。
値の範囲が提示される場合、文脈がそうでないことを明確に指示しない限り、その範囲の上限と下限の間の下限値の単位の最小部分までの各介入値も具体的に開示されることが理解される。任意の記載値又は記載された範囲内の記載されていない介在値と、その記載範囲内の他の任意の記載値又は介在値との間の任意の狭い範囲も包含される。これらのより小さい範囲の上限と下限は、独立して範囲に含まれるか、又はその範囲から除外される場合があり、より小さい範囲に限界値のいずれかが含まれる、どちらも含まれない、又は両方が含まれる各範囲もこの技術範囲に包含され、指定範囲内の具体的に除外された任意の限界値の対象となる。指定された範囲内に一方又は両方の限界値が含まれる場合、それらの含まれた限界値のいずれか又は両方を除外する範囲も含まれる。
本明細書及び添付の特許請求の範囲で用いられるように、単数形「1つの(a)」、「1つの(an)」、及び「その(the)」は、文脈上別途明示しない限り複数の指示対象を含む。したがって、例えば、「1つの層」への言及は、複数のこのような層を含み、「その前駆体」への言及は、1つ以上の前駆体及び当業者に知られているその等価物への言及を含む、等々である。
また、「含む(comprise(s))」、「含んでいる(comprising)」、「含有する(contain(s))」、「含有している(containing)」、「含む(include(s))」、及び「含んでいる(including)」という語句は、本明細書及び添付の特許請求の範囲で用いられた場合、記載された特徴、整数、構成要素、又は工程の存在を特定することが意図されているが、1つ以上の他の特徴、整数、構成要素、工程、作用、又は群の存在又は追加を除外するものではない。

Claims (15)

  1. 半導体構造を形成する方法であって、
    処理チャンバの遠隔プラズマ領域でフッ素含有前駆体のプラズマを形成すること;
    半導体基板を前記プラズマの放出物と接触させることであって、前記半導体基板が前記処理チャンバの処理領域に収容される、接触させること;
    露出した窒化物材料を前記プラズマの放出物で選択的に洗浄すること;及び
    その後、前記洗浄した窒化物材料上にキャップ材料を堆積させることであって、該キャップ材料が誘電体材料の露出領域に対して前記窒化物材料上に選択的に堆積される、堆積させること
    を含む、半導体構造を形成する方法。
  2. エッチングが第1の処理チャンバ内で行われ、堆積が第2の処理チャンバ内で行われる、請求項1に記載の半導体構造を形成する方法。
  3. 前記第1の処理チャンバから前記第2の処理チャンバへ前記半導体基板を移送することをさらに含み、前記移送が真空破壊することなく行われる、請求項2に記載の半導体構造を形成する方法。
  4. 前記窒化物材料及び前記キャップ材料を選択的にエッチングして間隙を形成することをさらに含む、請求項1に記載の半導体構造を形成する方法。
  5. 前記エッチングが湿式エッチング又はプラズマ強化エッチングを含む、請求項4に記載の半導体構造を形成する方法。
  6. 前記間隙内に金属材料を形成することをさらに含み、前記金属材料が窒化チタン又はタングステンを含む、請求項4に記載の半導体構造を形成する方法。
  7. 前記キャップ材料が窒化ケイ素を含む、請求項1に記載の半導体構造を形成する方法。
  8. 前記誘電体材料が酸化ケイ素を含む、請求項1に記載の半導体構造を形成する方法。
  9. 前記堆積が、約2:1以上の前記窒化物材料の前記誘電体材料に対する選択性で行われる、請求項1に記載の半導体構造を形成する方法。
  10. 前記キャップ材料を選択的に堆積させることが、前記誘電体材料上の前記キャップ材料の成長を抑制することを含む、請求項1に記載の半導体構造を形成する方法。
  11. 前記基板が、窒化物材料と誘電体材料の交互の層を含み、前記キャップ材料が、別々のキャップ材料構成間の間隔を維持するように形成される、請求項1に記載の半導体構造を形成する方法。
  12. 半導体構造を形成する方法であって、
    処理チャンバの遠隔プラズマ領域でフッ素含有前駆体のプラズマを形成すること;
    半導体基板を前記プラズマの放出物と接触させることであって、前記半導体基板が前記処理チャンバの処理領域に収容される、接触させること;
    前記半導体基板上の誘電体材料の露出領域に近接して配置された窒化物材料の露出領域を選択的に洗浄すること;及び
    その後、前記窒化物材料上にキャップ材料を形成することであって、該キャップ材料が、前記誘電体材料の露出領域に対して前記窒化物材料の上に選択的に形成される、形成すること
    を含む、方法。
  13. 前記基板が、前記窒化物材料の第1の露出領域と、前記誘電体材料の露出領域によって垂直方向に分離された前記窒化物材料の第2の露出領域とを含み、前記誘電体材料が酸化ケイ素を含み、かつ前記キャップ材料が窒化ケイ素を含む、請求項12に記載の半導体構造を形成する方法。
  14. 前記窒化物材料の各露出領域が、露出した上面と、前記上面に対して垂直な露出した側壁とを含み、前記キャップ材料が、第1の窒化物材料及び第2の窒化物材料の前記露出した上面及び前記露出した側壁の上に形成され、前記第1の窒化物材料の上に形成されたキャップ材料が、形成後に前記第2の窒化物材料の上に形成された前記キャップ材料と接触していない、請求項13に記載の半導体構造を形成する方法。
  15. 第1の窒化物材料、第2の窒化物材料、及びキャップ材料を前記半導体基板から除去することをさらに含む、請求項12に記載の半導体構造を形成する方法。
JP2020505328A 2017-08-04 2018-07-24 改善された金属コンタクトランディング構造 Active JP6886557B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201762541384P 2017-08-04 2017-08-04
US62/541,384 2017-08-04
PCT/US2018/043496 WO2019027738A1 (en) 2017-08-04 2018-07-24 ENHANCED METAL CONTACT LANDING STRUCTURE

Publications (2)

Publication Number Publication Date
JP2020529731A true JP2020529731A (ja) 2020-10-08
JP6886557B2 JP6886557B2 (ja) 2021-06-16

Family

ID=65233072

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2020505328A Active JP6886557B2 (ja) 2017-08-04 2018-07-24 改善された金属コンタクトランディング構造

Country Status (6)

Country Link
US (1) US11049695B2 (ja)
JP (1) JP6886557B2 (ja)
KR (1) KR102505902B1 (ja)
CN (1) CN111033699B (ja)
TW (1) TWI790265B (ja)
WO (1) WO2019027738A1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2021106242A (ja) * 2019-12-27 2021-07-26 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11189635B2 (en) 2019-04-01 2021-11-30 Applied Materials, Inc. 3D-NAND mold
US11638377B2 (en) 2019-09-13 2023-04-25 Applied Materials, Inc. Self-aligned select gate cut for 3D NAND
US11587796B2 (en) 2020-01-23 2023-02-21 Applied Materials, Inc. 3D-NAND memory cell structure
JP7072012B2 (ja) 2020-02-27 2022-05-19 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、基板処理装置、及びプログラム
US11930637B2 (en) 2020-06-19 2024-03-12 Applied Materials, Inc. Confined charge trap layer
US11756785B2 (en) * 2021-08-20 2023-09-12 Applied Materials, Inc. Molecular layer deposition contact landing protection for 3D NAND
KR20230126792A (ko) * 2022-02-24 2023-08-31 주성엔지니어링(주) 기판처리방법

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150287710A1 (en) * 2014-04-08 2015-10-08 Tae-Hwan YUN Semiconductor devices having conductive pads and methods of fabricating the same
JP2016537824A (ja) * 2013-09-16 2016-12-01 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 窒化ケイ素の選択的なエッチング
JP2018041793A (ja) * 2016-09-06 2018-03-15 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
WO2020016915A1 (ja) * 2018-07-17 2020-01-23 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060090773A1 (en) * 2004-11-04 2006-05-04 Applied Materials, Inc. Sulfur hexafluoride remote plasma source clean
US8836137B2 (en) * 2012-04-19 2014-09-16 Macronix International Co., Ltd. Method for creating a 3D stacked multichip module
US20130298942A1 (en) * 2012-05-14 2013-11-14 Applied Materials, Inc. Etch remnant removal
US9023734B2 (en) * 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US8921234B2 (en) * 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US20160260602A1 (en) * 2013-11-04 2016-09-08 Applied Materials, Inc. Adhesion improvements for oxide-silicon stack
KR20150104817A (ko) * 2014-03-06 2015-09-16 에스케이하이닉스 주식회사 반도체 장치 및 그 제조 방법
WO2016010821A1 (en) * 2014-07-16 2016-01-21 Applied Materials, Inc. Polishing with measurement prior to deposition
US9633867B2 (en) * 2015-01-05 2017-04-25 Lam Research Corporation Method and apparatus for anisotropic tungsten etching

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2016537824A (ja) * 2013-09-16 2016-12-01 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 窒化ケイ素の選択的なエッチング
US20150287710A1 (en) * 2014-04-08 2015-10-08 Tae-Hwan YUN Semiconductor devices having conductive pads and methods of fabricating the same
JP2018041793A (ja) * 2016-09-06 2018-03-15 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
WO2020016915A1 (ja) * 2018-07-17 2020-01-23 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2021106242A (ja) * 2019-12-27 2021-07-26 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
JP7227122B2 (ja) 2019-12-27 2023-02-21 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、基板処理装置、およびプログラム

Also Published As

Publication number Publication date
TWI790265B (zh) 2023-01-21
TW201919127A (zh) 2019-05-16
JP6886557B2 (ja) 2021-06-16
WO2019027738A1 (en) 2019-02-07
US11049695B2 (en) 2021-06-29
KR20200051600A (ko) 2020-05-13
CN111033699B (zh) 2023-10-13
CN111033699A (zh) 2020-04-17
US20200168440A1 (en) 2020-05-28
KR102505902B1 (ko) 2023-03-06

Similar Documents

Publication Publication Date Title
JP6886557B2 (ja) 改善された金属コンタクトランディング構造
US10770346B2 (en) Selective cobalt removal for bottom up gapfill
KR102655277B1 (ko) 개선된 게르마늄 식각 시스템들 및 방법들
US10465294B2 (en) Oxide and metal removal
US10049891B1 (en) Selective in situ cobalt residue removal
TWI520212B (zh) 選擇性氮化鈦蝕刻
US8980763B2 (en) Dry-etch for selective tungsten removal
TWI775839B (zh) 具有選擇性阻隔層的結構
US20150214066A1 (en) Method for material removal in dry etch reactor
CN111095525A (zh) 选择性蚀刻的自对准过孔工艺
TWI751326B (zh) 自對準通孔處理流程
TWI774754B (zh) 自對準觸點與閘極處理流程
TWI758464B (zh) 含矽間隔物的選擇性形成
US10256112B1 (en) Selective tungsten removal
WO2018195420A1 (en) Methods and structures to reduce contact resistance for finfet devices
TWI782981B (zh) 子鰭片至絕緣體矽之轉換
TWI798215B (zh) 選擇性側壁間隔物

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20200326

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20210420

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20210422

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20210514

R150 Certificate of patent or registration of utility model

Ref document number: 6886557

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250