JP2019508678A - ハイブリッドインスペクタ - Google Patents

ハイブリッドインスペクタ Download PDF

Info

Publication number
JP2019508678A
JP2019508678A JP2018534659A JP2018534659A JP2019508678A JP 2019508678 A JP2019508678 A JP 2019508678A JP 2018534659 A JP2018534659 A JP 2018534659A JP 2018534659 A JP2018534659 A JP 2018534659A JP 2019508678 A JP2019508678 A JP 2019508678A
Authority
JP
Japan
Prior art keywords
electron beam
specimen
sample
output
optical
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2018534659A
Other languages
English (en)
Other versions
JP6893514B2 (ja
Inventor
クリス バスカー
クリス バスカー
グレース エイチ チェン
グレース エイチ チェン
キース ウェルス
キース ウェルス
ウェイン マクミラン
ウェイン マクミラン
ジン チャン
ジン チャン
スコット エー ヤング
スコット エー ヤング
ブライアン ダフィー
ブライアン ダフィー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
KLA Corp
Original Assignee
KLA Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by KLA Corp filed Critical KLA Corp
Publication of JP2019508678A publication Critical patent/JP2019508678A/ja
Application granted granted Critical
Publication of JP6893514B2 publication Critical patent/JP6893514B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/22Optical or photographic arrangements associated with the tube
    • H01J37/222Image processing arrangements associated with the tube
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/9501Semiconductor wafers
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N23/00Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00
    • G01N23/22Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by measuring secondary emission from the material
    • G01N23/225Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by measuring secondary emission from the material using electron or ion
    • G01N23/2251Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by measuring secondary emission from the material using electron or ion using incident electron beams, e.g. scanning electron microscopy [SEM]
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/7065Defects, e.g. optical inspection of patterned layer for defects
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N3/00Computing arrangements based on biological models
    • G06N3/02Neural networks
    • G06N3/04Architecture, e.g. interconnection topology
    • G06N3/045Combinations of networks
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N3/00Computing arrangements based on biological models
    • G06N3/02Neural networks
    • G06N3/04Architecture, e.g. interconnection topology
    • G06N3/047Probabilistic or stochastic networks
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N3/00Computing arrangements based on biological models
    • G06N3/02Neural networks
    • G06N3/08Learning methods
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T7/00Image analysis
    • G06T7/0002Inspection of images, e.g. flaw detection
    • G06T7/0004Industrial image inspection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/04Arrangements of electrodes and associated parts for generating or controlling the discharge, e.g. electron-optical arrangement, ion-optical arrangement
    • H01J37/06Electron sources; Electron guns
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/22Optical or photographic arrangements associated with the tube
    • H01J37/226Optical arrangements for illuminating the object; optical arrangements for collecting light from the object
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/26Electron or ion microscopes; Electron or ion diffraction tubes
    • H01J37/28Electron or ion microscopes; Electron or ion diffraction tubes with scanning beams
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/8851Scan or image signal processing specially adapted therefor, e.g. for scan signal adjustment, for detecting different kinds of defects, for compensating for structures, markings, edges
    • G01N2021/8854Grading and classifying of flaws
    • G01N2021/8867Grading and classifying of flaws using sequentially two or more inspection runs, e.g. coarse and fine, or detecting then analysing
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/956Inspecting patterns on the surface of objects
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2201/00Features of devices classified in G01N21/00
    • G01N2201/12Circuits of general importance; Signal processing
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2223/00Investigating materials by wave or particle radiation
    • G01N2223/30Accessories, mechanical or electrical features
    • G01N2223/304Accessories, mechanical or electrical features electric circuits, signal processing
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2223/00Investigating materials by wave or particle radiation
    • G01N2223/40Imaging
    • G01N2223/401Imaging image processing
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2223/00Investigating materials by wave or particle radiation
    • G01N2223/40Imaging
    • G01N2223/418Imaging electron microscope
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2223/00Investigating materials by wave or particle radiation
    • G01N2223/60Specific applications or type of materials
    • G01N2223/611Specific applications or type of materials patterned objects; electronic devices
    • G01N2223/6116Specific applications or type of materials patterned objects; electronic devices semiconductor wafer
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2223/00Investigating materials by wave or particle radiation
    • G01N2223/60Specific applications or type of materials
    • G01N2223/646Specific applications or type of materials flaws, defects
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/10Image acquisition modality
    • G06T2207/10004Still image; Photographic image
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/10Image acquisition modality
    • G06T2207/10056Microscopic image
    • G06T2207/10061Microscopic image from scanning electron microscope
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/20Special algorithmic details
    • G06T2207/20076Probabilistic image processing
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/20Special algorithmic details
    • G06T2207/20081Training; Learning
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/20Special algorithmic details
    • G06T2207/20084Artificial neural networks [ANN]
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/30Subject of image; Context of image processing
    • G06T2207/30108Industrial image inspection
    • G06T2207/30148Semiconductor; IC; Wafer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/244Detection characterized by the detecting means
    • H01J2237/24475Scattered electron detectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/244Detection characterized by the detecting means
    • H01J2237/24495Signal processing, e.g. mixing of two or more signals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/26Electron or ion microscopes
    • H01J2237/28Scanning microscopes
    • H01J2237/2813Scanning microscopes characterised by the application
    • H01J2237/2817Pattern inspection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Analytical Chemistry (AREA)
  • Chemical & Material Sciences (AREA)
  • Theoretical Computer Science (AREA)
  • Health & Medical Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • General Health & Medical Sciences (AREA)
  • Pathology (AREA)
  • Immunology (AREA)
  • Biochemistry (AREA)
  • Software Systems (AREA)
  • Computing Systems (AREA)
  • Mathematical Physics (AREA)
  • Molecular Biology (AREA)
  • Evolutionary Computation (AREA)
  • General Engineering & Computer Science (AREA)
  • Data Mining & Analysis (AREA)
  • Computational Linguistics (AREA)
  • Biophysics (AREA)
  • Biomedical Technology (AREA)
  • Artificial Intelligence (AREA)
  • Computer Vision & Pattern Recognition (AREA)
  • Probability & Statistics with Applications (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Quality & Reliability (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Analysing Materials By The Use Of Radiation (AREA)
  • Investigating Materials By The Use Of Optical Means Adapted For Particular Applications (AREA)

Abstract

ハイブリッドインスペクタが提供される。1つのシステムが、標本について生成された光学ベースの出力および電子ビームベースの出力を受信するように構成されたコンピュータサブシステムを含む。コンピュータサブシステムは、標本について生成された光学ベースの出力および電子ビームベースの出力の少なくとも一部を使用して1つ以上の機能を実施するように構成された1つ以上の仮想システムを含む。本システムはまた、標本のための1つ以上のシミュレーションを実施するように構成された1つ以上のモデルを含む、コンピュータサブシステムによって実行される1つ以上の構成要素を含む。コンピュータサブシステムは、光学ベースの出力、電子ビームベースの出力、1つ以上の機能の結果、および1つ以上のシミュレーションの結果のうちの少なくとも2つに基づいて標本における欠陥を検出するように構成されている。

Description

本発明は、概して、ハイブリッドインスペクタに関する。
以下の説明および例は、このセクションにおけるそれらの包含により先行技術であることが認められるものではない。
論理デバイスおよびメモリデバイスなどの半導体デバイスの製作は、典型的には、多くの半導体製作プロセスを使用して半導体ウェハなどの基板を加工して、半導体デバイスの様々な特徴部および複数のレベルを形成することを含む。例えば、リソグラフィは、レチクルから半導体ウェハ上に配置されたレジストにパターンを転写することに関与する半導体製作プロセスである。半導体製作プロセスのさらなる例としては、化学機械研磨(CMP)、エッチング、成膜、およびイオン注入が挙げられるが、これらに限定されない。複数の半導体デバイスが、単一の半導体ウェハ上に配置されて製作されて、その後、個別の半導体デバイスに分けられる場合がある。
ウェハ上の欠陥を検出して、製造プロセスにおけるより高い歩留り、したがってより高い利益を推進するために、半導体製造プロセス中の様々な工程において検査プロセスが使用される。検査は、常に、半導体デバイスの製造の重要な部分であった。しかしながら、半導体デバイスの寸法が減少すると、より小さな欠陥がデバイスを故障させ得るため、許容できる半導体デバイスの製造の成功には検査がさらにいっそう重要になる。
現在使用されている検査法は、20年以上も効果的に変更されていない。検査ソリューションは、本質的には以下の特徴を有する。かなり低速の電子ビームタイプのシステムにより、ユーザは物理的欠陥の問題を識別および検証することができる。別個に、ウェハ全体を網羅するが多くの場合単層の検査に制限される非常に高速ではあるが粗い光学検査が実施される。これら2つのシステムは、典型的には、互いから物理的に分離されている。
従来のリソグラフィスケーリング(193nm波長で)は、2014〜2015年時点で行き詰っていたように思われる。それは、マルチパターニングリソグラフィプロセスに取って代わられ、これは、今後10年にわたって、またはたとえ極紫外(EUV)が登場したとしても、定着するように思われる。ほんの数世代前には従来のプレーナ型MOSFETトランジスタはほんの数層であったが、マルチパターニングリソグラフィプロセスは、FINFETトランジスタを完成させるだけのために膨大な数の(例えば20を超える)プロセスステップの使用を結果としてもたらした。ある意味で、1次元における制御を維持するために、z次元に複雑性が追加されたのである。z次元における追加された複雑性は、臨界次元(CD)およびオーバーレイ制御に対するはるかに厳しい要件を結果としてもたらした。光学インスペクタの場合、ノイズは所与の層で10X倍増加した。実際には、所与の層での検査は不良設定問題であると論じることができる。
米国特許出願公開第2015/0062571号 米国特許出願公開第2015/0204799号 国際公開第2015/100099号
物理的検査のための現行のスタンドアローン光学インスペクタにはいくつかの制限が存在する。具体的には、光学ツールは、ポストCMOSスケーリングの時代には以下の影響を受ける。ポスト層ノイズ(光学システムは層を通して「見る」ことができ、これは前の層がより多くのノイズを有する場合には大きな欠点となり得る)、色ノイズ(光学システムは、z方向、膜厚などにおける局所的および全体的次元の変化などの位相変化の影響を受ける)、位相ベースの検出アパーチャと組み合わさったときにさらなるノイズを引き起こす可能性のあるラインエッジラフネス(LER)、および光学のコア解像度制限。
電子ビーム検査およびレビューツールにもいくつかの制限が存在する。例えば、電子ビームインスペクタおよびレビュープラットフォームは、物理的解像度の利点を有し、ますます採用されているが、比較的広いカバレージを要するプロセス系統的欠陥を検出することができないこと、LER欠陥の場合に比較的劣った欠陥対ピクセル比(大半の検出アルゴリズムは比較ベースである)、局所帯電に起因する比較的劣った欠陥対ピクセル比(大半の検出アルゴリズムは比較ベースである)、およびz方向における欠陥を見るにはかなり限られた透過を含む制限を有する。
したがって、上記の欠点のうちの1つ以上を有しない、標本における欠陥を検出するためのシステムおよび方法を開発することが有利である。
様々な実施形態の以下の説明は、添付の特許請求の範囲の主題をいかようにも制限するものとして解釈されるべきではない。
1つの実施形態は、標本における欠陥を検出するように構成されたシステムに関する。本システムは、標本の光学ベースの出力を、光を標本に向け、光を標本から検出することによって生成するように構成された光学ベースのサブシステムを含む。本システムはまた、標本の電子ビームベースの出力を、電子を標本に向け、電子を標本から検出することによって生成するように構成された電子ビームベースのサブシステムを含む。加えて、本システムは、標本について生成された光学ベースの出力および電子ビームベースの出力を受信するように構成された1つ以上のコンピュータサブシステムを含む。1つ以上のコンピュータサブシステムは、標本について生成された光学ベースの出力および電子ビームベースの出力の少なくとも一部を使用して1つ以上の機能を実施するように構成された1つ以上の仮想システムを含む。1つ以上の仮想システムは、その中に標本を載置させることができない。本システムは、1つ以上のコンピュータサブシステムによって実行される1つ以上の構成要素をさらに含む。1つ以上の構成要素は、標本のための1つ以上のシミュレーションを実施するように構成された1つ以上のモデルを含む。1つ以上のコンピュータサブシステムは、光学ベースの出力、電子ビームベースの出力、1つ以上の機能の結果、および1つ以上のシミュレーションの結果のうちの少なくとも2つに基づいて、標本における欠陥を検出するように構成されている。上記のシステムの実施形態の各々は、本明細書にさらに説明されるようにさらに構成されてもよい。
追加の実施形態は、標本における欠陥を検出するためのコンピュータ実装の方法に関する。本方法は、標本の光学ベースの出力を、光を標本に向け、光を標本から検出することによって生成することを含む。本方法はまた、標本の電子ビームベースの出力を、電子を標本に向け、電子を標本から検出することによって生成することを含む。加えて、本方法は、1つ以上のコンピュータシステムを用いて標本について生成された光学ベースの出力および電子ビームベースの出力を受信することを含む。1つ以上のコンピュータシステムは、標本について生成された光学ベースの出力および電子ビームベースの出力の少なくとも一部を使用して1つ以上の機能を実施するように構成された1つ以上の仮想システムを含む。1つ以上の仮想システムは、その中に標本を載置させることができない。1つ以上の構成要素は、1つ以上のコンピュータシステムによって実行される。1つ以上の構成要素は、標本のための1つ以上のシミュレーションを実施するように構成された1つ以上のモデルを含む。本方法は、光学ベースの出力、電子ビームベースの出力、1つ以上の機能の結果、1つ以上のシミュレーションの結果のうちの少なくとも2つに基づいて標本における欠陥を検出することをさらに含む。
上記の方法のステップの各々は、本明細書内にさらに説明されるようにさらに実施されてもよい。加えて、上記の方法の実施形態は、本明細書に説明される任意の他の方法の任意の他のステップを含んでもよい。さらには、上記の方法は、本明細書に説明されるシステムのいずれかによって実施されてもよい。
別の実施形態は、標本における欠陥を検出するためのコンピュータ実装の方法を実施するための1つ以上のコンピュータシステムに対して実行可能なプログラム命令を格納する非一時的なコンピュータ可読媒体に関する。コンピュータ実装の方法は、上記のステップを含む。コンピュータ可読媒体は、本明細書に説明されるようにさらに構成されてもよい。コンピュータ実装の方法のステップは、本明細書内にさらに説明されるように実施されてもよい。加えて、プログラム命令が実行可能であるコンピュータ実装の方法は、本明細書に説明される任意の他の方法の任意の他のステップを含んでもよい。
本発明のさらなる利点は、好ましい実施形態の以下の詳細な説明の恩恵により、および添付の図面への参照により、当業者には明らかになるものとする。
標本における欠陥を検出するように構成されたシステムの1つの実施形態を例証する概略図である。 本明細書に説明されるコンピュータ実装の方法のうちの1つ以上を実施するための1つ以上のコンピュータシステムに対して実行可能なプログラム命令を格納する非一時的なコンピュータ可読媒体の1つの実施形態を例証するブロック図である。
本発明は、様々な修正および代替形態の影響を受けやすいものであるが、本発明の特定の実施形態が、図面において例として示され、本明細書内で詳細に説明される。図面は縮尺通りでない場合がある。しかしながら、図面およびそれに関する詳細な説明は、本発明を開示された特定の形態に制限することを意図するものではなく、むしろ、本発明は、添付の特許請求の範囲によって規定されるような本発明の趣旨および範囲内に入るすべての修正物、等価物、および代替物を網羅するものとするということを理解されたい。
「設計」、「設計データ」、および「設計情報」という用語は、本明細書内で同じ意味で使用される場合、概して、ICの物理的な設計(レイアウト)、ならびに複雑なシミュレーションまたは単純な幾何およびブール演算により物理的な設計から得たデータを指す。加えて、レチクル検査システムによって取得されたレチクルの画像および/またはその派生物を、設計のための「プロキシ」として使用することができる。そのようなレチクル画像またはその派生物は、設計を使用する本明細書に説明されるいかなる実施形態においても、設計レイアウトの代替としての機能を果たすことができる。設計は、権利者が共通の2009年8月4日にザファル(Zafar)らに対して発行された米国特許第7,570,796号および2010年3月9日にクルカルニ(Kulkarni)らに対して発行された同第7,676,077号に説明される任意の他の設計データまたは設計データプロキシを含み得、これらの両方が、本明細書に完全に明記されるかのように引用により援用する。加えて、設計データは、標準セルライブラリデータ、統合レイアウトデータ、1つ以上の層の設計データ、設計データの派生物、およびフルまたは部分チップ設計データであり得る。
加えて、本明細書に説明される「設計」、「設計データ」、および「設計情報」は、設計プロセスにおいて半導体デバイス設計者によって生成され、したがって、レチクルおよびウェハなどの任意の物理的な標本に対する設計のプリントのかなり前に、本明細書に説明される実施形態における使用のために利用可能である、情報およびデータを指す。
ここで図面に移るが、図は縮尺通りに描かれていないということに留意されたい。具体的には、図の要素の一部の縮尺は、要素の特徴を強調するために大いに誇張されている。図は同じ縮尺で描かれていないということにも留意されたい。同様に構成され得る2つ以上の図に示されている要素は、同じ参照番号を使用して示されている。本明細書内に別途記載のない限り、説明および図示される要素のいずれかは、任意の好適な市販の要素を含み得る。
1つの実施形態は、標本における欠陥を検出するように構成されたシステムに関する。本明細書に説明される実施形態は、概して、8〜16時間以内に300mmのロジックウェハ上の1億〜10億の場所においてサイズが5nm超の範囲にある欠陥イベントを発見、監視、および検証するように構成されている。本明細書に説明される実施形態は、リソグラフィおよびプロセス誘導の系統的欠陥のために調整および/または最適化することができるが、概して、従来の光学検査ツール能力を超える性能強化として適用可能である。本実施形態はまた、光学、走査電子顕微鏡(SEM)、および設計パッチを組み合わせた深層学習システムのネットワークを使用して半導体製造プロセスにおける設計およびプロセス系統的欠陥を識別および分類するための一般化されたパッチベースのハイブリッドインスペクタとして構成され得る。本明細書にさらに説明されるように、8〜16時間以内に300mmのロジックウェハ上の1億〜10億の場所においてサイズが5nm超の範囲にあるリソグラフィおよびプロセス誘導の系統的イベントをユーザが発見、監視、検証することを可能にするシステムソリューションを設計、構築、および配信することを可能にする主な概念および原理が提供される。本明細書に説明される実施形態は、自動欠陥分類(ADC)、融合、ダイツーデータベース(die−to−database)検査、中央計算および格納(CCS)、ならびに容積測定検査の以前の考えを踏まえるとある意味で進化的であるが、深層学習の何らかの新たな理解およびPWQウェハなどの試験ウェハの活用を生かすことができる。
そのようなシステムの1つの実施形態が図1に示される。本システムは、光学ベースのサブシステム10を含む。一般に、光学ベースのサブシステムは、標本の光学ベースの出力を、光を標本に向け(または光を標本の上に走査し)、光を標本から検出することによって生成するように構成されている。1つの実施形態において、標本はウェハを含む。ウェハは、当該技術分野で知られている任意のウェハを含み得る。別の実施形態において、標本はレチクルを含む。レチクルは、当該技術分野で知られている任意のレチクルを含み得る。
図1に示されるシステムの実施形態では、光学ベースのサブシステム10は、標本14に光を向けるように構成された照明サブシステムを含む。照明サブシステムは、少なくとも1つの光源を含む。例えば、図1に示されるように、照明サブシステムは光源16を含む。1つの実施形態において、照明サブシステムは、1つ以上の斜角および/または1つ以上の法線角を含み得る1つ以上の入射角で光を標本に向けるように構成されている。例えば、図1に示されるように、光源16からの光は、斜めの入射角で、光学素子18および次いでレンズ20を通って標本14に向けられる。斜めの入射角は、任意の好適な斜めの入射角を含み得、それは、例えば標本の特徴によって変化し得る。
光学ベースのサブシステムは、異なる時に異なる入射角で標本に光を向けるように構成され得る。例えば、光学ベースのサブシステムは、図1に示されるものとは異なる入射角で光を標本に向けることができるように、照明サブシステムの1つ以上の要素の1つ以上の特徴を変更するように構成され得る。1つのそのような例において、光学ベースのサブシステムは、異なる斜めの入射角または法線の(もしくは法線に近い)入射角で光を標本に向けることができるように、光源16、光学素子18、およびレンズ20を動かすように構成され得る。
いくつかの場合において、光学ベースのサブシステムは、同時に2つ以上の入射角で光を標本に向けるように構成され得る。例えば、照明サブシステムは、2つ以上の照明チャネルを含み得、照明チャネルのうちの1つは、図1に示されるように光源16、光学素子18、およびレンズ20を含み得、照明チャネルのうちの別のもの(図示せず)は、異なってもしくは同じに構成され得る同様の要素を含み得るか、または少なくとも光源およびおそらくは本明細書にさらに説明されるものなどの1つ以上の他の構成要素を含み得る。そのような光が他の光と同時に標本に向けられる場合、異なる入射角で標本に向けられる光の1つ以上の特徴(例えば、波長、偏光など)が、異なり得るため、異なる入射角で標本の照明から生じる光を検出器において互いと区別することができる。
別の場合において、照明サブシステムは、1つのみの光源(例えば図1に示される光源16)を含み得、光源からの光は、照明サブシステムの1つ以上の光学素子(図示せず)によって(例えば、波長、偏光などに基づいて)異なる光経路内へ分離され得る。異なる光経路の各々における光が、次いで標本に向けられる。複数の照明チャネルが、同時にまたは異なる時(例えば、異なる照明チャネルを使用して標本を連続して照明するとき)に標本に光を向けるように構成され得る。別の場合において、同じ照明チャネルが、異なる時に異なる特徴を有する標本に光を向けるように構成され得る。例えば、いくつかの場合において、光学素子18は、スペクトルフィルタとして構成され得、スペクトルフィルタの特性は、光の異なる波長を異なる時に標本に向けることができるように、様々な異なるやり方で(例えば、スペクトルフィルタを取り換えることによって)変えることができる。照明サブシステムは、異なるまたは同じ特徴を有する光を異なるまたは同じ入射角で連続してまたは同時に標本に向けるための当該技術分野において知られている任意の他の構成を有してもよい。
1つの実施形態において、光源16は、広帯域プラズマ(BBP)光源を含み得る。この様式では、光源によって生成され標本に向けられる光は、広帯域光を含み得る。しかしながら、光源は、レーザなどの任意の他の好適な光源を含んでもよい。レーザは、当該技術分野において知られている任意の好適なレーザを含み得、当該技術分野において知られている任意の好適な波長で光を生成するように構成され得る。加えて、レーザは、単色またはほぼ単色である光を生成するように構成され得る。この様式では、レーザは狭帯域レーザであってもよい。光源はまた、複数の離散した波長または波帯で光を生成する多色光源を含み得る。
光学素子18からの光は、レンズ20によって標本14に焦点合わせされ得る。レンズ20は、図1では単一の屈折光学素子として示されるが、実際には、レンズ20は、共同して光学素子からの光を標本に焦点合わせするいくつかの屈折および/または反射光学素子を含み得ることが理解されるものとする。図1に示され本明細書に説明される照明サブシステムは、任意の他の好適な光学素子(図示せず)を含んでもよい。そのような光学素子の例としては、当該技術分野で知られている任意のそのような好適な光学素子を含み得る、偏光構成要素、スペクトルフィルタ、空間フィルタ、反射光学素子、アポタイザ、ビームスプリッタ、アパーチャ、および同様のものが挙げられるが、これらに限定されない。加えて、光学ベースのサブシステムは、光学ベースの出力を生成するために使用される予定の照明の種類に基づいて照明サブシステムの要素のうちの1つ以上を変更するように構成され得る。
光学ベースのサブシステムはまた、標本の上に光を走査させるように構成された走査サブシステムを含み得る。例えば、光学ベースのサブシステムは、標本14が光学ベースの出力生成中に載置されるステージ22を含み得る。走査サブシステムは、光を標本の上に走査することができるように標本を動かすように構成され得る(ステージ22を含む)任意の好適な機械的および/またはロボットアセンブリを含み得る。加えて、または代替的に、光学ベースのサブシステムは、光学ベースのサブシステムの1つ以上の光学素子が標本の上で光の何らかの走査を実施するように構成され得る。光は、蛇行したような経路またはらせん経路などの任意の好適な方式で標本の上に走査され得る。
光学ベースのサブシステムは、1つ以上の検出チャネルをさらに含む。1つ以上の検出チャネルのうちの少なくとも1つは、サブシステムによって標本の照明により標本から光を検出するように、および検出された光に応答して出力を生成するように構成された検出器を含む。例えば、図1に示される光学ベースのサブシステムは、2つの検出チャネルを含み、一方は、集光器24、要素26、および検出器28によって形成され、もう一方は、集光器30、要素32、および検出器34によって形成される。図1に示されるように、2つの検出チャネルは、異なる集光角度で光を収集および検出するように構成されている。いくつかの場合において、両方の検出チャネルが、散乱光を検出するように構成されており、これらの検出チャネルは、標本から異なる角度で散乱される光を検出するように構成されている。しかしながら、検出チャネルの1つ以上が、標本からの別の種類の光(例えば反射光)を検出するように構成されていてもよい。
図1にさらに示されるように、両方の検出チャネルが、紙面内に位置付けられて示され、照明サブシステムも紙面内に位置付けられて示される。したがって、この実施形態では、両方の検出チャネルが、入射面内に位置付けられる(例えば、入射面内の中心に置かれる)。しかしながら、検出チャネルのうちの1つ以上は、入射面外に位置付けられ得る。例えば、集光器30、要素32、および検出器34によって形成される検出チャネルは、入射面から外に散乱される光を収集および検出するように構成され得る。したがって、そのような検出チャネルは、「サイド」チャネルと一般に呼ばれ得、そのようなサイドチャネルは、入射面に略垂直である平面の中心に置かれ得る。
図1は、2つの検出チャネルを含む光学ベースのサブシステムの実施形態を示すが、光学ベースのサブシステムは、異なる数の検出チャネル(例えば、1つのみの検出チャネルまたは2つ以上の検出チャネル)を含んでもよい。1つのそのような場合において、集光器30、要素32、および検出器34によって形成される検出チャネルは、上記のような1つのサイドチャネルを形成してもよく、光学ベースのサブシステムは、入射面の反対側に位置付けられる別のサイドチャネルとして形成される追加の検出チャネル(図示せず)を含んでもよい。したがって、光学ベースのサブシステムは、入射面の中心に置かれ、標本表面に対して法線であるかまたは法線に近い散乱角度で光を収集および検出するように構成された、集光器24、要素26、および検出器28を含む検出チャネルを含み得る。この検出チャネルは、したがって、「トップ」チャネルと一般に呼ばれ得、光学ベースのサブシステムはまた、上記のように構成された2つ以上のサイドチャネルを含み得る。そのようなものとして、光学ベースのサブシステムは、少なくとも3つのチャネル(即ち、1つのトップチャネルおよび2つのサイドチャネル)を含み得、少なくとも3つのチャネルの各々が、独自の集光器を有し、その各々が、他の集光器の各々とは異なる散乱角度で光を収集するように構成されている。
上にさらに説明されるように、光学ベースのサブシステムに含まれる検出チャネルの各々は、散乱光を検出するように構成され得る。したがって、図1に示される光学ベースのサブシステムは、標本の暗視野(DF)出力生成のために構成され得る。しかしながら、光学ベースのサブシステムはまた、または代替的に、標本の明視野(BF)出力生成のために構成されている検出チャネルを含み得る。言い換えると、光学ベースのサブシステムは、標本から鏡面的に反射された光を検出するように構成されている少なくとも1つの検出チャネルを含み得る。したがって、本明細書に説明される光学ベースのサブシステムは、DFのみ、BFのみ、またはDFおよびBFの両方のために構成され得る。集光器の各々は、図1では単一の屈折光学素子として示されるが、集光器の各々は、1つ以上の屈折光学素子および/または1つ以上の反射光学素子を含み得ることが理解されるものとする。
1つ以上の検出チャネルは、当該技術分野で知られている任意の好適な検出器を含み得る。例えば、検出器は、光電子増倍管(PMT)、電荷結合デバイス(CCD)、時間遅延積分(TDI)カメラ、および当該技術分野で知られている任意の他の好適な検出器を含み得る。検出器はまた、非撮像検出器または撮像検出器を含み得る。この様式では、検出器が非撮像検出器である場合、検出器の各々は、強度などの散乱光の特定の特徴を検出するように構成され得るが、そのような特徴を撮像平面内の位置の関数として検出するように構成されない場合がある。そのようなものとして、光学ベースのサブシステムの検出チャネルの各々に含まれる検出器の各々によって生成される出力は、信号またはデータであり得るが、画像信号または画像データではない場合がある。そのような場合において、コンピュータサブシステム36などのコンピュータサブシステムは、検出器の非撮像出力から標本の画像を生成するように構成され得る。しかしながら、他の場合において、検出器は、撮像信号または画像データを生成するように構成されている撮像検出器として構成され得る。したがって、光学ベースのサブシステムは、いくつかのやり方で本明細書に説明される光学画像または光学ベースの出力を生成するように構成され得る。
図1は、本明細書に説明されるシステム実施形態に含まれ得る、または本明細書に説明されるシステム実施形態によって使用される光学ベースの出力を生成し得る、光学ベースのサブシステムの構成を全体的に例証するために本明細書に提供されるということに留意されたい。当然ながら、本明細書に説明される光学ベースのサブシステム構成は、市販のシステムを設計するときに通常実施されるように、光学ベースのサブシステムのパフォーマンスを最適化するために変更されてもよい。加えて、本明細書に説明されるシステムは、カリフォルニア州ミルピタスのKLA−Tencorから市販されているツールの29xx/39xxおよびPuma 9xxxシリーズなど、既存のシステムを使用して(例えば、既存のシステムに本明細書に説明される機能性を追加することによって)実装され得る。いくつかのそのようなシステムでは、本明細書に説明される実施形態は、システムの選択的な機能性として提供され得る(例えば、システムの他の機能性に加えて)。代替的に、本明細書に説明される光学ベースのサブシステムは、完全に新しい光学ベースのサブシステムを提供するために「ゼロから」設計されてもよい。
光学ベースのサブシステムに結合されたコンピュータサブシステム36は、コンピュータサブシステムが標本のための検出器によって出力された出力を受信することができるように、任意の好適な様式で(例えば、「有線」および/または「無線」伝送媒体を含み得る1つ以上の伝送媒体を介して)光学ベースのサブシステムの検出器に結合され得る。コンピュータサブシステム36は、検出器の出力を使用して、本明細書にさらに説明されるいくつかの機能を実施するように構成され得る。
図1に示されるコンピュータサブシステム(ならびに本明細書に説明される他のコンピュータサブシステム)は、本明細書では、コンピュータシステムとも呼ばれ得る。本明細書に説明されるコンピュータサブシステムまたはシステムの各々は、パーソナルコンピュータシステム、画像コンピュータ、メインフレームコンピュータシステム、ワークステーション、ネットワークアプライアンス、インターネットアプライアンス、または他のデバイスを含め、様々な形態をとり得る。一般に、「コンピュータシステム」という用語は、メモリ媒体からの命令を実行する1つ以上のプロセッサを有する任意のデバイスを包含すると広く定義され得る。コンピュータサブシステムまたはシステムはまた、パラレルプロセッサなどの、当該技術分野で知られている任意の好適なプロセッサを含み得る。加えて、コンピュータサブシステムまたはシステムは、スタンドアローンまたはネットワーク化したツールのいずれかとして、高速処理およびソフトウェアを備えたコンピュータプラットフォームを含み得る。
システムが2つ以上のコンピュータサブシステムを含む場合、異なるコンピュータサブシステムは、画像、データ、情報、命令などを、本明細書にさらに説明されるようなコンピュータサブシステム間で送信することができるように互いに結合され得る。例えば、コンピュータサブシステム36は、当該技術分野で知られている任意の好適な有線および/または無線伝送媒体を含み得る任意の好適な伝送媒体によって、図1の破線によって示されるようにコンピュータサブシステム102に結合され得る。そのようなコンピュータサブシステムのうちの2つ以上はまた、共有コンピュータ可読記憶媒体(図示せず)によって有効に結合され得る。
本システムはまた、標本の電子ビームベースの出力を、電子を標本に向け(または電子を標本の上に走査し)、電子を標本から検出することによって生成するように構成された電子ビームベースのサブシステムを含む。図1に示される1つのそのような実施形態において、電子ビームベースのサブシステムは、コンピュータサブシステム124に結合されてもよい電子カラム122を含む。
図1にも示されるように、電子カラムは、1つ以上の要素130によって標本128に焦点合わせされる電子を生成するように構成された電子ビーム源126を含む。電子ビーム源は、例えば、カソード源またはエミッタチップを含み得、1つ以上の要素130は、例えば、ガンレンズ、アノード、ビーム制限アパーチャ、ゲート弁、ビーム電流選択アパーチャ、対物レンズ、および走査サブシステムを含み得、それらのすべてが当該技術分野で知られている任意のそのような好適な要素を含み得る。
標本から戻ってきた電子(例えば二次電子)は、1つ以上の要素132によって検出器134に焦点合わせされ得る。1つ以上の要素132は、例えば、走査サブシステムを含み得、それは要素130に含まれる同じ走査サブシステムであり得る。
電子カラムは、当該技術分野で知られている任意の他の好適な要素を含み得る。加えて、電子カラムは、2014年4月4日にジャン(Jiang)らに対して発行された米国特許第8,664,594号、2014年4月8日にコジマ(Kojima)らに対して発行された同第8,692,204号、2014年4月15日にガベンズ(Gubbens)らに対して発行された同第8,698,093号、および2014年5月6日にマクドナルド(MacDonald)らに対して発行された同第8,716,662号に説明されるようにさらに構成されてもよく、これらは、本明細書に完全に明記されるかのように引用により援用する。
図1では、電子カラムは、電子が斜めの入射角で標本に向けられ、別の斜角で標本から散乱されるように構成されて示されるが、電子ビームは、任意の好適な角度で、標本に向けられそこから散乱され得るということが理解されるものとする。加えて、電子ビームベースのサブシステムは、本明細書にさらに説明されるように標本の電子ビームベースの出力を生成するために複数のモードを使用するように構成され得る(例えば、異なる照明角度、集光角度などで)。電子ビームベースのサブシステムの複数のモードは、電子ビームベースのサブシステムの任意の出力生成パラメータが異なり得る。
コンピュータサブシステム124は、上記のように検出器134に結合され得る。検出器は、標本の表面から戻ってきた電子を検出し、それにより標本の電子ビーム出力および/または画像を形成し得る。電子ビーム出力および/または画像は、任意の好適な電子ビーム出力および/または画像を含み得る。コンピュータサブシステム124は、検出器134によって生成された出力を使用して、標本について本明細書にさらに説明される1つ以上の機能を実施するように構成され得る。コンピュータサブシステム124は、本明細書に説明される任意の追加のステップを実施するように構成され得る。
図1は、本明細書に説明される実施形態に含まれ得る電子ビームベースのサブシステムの構成を全体的に例証するために、本明細書に提供されるということに留意されたい。上記の光学ベースのサブシステムのように、本明細書に説明される電子ビームベースのサブシステム構成は、市販の電子ビームベースのサブシステムを設計するときに通常実施されるように、電子ビームベースのサブシステムのパフォーマンスを最適化するために変更されてもよい。加えて、本明細書に説明されるシステムは、KLA−Tencorから市販されているツールのeSxxxおよびeDR−xxxxシリーズなど、既存のシステムを使用して(例えば、既存のシステムに本明細書に説明される機能性を追加することによって)実装され得る。いくつかのそのようなシステムでは、本明細書に説明される実施形態は、システムの選択的な機能性として提供され得る(例えば、システムの他の機能性に加えて)。代替的に、本明細書に説明されるシステムは、完全に新しいシステムを提供するために「ゼロから」設計されてもよい。
本明細書に説明されるシステムはまた、イオンビームベースのサブシステムなど、標本の他の出力を生成するように構成された1つ以上の追加のサブシステムを含み得る。そのようなサブシステムは、電子ビーム源が当該技術分野で知られている任意の好適なイオンビーム源で置き換えられ得るということを除き、電子ビームベースのサブシステムに関して図1に示されるように構成され得る。加えて、サブシステムは、市販の集束イオンビーム(FIB)システム、ヘリウムイオン顕微鏡検査(HIM)システム、および二次イオン質量分析(SIMS)システムに含まれるものなど、任意の他の好適なイオンビームベースのサブシステムであってもよい。
本システムはまた、標本について生成された光学ベースの出力および電子ビームベースの出力を受信するように構成された1つ以上のコンピュータサブシステム、例えば図1に示されるコンピュータサブシステム102を含む。例えば、図1に示されるように、コンピュータサブシステム102は、コンピュータサブシステムが検出器28および34によって生成された光学ベースの出力ならびに検出器134によって生成された電子ビームベースの出力を受信することができるように、コンピュータサブシステム36およびコンピュータサブシステム124に結合され得る。コンピュータサブシステムは、光学および電子ビームベースのサブシステムに結合された他のコンピュータサブシステムから光学ベースの出力および電子ビームベースの出力を受信し得、コンピュータサブシステムは、光学および電子ビームベースの出力をその出力を生成した検出器から直接受信するように構成され得る(例えば、コンピュータサブシステム102が図1に示される検出器に直接結合される場合)。
上に記載されるように、光学および電子ビームベースのサブシステムは、標本の物理的なバージョンの上に、エネルギー(例えば、光、電子)を向け、および/またはエネルギーを走査し、それにより標本の物理的なバージョンの実出力および/または画像を生成するように構成され得る。この様式では、光学および電子ビームベースのサブシステムは、「仮想」システムではなく「実」サブシステムとして構成され得る。しかしながら、図1に示されるコンピュータサブシステム102は、標本について生成された光学ベースの出力および電子ビームベースの出力の少なくとも一部を使用して1つ以上の機能を実施するように構成されている1つ以上の「仮想」システム108を含み、1つ以上の「仮想」システム108は、本明細書に説明される1つ以上の機能のうちのいずれかを含み得る。
仮想システムは、関数的にランダムアクセスの条件検索能力を有する大容量画像記憶および検索を提供する。そのような仮想システムによって提供される大容量記憶インフラストラクチャは、本明細書にさらに説明される実施形態(例えば、容積測定、ハイパースペクトル検査)の仮想多重チャネル態様を可能にする。加えて、深層学習ベースの仮想多重チャネルパッチプロセッサは、サンプルパッチに対して検査動作を実施するように構成され得る(例えば、異なる焦平面、スペクトル、アパーチャなどに対して生成され、連続して記録される出力は、単一のデータセットとして処理することができる)。仮想システムに格納された画像データに対しておそらくは動作する本明細書に説明されるモデルは、多重チャネル仮想システムのための別の画像取得データ源を提供することもできる。言い換えると、本明細書に説明されるモデルの結果は、多重チャネル検査または本明細書に説明される他のプロセスのための情報の別のチャネルが考慮され得る。
1つ以上の仮想システムは、その中に標本を載置させることができない。具体的には、仮想システムは、光学ベースのサブシステム10または電子ビームベースのサブシステム122の部分ではなく、標本の物理的なバージョンを取り扱うための任意の能力を有しない。言い換えると、仮想システムとして構成されたシステムでは、その1つ以上の「検出器」の出力は、実システムの1つ以上の検出器によって以前に生成され、仮想システムに格納されている出力であり得、「撮像および/または走査」中、仮想システムは、標本が撮像および/または走査されているかのように格納された出力を再生し得る。この様式では、仮想システムを用いて標本を撮像および/または走査することは、物理的な標本が実システムを用いて撮像および/または走査されているのと同じであるように見え得るが、現実には、この「撮像および/または走査」は、標本が撮像および/または走査され得るのと同じ様式で標本の出力を単に再生することに関与する。
「仮想」検査システムとして構成されたシステムおよび方法は、同一出願人による2012年2月28日にバスカー(Bhaskar)らに対して発行された米国特許第8,126,255号、および2015年12月29日にダフィー(Duffy)らに発行された同第9,222,895号に説明されており、それらの両方が、本明細書に完全に明記されるかのように引用により援用する。本明細書に説明される実施形態は、これらの特許において説明されるようにさらに構成され得る。例えば、本明細書に説明される1つ以上のコンピュータサブシステムは、これらの特許において説明されるようにさらに構成され得る。加えて、1つ以上の仮想システムをCCSシステムとして構成することは、ダフィー(Duffy)に対する上に参照した特許において説明されるように実施され得る。本明細書に説明される持続記憶機序は、CCSアーキテクチャなどの分散計算および記憶を有することができるが、本明細書に説明される実施形態はそのアーキテクチャに限定されない。
さらに上に記載されるように、光学ベースのサブシステムは、複数のモードで標本のための出力を生成するように構成され得る。この様式では、いくつかの実施形態において、光学ベースの出力は、光学ベースのサブシステムのパラメータの2つ以上の異なる値を用いて光学ベースのサブシステムによって生成された出力を含む。一般に、「モード」とは、標本の出力および/または画像を生成することに使用される光学ベースのサブシステムのパラメータの値と定義することができる。したがって、異なるモードは、サブシステムの光学パラメータのうちの少なくとも1つに対する値が異なり得る。例えば、光学ベースのサブシステムの1つの実施形態において、複数のモードのうちの少なくとも1つは、複数のモードのうちの少なくとも1つの他のモードに使用される照明の光の少なくとも1つの波長とは異なる照明の光の少なくとも1つの波長を使用する。モードは、異なるモードでは本明細書にさらに説明されるように照明波長が異なり得る(例えば、異なる光源、異なるスペクトルフィルタなどを使用することによって)。別の実施形態において、複数のモードのうちの少なくとも1つは、複数のモードのうちの少なくとも1つの他のモードに使用される光学ベースのサブシステムの照明チャネルとは異なる光学ベースのサブシステムの照明チャネルを使用する。例えば、上に記載されるように、光学ベースのサブシステムは、2つ以上の照明チャネルを含んでもよい。そのようなものとして、異なるモードでは異なる照明チャネルが使用され得る。
同様の様式で、電子ビームベースの出力は、電子ビームベースのサブシステムのパラメータの2つ以上の異なる値を用いて電子ビームベースのサブシステムによって生成された出力を含み得る。例えば、電子ビームサブシステムは、複数のモードで標本の出力を生成するように構成され得る。複数のモードは、標本の出力および/または画像を生成するために使用される電子ビームベースのサブシステムのパラメータの値によって定義することができる。したがって、異なるモードは、サブシステムの電子ビームパラメータのうちの少なくとも1つに対する値が異なり得る。例えば、電子ビームベースのサブシステムの1つの実施形態において、複数のモードのうちの少なくとも1つは、複数のモードのうちの少なくとも1つの他のモードに使用される照明の少なくとも1つの入射角とは異なる照明の少なくとも1つの入射角を使用する。
本システムは、1つ以上のコンピュータサブシステムによって実行される1つ以上の構成要素を含み、1つ以上の構成要素は、標本のための1つ以上のシミュレーションを実施するように構成された1つ以上のモデルを含む。例えば、本システムは、コンピュータサブシステム102によって実行される構成要素100を含む。構成要素100は、モデル104を含む。モデルは、本明細書に説明される様々なモデルを含み得る。
1つの実施形態において、1つ以上のモデルは、1つ以上の深層学習ベースのモデルを含む。一般的に言えば、「深層学習」(深層構造学習、階層学習、または深層機械学習としても知られている)は、データにおける高次抽象化をモデリングすることを試みるアルゴリズムのセットに基づく機械学習の支流である。単純なケースでは、1つは入力信号を受信し1つは出力信号を送信する2つのニューロンのセットが存在し得る。入力層が入力を受信すると、入力層は、次の層に入力の修正されたバージョンを引き渡す。深層ネットワークでは、入力と出力との間に多くの層が存在し(また、層はニューロンでできていないが、層をそのように考えることを手助けすることができる)、複数の線形および非線形変換からなる複数の処理層をアルゴリズムが使用することを可能にする。
深層学習は、データの学習表現に基づく機械学習法の広範なグループの部分である。観察(例えば、画像)は、ピクセルあたりの強度値のベクトルなどの多くのやり方、またはエッジのセット、特定の形状の領域などのより抽象的なやり方で表すことができる。いくつかの表現は、学習タスク(例えば、顔認識または表情認識)を単純化することにおいて他の表現よりも優れている。深層学習の有望な点の1つは、人手による特徴量(handcrafted feature)を教師なしまたは半教師ありの特徴部学習および階層特徴部抽出のための効率的なアルゴリズムで置き換えることである。
この分野における研究は、より優れた表現を作り、これらの表現を大規模のラベル化されていないデータから学習するモデルを作成することを試みている。表現の一部は、神経科学の進歩に触発されたものであり、様々な刺激と関連する脳内のニューロン反応との関係を規定することを試みる神経信号など、神経系における情報処理および通信パターンの解釈に大まかに基づいている。
深層ニューラルネットワーク、畳み込み深層ニューラルネットワーク、深層信念ネットワーク(deep belief network)、および再帰型ニューラルネットワークなどの様々な深層学習アーキテクチャが、コンピュータビジョン、自動音声認識、自然言語処理、オーディオ認識、およびバイオインフォマティクスのような分野に適用されており、これらの分野において、深層学習アーキテクチャは、様々なタスクに対して最高水準の結果をもたらすことが示されている。
別の実施形態において、1つ以上のモデルは、1つ以上の機械学習ベースのモデルを含む。機械学習は、概して、明示的にプログラミングされることなく学習する能力を持ったコンピュータを提供する一種の人工知能(AI)と定義することができる。機械学習は、新たなデータに露出されたときに独習して成長および変化することができるコンピュータプログラムの発展に焦点を合わせる。言い換えると、機械学習は、「明示的にプログラミングされることなく学習する能力をコンピュータに与える」コンピュータサイエンスの亜領域と定義することができる。機械学習は、データから学習することができ、且つデータに対して予測を行うことができるアルゴリズムの研究および構築を探究し、そのようなアルゴリズムは、サンプル入力からモデルを構築することを通して、データ駆動の予測または決定を行うことによる厳密に静的なプログラム命令に従うことを克服する。
本明細書に説明される機械学習のモデルは、「Introduction to Statistical Machine Learning」、スギヤマ(Sugiyama)、モーガン・カウフマン(Morgan Kaufmann)、2016、534 pages、「Discriminative, Generative, and Imitative Learning」、ジェバラ(Jebara)、MIT Thesis、2002、212 pages、および「Principles of Data Mining (Adaptive Computation and Machine Learning)」、ハンド(Hand)ら、MIT Press、2001、578 pagesに説明されるようにさらに構成され得、これらは本明細書に完全に明記されるかのように引用により援用する。本明細書に説明される実施形態は、これらの参照文献において説明されるようにさらに構成され得る。
1つ以上のコンピュータサブシステムはまた、は、光学ベースの出力、電子ビームベースの出力、1つ以上の仮想システムによって実施される1つ以上の機能の結果、および1つ以上のモデルによって実施される1つ以上のシミュレーションの結果のうちの少なくとも2つに基づいて、標本における欠陥を検出するように構成されている。例えば、本明細書にさらに説明されるように、コンピュータサブシステムは、標本について生成された電子ビームベースの出力および光学ベースの出力の両方に対する一種のダイツーデータベース検査を実施するように構成され得る。本明細書に説明される欠陥検出は、標本上に存在し得る任意の欠陥タイプに対する標本の状態を決定する(例えば、歩留りに関連する欠陥)ために標本の処理の任意の段階(例えば、ウェハ製造の任意の段階)で実施され得る。
したがって、本明細書に説明される実施形態は、本質的には、複数のシステムのうちの1つのシステムであり、欠陥を検出する目的で光学ベースのサブシステム(例えば、光学インスペクタ)および電子ビームベースのサブシステム(例えば、SEM走査インスペクタまたはレビューシステム)からのデータを融合するためのアルゴリズムおよび/または方法を含む、ハイブリッドインスペクタである。結果として生じる検出能力は、根本的に制限されない(即ち、本システムは、従来のシステムに対して他の空間的にランダムな欠陥タイプを検出することにも優れているということになる)。
本明細書に説明される実施形態は、単一の出力タイプのみの生成ハードウェア(例えば、撮像ハードウェア)を含む検査システムよりもいくらか(動作的におよび計算的に)複雑であり得るが、本明細書に説明される実施形態は、欠陥に対する正味の感度改善をもたらし、本明細書に説明される実施形態の設定は、系統的欠陥の検出に偏っている可能性がある。「系統的」欠陥は、概して、当該技術分野においては、標本において実施されるプロセスと標本について形成される設計との相互作用によって引き起こされる欠陥と定義することができる。したがって、「系統的」欠陥は、標本にわたる複数の繰返し箇所に形成され得る。
別の実施形態において、欠陥を検出することはまた、光学ベースの出力、電子ビームベースの出力、1つ以上の機能の結果、および1つ以上のシミュレーションの結果のうちの少なくとも2つと組み合わせて標本の設計情報に基づいて実施される。例えば、本明細書に説明される実施形態は、欠陥検出のための3つ以上のソース(例えば、設計、光学、および電子ビーム)からのデータを融合するように構成され得る。1つのそのような実施形態において、設計情報は、光学ベースの出力または電子ビームベースの出力のうちの1つと一緒に使用される標本についての基準を生成するために本明細書にさらに説明されるように使用され得る(異なる出力には異なる基準が生成され得る)。加えて、または代替的に、設計情報は、光学ベースの出力、電子ビームベースの出力、1つ以上の機能の結果、および1つ以上のシミュレーションの結果のうちの少なくとも2つを用いて実施される欠陥検出の1つ以上のパラメータを決定するために使用され得る。設計に基づいて決定される欠陥検出の1つ以上のパラメータは、例えば、対処領域(欠陥検出が実施される領域)、臨界領域(非臨界領域と比較してより感度の高い検査が実施される予定の領域)などを含み得る。設計情報(またはコンテキストマップなどの設計情報に由来する情報)はまた、または代替的に、本明細書に説明される様々な他のやり方で使用され得る。
いくつかの実施形態において、欠陥を検出することは、光学ベースの出力を第1の基準と比較すること、および電子ビームベースの出力を第2の基準と比較することを含む。上記のように欠陥を検出することは、電子ビームおよび光学画像の両方に対して一種のダイツーデータベース検査を用いて効果的に実施され得る。光学ベースの出力および電子ビームベースの出力は実質的に異なる特徴(例えば、解像度、コントラストなど)を有するため、異なる出力を有する欠陥の検出には異なる基準が使用され得る。いくつかのそのような実施形態において、欠陥検出は2つの別個の比較を含み得るが、その別個の比較ステップは、標本上の任意の場所に欠陥が存在するかどうかに関する決定が、両方の比較が実施されるまでは行われない場合がある点において、検査および次いで欠陥レビューのために実施されるものとは異なり得る。この様式では、1つの比較を欠陥を検出するために使用してもう一方の比較を検出された欠陥を確認するために使用するのではなく、両方の比較ステップの結果が、標本における欠陥を検出するために使用され得る。加えて、両方の比較は、比較時に知られていない標本上の場所に対して、欠陥がその場所に存在する場合に実施され得る。言い換えると、両方の比較は、検査および次いでレビューのためではなく検査のために実施され得る。
1つの実施形態において、仮想システムは、標本の設計情報に基づいて標本についての基準を生成するように構成されており、この基準は、標本における欠陥を検出するために使用される。仮想システムはまた、上記の基準の両方を生成するように構成され得る。仮想システムは、本明細書にさらに説明されるように(例えば、生成的モデルを使用して)、または当該技術分野で知られている任意の他の様式で、基準を生成するように構成され得る。
この様式では、本明細書に説明される欠陥検出は、設計が異なる種類の情報および/または出力間の一種の接続として作用するダイツーデータベース型の検査であり得る。設計はまた、制約を提供し、光学様相と電子ビーム様相との間の正しい変換関係を学習することを助けることができる。例えば、ウェハ上の1つの場所で生成される光学ベースの出力を、同じ1つの場所で生成される電子ビームベースの出力と相関させることができるため、2つの出力が標本における欠陥を検出するために一緒に使用され得る。
1つのそのような実施形態において、本システムは、ダイツーデータベース検査アルゴリズムのために、および/または光学ベースのサブシステムのためのグランドトゥルーストレーナーとして、機械学習を利用し得る。例えば、ここでグランドトゥルースは参照画像であり得る。1つのドメイン内の画像を別のドメイン内の画像に変換するようにトレーニングされたモデルを使用すると、高信頼画像源(例えば、設計レイアウトまたは電子ビームベースの画像からレンダリングされた)を、光学ベースのサブシステム上で見えるべきものに変換することができ、それが参照画像として使用され得、それにより、本質的に、ダイツーデータベース検査を実施するためのやり方を提供する。この様式では、本明細書に説明される実施形態は、光学画像とSEM画像との関係を大いに利用し得る。本実施形態は、光学検査「仮想チャネル」(例えば、1億〜10億光学部位)ならびに電子ビームベースのサブシステム(例えば、最大500,000部位)からの結果の和集合を形成し得、それにより光学画像が電子ビーム精度で検査または検証されることを可能にする。
本明細書に説明される実施形態はまた、光学ベースのサブシステムが再調整されている間、電子ビームベースの/グランドトゥルース分類のための任意のモデルを自動的に利用するように構成され得る。例えば、本明細書に説明されるやり方でのかなり大量の光学データの処理の同時並行性は、電子ビームベースのサブシステムから「グランドトゥルース」(例えば、高解像度および高信頼)データも集めながら利用され得る(画像取得に加えて分類または検出)。深層学習を用いた分類は、本質的に、「認識」であり、ここでは1つの例が教示され、次いでそれを使用して画像データ内の同じ例の他のインスタンスを見つけることができる。
したがって、本明細書に説明される実施形態は、ダイツーデータベース電子ビーム検査のために構成され得る。例えば、上記のように、中核となる技術的手法は、ある意味でダイツーデータベースにとらわれない。電子ビームベースのダイツーデータベース用途は、異なるカテゴリに分けることができる。1つのカテゴリは、臨界点検査(CPI)ダイツーデータベースである。CPI検査は、標本上の離散した場所(例えば、知られている臨界点)でのみ出力を獲得することによって実施される検査の一種である。このタイプの検査では、ダイツーデータベースおよび単一の画像検出が、スループットにおける2xゲインには重要である。別のカテゴリは、全面的なダイツーデータベース(即ち、全面的なダイまたは全面的な標本のために実施されるダイツーデータベース)であり、これは、光近接補正(OPC)系統的欠陥、ハード繰返し欠陥、およびランダム欠陥を含む様々な問題を見つけるために使用することができる。「ハード繰返し欠陥」は、概して、パターンインスタンスをプリントするために使用されるプロセス条件にかかわらずダイ内のパターンの全インスタンスで繰り返す欠陥と定義することができる。
さらなる実施形態において、仮想システムは、標本について生成された光学ベースの出力および電子ビームベースの出力のすべてを無制限に格納するように構成されている。例えば、仮想システムは、ウェハ全体の光学画像のスタックまたはウェハあたりの画像のサブセット(例えば、1億〜10億パッチ)を格納することができる持続記憶機序として構成され得る。追加の例において、仮想システムは、ウェハの所定のサブセットについて光学および電子ビーム画像を格納し得る。
別の実施形態において、仮想システムは、標本について生成された光学ベースの出力および電子ビームベースの出力を使用することなく標本の情報を生成するように、ならびに1つ以上の仮想システムによって生成された情報を1つ以上の仮想システムに格納するように構成されている。例えば、本明細書にさらに説明されるように、仮想システムは、(標本について生成された光学ベースの出力および電子ビームベースの出力なしに)標本の設計情報から標本についての基準を生成するように構成され得る。仮想システムによって生成され得る他の種類の情報は、設計情報から生成される標本のコンテキストマップを含む。コンテキストマップは、標本上の異なる種類のパターンおよび/または領域、ならびに異なる種類の領域および/またはパターンの欠陥検出に使用されることになるおそらくは異なるパラメータを示し得る。この情報は、本明細書にさらに説明されるものなどの別のシステムからの情報も含み得る。
いくつかの実施形態において、コンピュータサブシステムは、別のシステムによって生成された標本の情報を受信するようにさらに構成されており、1つ以上の仮想システムは、この情報を格納するように構成されており、欠陥を検出することは、光学ベースの出力、電子ビームベースの出力、1つ以上の機能の結果、および1つ以上のシミュレーションの結果のうちの少なくとも2つと組み合わせて、格納された情報に基づいて実施される。例えば、図1に示されるように、コンピュータサブシステム102は、他のシステム110に結合され得るため、コンピュータサブシステムは他のシステムから情報を受信することができる。他のシステムは、EDAツール、様々な異なる能力のために構成され得る別のコンピュータシステム、半導体製作ツール、別の検査システム、別の品質制御型システムなど、様々な他のシステムを含み得る。標本についての情報は、標本のための設計に関する情報、標本に対して実施される1つ以上の製作プロセス、標本または同じもしくは異なる種類の別の標本に対して実施される1つ以上の検査、測定、または他の品質制御プロセス、標本について生成されたシミュレーションの結果、別のソースからの標本について生成された情報など、標本についての様々な情報を含み得る。この様式では、本明細書に説明される実施形態は、本明細書に説明されるいくつかの異なるステップに使用することができる様々な事前情報および参照データにアクセスし、それらを取得することができる。
1つのそのような例において、他のシステムからの情報は、標本のための設計におけるクリティカルパターンについての情報を含み得、それは、そのような情報のデータベースに含まれ得る。この情報は、プロセスウィンドウ認定(PWQ)法、実験的に実施される実験計画(DOE)、シミュレーション、ボリューム診断(VD)、パターン忠実性分析(PFA)など、様々なやり方で生成され得る。そのような情報は、レチクルPWQ分析も含み得る。
PWQ法は、2005年6月7日に発行されたピーターソン(Peterson)らに対する米国特許第第6,902,855号、2008年8月26日に発行されたピーターソン(Peterson)らに対する同第7,418,124号、2010年6月1日に発行されたウー(Wu)らに対する同第7,729,529号、2010年8月3日に発行されたケカレ(Kekare)らに対する同第7,769,225号、2011年10月18日に発行されたパク(Pak)らに対する同第8,041,106号、2012年2月7日に発行されたウー(Wu)らに対する同第8,111,900号、および2012年7月3日発行されたピーターソン(Peterson)らに対する同第8,213,704号に説明されるように実施され得、これらは本明細書に完全に明記されるかのように引用により援用する。本明細書に説明される実施形態は、これらの特許に説明される任意の方法の任意のステップを含み得、これらの特許に説明されるようにさらに構成され得る。PWQウェハは、これらの特許に説明されるようにプリントされ得る。
他のシステムからの情報はまた、オーバーレイマージン決定のために設計されたPWQ法においてプロセスの1つ以上のパラメータの2つ以上の異なる値を用いて標本に対してプロセスを実施することによって生成され得る。例えば、クリティカルパターンは、系統的欠陥のジェネレータとしてオーバーレイPWQウェハをプリントおよび検査することなど、DOEを実施することによって識別され得る。オーバーレイマージン決定は、上に参照された特許において説明されるものを含む任意の好適な様式で実施され得る。したがって、オーバーレイPWQ法は、標本上にそのようなダイをプリントするために使用され得、標本の調査は、どのパターンがクリティカルであるかを示し得る。具体的には、標準のPWQプロセスが実施された後には第1のパス検査が続き、これは標準の欠陥検出アルゴリズムを使用して実施され得る。次いで、標準の欠陥レビュープロセスが、知られている優れた検出用アルゴリズムを使用して実施され得る。すべての故障部位は、第1のパス検査および欠陥レビュープロセスの結果に基づいて決定され得る。次いで、任意の新たな関心パターン(POI:pattern of interest)が故障部位に対して作成され得る。
追加のそのような実施形態において、クリティカルパターンは、焦点露出マトリクス(FEM)法においてプロセスの1つ以上のパラメータの2つ以上の異なる値を用いてプロセスを実施することによって識別され得る。例えば、クリティカルパターンを識別するための1つの戦略は、系統的欠陥のジェネレータとしてFEM法および/またはFEMウェハなどのDOEを使用することである。FEM法は、一般的には、リソグラフィプロセスの焦点および露出パラメータ値の異なる組み合わせでウェハ上にいくつかのダイをプリントすることに関与する。次いで異なるダイが任意の好適な様式で検査されて、異なるダイにおける欠陥を検出することができる。次いで、典型的には、その情報を使用して、リソグラフィプロセスの焦点および露出のプロセスウィンドウを決定する。したがって、FEM法は、標本上にそのようなダイをプリントするために使用され得、クリティカルパターンは、検査プロセス、計測プロセスなどによって標本上で識別され得る。
クリティカルパターンを識別するために使用されるシミュレーションは、ノースカロライナ州ケーリーのCoventor,Inc.から市販されているSEMulator3Dなどの実験的にトレーニングされたプロセスモデルを用いて実施され得る。厳密なリソグラフィシミュレーションモデルの例は、KLA−Tencorから市販されているProlithであり、これは、SEMulator3D生成物と協調して使用され得る。しかしながら、シミュレーションは、実際の標本を設計データから形成することに関与するプロセスのいずれかの任意の好適なモデルを用いて実施され得る。この様式では、モデルは、設計が形成されている標本が標本空間内でどのように見えるか(必ずしも、そのような標本が撮像または計測システムなどのシステムにとってどのように見えるかではない)をシミュレートするために使用され得る。したがって、モデルの出力は、標本が標本の2Dまたは3D空間でどのように見えるかを表し得る。
ボリューム診断は、任意の好適な様式で実施され得る。例えば、比較的多数のデバイスから欠陥場所を相関させ、最も高い歩留りの影響を有するものを優先して、迅速な修正措置を取ることは、製造において新たな設計が増やされているときにより速い時間を可能することによって市場に膨大な費用便益をもたらす可能性がある。この手法は、ボリューム診断として知られており、誤ったプロセスエクスカーションの根本原因を識別することを助けるため、および長期的な製造効率を増大させる継続的な歩留り改善を行うために使用することができる。
PFAは、2016年8月28日に公開されたダフィー(Duffy)らに対する米国特許出願公開第2016/0116420号に説明されるように実施され得、これを本明細書に完全に明記されるかのように引用により援用する。本明細書に説明される実施形態は、この刊行物に説明されるようにさらに構成され得る。
別の例において、他のシステムからの情報は、測定、検査、他の歩留り関連の分析など、標本について生成された現在に至るまでの信号を含み得る。現在に至るまでの信号はまた、当該技術分野において知られている任意の好適な様式で実施され得るエッジ配置誤差(EPE)分析および/または予測EPE(PEPE)の結果を含み得る。この様式では、EPE分析は、1つ以上の実験的なプロセスおよび/または1つ以上のシミュレーションプロセスを含み得る。
追加の例において、他のシステムからの情報は、製品情報、検査用設計(DFI)情報、ターゲット情報、および設計融合情報などの標本についての設計情報を含み得る。設計融合は、クリティカルな設計情報を、工場を持たない顧客から、工場を持たない顧客が所有または操作することのないツールによる使用のために工場内へ転移させることを目標とするソフトウェア開発プログラムである。そのようなクリティカルな設計情報は、例えば、レイアウトの部品の対処領域、タイミングクリティカルなネットワークおよび同様のものを含み得る。いくつかのそのような例において、他のシステムは、電子設計自動化(EDA)ツールを含み得る。この様式では、本明細書に説明される実施形態は、EDAコンピュータ支援設計(CAD)ツールを用いて生成されたデータを利用するという追加の次元を有し得る。EDAツールは、任意の好適な市販のEDAツールを含み得る。
さらなる例において、他のシステムからの情報は、標準参照画像および/または標本上のノイズ源についての情報を含み得る。標準参照画像は、任意の好適な様式で生成され得る。ノイズ源についての情報は、任意の好適な様式で生成され得る。他のシステムからの情報は、生成された画像をさらに含み得る。生成された画像は、モデルおよび/または別のシミュレーションモデルによってなど、任意の好適な様式で生成され得る。
本明細書に説明される標本は、ウェハの名目上のインスタンスおよび/またはウェハの非名目上のインスタンスなど、様々な異なるウェハを含み得る。「名目上のインスタンス」は、この用語が本明細書内で使用される場合、概して、欠陥が存在しないことが知られている標本の「インスタンス」と定義される。例えば、名目上である標本は、非欠陥的標本を生成することで知られる標本に関する設計を形成するために使用される1つ以上のプロセスの1つ以上のパラメータで処理され得る。言い換えると、標本を作成するために使用されるプロセスは、知られている良好なプロセスであり得る。加えて、標本の「名目上のインスタンス」は、欠陥が存在することが決定されなかった(例えば、標本上の場所、標本全体など)インスタンスを含み得る。例えば、標本の名目上のインスタンスは、検査または他の品質制御関連プロセス(例えば、欠陥レビュー、計測など)がいかなる欠陥も検出しなかった場所および/または標本を含み得る。
対照的に、標本の「非名目上のインスタンス」は、この用語が本明細書内で使用される場合、概して、意図的(プログラムされることにより、または本明細書にさらに説明される合成欠陥により)、または非意図的(検査、欠陥レビュー、計測などによって1つ以上の標本において発見された欠陥により)のいずれかの、何らかの様式で「欠陥的」である標本の「インスタンス」と定義される。加えて、標本は、たとえ「欠陥」そのものが標本において検出されないとしても「欠陥的」であり得ることに留意されたい。例えば、1つ以上の測定値が、標本に対して形成された設計の1つ以上の特徴がそれらの1つ以上の特徴に対する値の所望の範囲外であることを示す場合には、標本は「欠陥的」と見なされ得る。
標本はまた、製品ウェハ(おそらくは検査用設計(DFI)構造がその上に形成されている)および/または特徴付けビヒクル(即ち、電気試験構造)を含み得る。DFI構造は、本明細書にさらに説明されるように構成されてもよい。
他のシステムから情報を受信または取得することは、他のシステム自体から情報を受信または取得することによって実施され得る。しかしながら、他のシステムから情報を受信および/または取得することは、他のシステムによって生成された情報を、他のシステムによって情報が格納されている記憶媒体(図示せず)から取得することを含み得る。しかしながら、本明細書に説明される実施形態はまた、他のシステムから受信され得る上記の情報のいずれかを生成するように構成され得る。例えば、本明細書に説明されるシステムは、実際の標本に対して1つ以上のプロセスを実施すること(例えば、実際の物理的な標本に対して1つ以上の製作プロセスを実施し、次いで実際の物理的な標本に対して1つ以上の測定および/または撮像プロセスを実施すること)によって、上記の情報を生成するように構成され得る。したがって、上記の情報は、本明細書に説明される1つ以上の他のサブシステム(例えば、1つ以上の半導体製作サブシステム、1つ以上の撮像サブシステム、1つ以上の計測サブシステムなど)を有する本明細書に説明されるコンピュータサブシステムを使用して生成され得る。別の例において、上記の情報は、本明細書に説明されるシステムによって、例えば、1つ以上のシミュレーションを(実際の物理的な標本を使用して、または使用せずに)実施することによって、生成され得る。1つのそのような例において、1つ以上のコンピュータサブシステムは、標本の名目上および/または非名目上のインスタンスのための情報を生成するためにそのモデルおよび/または別のモデルのうちの1つ以上を使用するように構成され得る。
したがって、本明細書に説明される実施形態は、何らかの測定および/または検査ツールおよび/またはセンサ(本明細書に説明される光学ベースのサブシステムおよび/または電子ビームベースのサブシステムなど)において実施され得る生データ取得のために構成され得る。生データ取得はまた、仮想多重チャネルシステムおよび/または仮想多重チャネル法を使用して実施され得る。仮想多重チャネル法は、同じ場所について異なる光学または電子ビームモードにある出力または画像データを連続的に集めて、その画像データを、別個の画像が多重チャネルインスペクタによって集められた1つの画像であるかのように実施される後処理のために仮想システムに格納することを含み得る。仮想多重チャネルに使用することができるシステムおよび方法の例は、2016年1月28日に公開されたダフィー(Duffy)らに対する米国特許出願公開第2016/0025648号に説明されており、これを本明細書に完全に明記されるかのように引用により援用する。本明細書に説明される実施形態は、この刊行物に説明されるようにさらに構成され得る。生データ取得はまた、製品の幾何学的形状(例えば、標本とともにまたは標本において形成されているデバイスのパターン化特徴部)、および/または標本において形成された計測ターゲットに対して実施され得る。加えて、生データ取得は、ウェハ上の器具を使用して実施され得る。
本システムは、本明細書に説明される持続情報(即ち、仮想システムに格納された情報)を、おそらくは、例えば標本上に形成された材料または層のスタックを通じて取得されたデータを使用するzベースの検査を実施するための他の持続情報と組み合わせて使用することができる。例えば、異なる物理的平面(プロセスにおける層)で標本について生成された出力は、本明細書に説明される仮想システムに格納され得る。次いで、この情報は、標本において検出された欠陥のソースを決定するために使用することができる。言い換えると、仮想システム内の持続的に格納された情報は、標本上の異なる層について生成された情報(例えば、光学ベースの出力および/または電子ビームベースの出力)を検討することによる欠陥ソース分析のために使用され得る。この様式では、仮想システム内に格納される情報は、1つの層において検出される欠陥を、その層に位置する欠陥と、標本上の別の(例えば、前の)層に位置する欠陥とに分けるために使用され得る。そのようなものとして、情報は、実際には前の層の有害な欠陥である、標本の層において検出される欠陥(即ち、異なる層上に存在し、検査に使用される出力を生成したサブシステムから見える欠陥であるが、ユーザにとって関心のある欠陥ではない)を識別するために使用することができる。
さらなる実施形態において、標本は、DFI構造を含み、1つ以上のコンピュータサブシステムは、DFI構造について生成された光学ベースの出力および電子ビームベースの出力のうちの少なくとも1つを抽出し、抽出された出力に基づいて1つ以上の機能を実施するように構成されている。DFI構造は、プログラムされた欠陥および/または電圧コントラスト計測もしくは欠陥試験構造を含み得る。この様式では、DFI構造は、本質的には、埋め込み式器具であってもよい。DFI構造に対する設計検討事項は、ツールの設定可変空間に及ぶツール設定条件の範囲の選択を可能にする構造のセットを含む(例えば、ツールにとって可能なスペクトル帯の各々において最良の信号対ノイズ性能を実証するプログラムされた欠陥構造などを含む)。DFI構造に対する設計検討事項はまた、主要な光学的に重要な幾何構造を、ノイズ(参照レイアウトのため)および信号(プログラムされた欠陥構造のため)の両方の空間的変動を評価するのに好適なDFI構造の比較的まばらなセットに圧縮することを含む。DFI構造はまた、本明細書にさらに説明される参照データとして使用することができる参照データのウェハ上の抽出のために使用され得る。言い換えると、本システムは、かなり高い空間分解能で光学ノイズおよび信号データを生成するように最適化された構造の予測可能な存在にアルゴリズムを密結合させることによって、インダイDFI構造(例えば、ダミーフィル領域内)に由来するものなどの、グランドトゥルース情報を生かすことができる。
いくつかの実施形態において、欠陥を検出することは、容積測定検査を含む。一般に、容積測定検査は、検査ツールを使用してサンプルの複数のxy位置の各々から複数の焦点設定における強度データセットを集めることを含む。複数の係数を有する多項式が、焦点設定の関数としてxy位置の集められた強度データセットの各々について抽出される。複数のxy位置に対する値の係数のセットの各々は、対応する係数画像平面を用いて表される。次いで、係数画像平面の目標セットおよび係数画像平面の参照セットが、サンプルにおける欠陥を検出するために分析される。この様式では、容積測定画像のタプルは、信号をノイズから分離する目的で、フーリエ空間領域へ変換することができる。容積測定検査は、2016年7月21日に公開されたチェン(Chen)らによる米国特許出願公開第2016/0209334号に説明されるようにさらに実施され得、これを本明細書に完全に明記されるかのように引用により援用する。本明細書に説明される実施形態は、この刊行物に説明されるようにさらに構成され得る。本明細書に説明される実施形態において、光学ベースのサブシステムの光学ベースの出力は、光学空間におけるいわゆる「位相」問題に対するソリューションを可能にするために光学画像の容積測定スタック(例えば、3〜5zスタック)を含み得る。光学系タプル概念はまた、異なる波長およびアパーチャなど、z焦点画像以外の他の光学モードを含むことにまで拡張することができる。
本明細書に説明されるモデルは、一対多数の変換問題を有し得る。例えば、仮想では、すべての光学系は、薄膜スタックとしてモデリングすることができ、ウェハトポグラフィの変動に伴う膜スタックの変動は、電子ビームベースの出力から光学ベースの出力になるときに一対多数のマッピングが存在することを示唆する。当然ながら、これらすべての変動を学習することができるが、これらは、(例えば、局所的な色変動に起因して)局所的に発生する場合には特に、ノイズ源でもある。顧客は、多くの場合、例えばダミーフィルまたは未補正層のものとは異なるコントラストをもたらす多くの構造に対してOPC補正を行う。無数の人手によるアルゴリズムは、これらに対処するが、完全に効果的なものは存在しない。
アルゴリズムに基づいた比較には制限もある。例えば、前の層のノイズおよびラインエッジラフネス(LER)は、実用的な様式では解決するのが難しい一部の光学インスペクタにおけるかの有名な「アルミニウム結晶粒ノイズ」問題のようである。この理由は単純である。この問題の根源にあるのが、ランダムイベントを見つけることには非常に良好であるダイツーダイ検査パラダイムであるためである。レンダリングの従来のダイツーデータベース手法もかなり困難である。結局、データベースがレンダリングされるとしても、その後比較アルゴリズムが使用される。「信号」という言葉は、多くの場合、それにより意味することが、a)電子ビームベースのサブシステムにおいてイベントが見えるか?、およびb)ダイツーダイ比較法がノイズフロアを超えるか?という2つのことであるときに使用される。正当な理由により、「パターン」を除去する試みは、それを取り去って信号が目立つことを望むことによって、通常なされる。しかしながら、そのようなことは、電子ビームベースのサブシステムにおいては行われない(たとえ行うことができたとしても)。それは、電子ビームベースの出力(単一の画像)に対する直接呼出しを、それを基準と比較する必要なく行うのに解像度が利用可能であるためである。
上記の制限を克服するために、容積測定検査と組み合わせた深層学習が提案される。具体的には、深層学習手法は、z画像の光学容積測定スタックと組み合わされるときに特に優れている。光学容積測定スタックは、一対多数のマッピング問題を緩和すること、および「信号」を支えることを助ける。例えば、容積測定情報は、光学ベースの出力からの「強度」情報に加えて「位相」情報を捕捉する。対照的に、通常の光学ベースの検査は、「強度」からのみ作用し、それがあいまいさの原因(「一対多数の」マッピングの「多数」)である。したがって、本明細書に説明される実施形態は、光学(多くの画像が表すことができる)から電子ビーム(グランドトゥルース)への多数対1のマッピング表現問題を解決する目的で、焦点から取られた画像データのパッチを生かすことができる。
別の実施形態において、欠陥を検出することは、ハイパースペクトル検査を含む。例えば、本明細書に説明される光学ベースのサブシステムの多重スペクトル能力は、ハイパースペクトル深層学習分析技術と協調して使用され得る。具体的には、本明細書に説明される光学ベースのサブシステム(例えば、広帯域光源を含む光学ベースのサブシステム)の一部は、複数のスペクトルモードを含み、各々がEMスペクトルの一部分のみにわたる。本明細書に説明される実施形態の文脈における「ハイパースペクトル」の概念は、出力および/または画像が、スペクトルの利用可能な部分の一部またはすべてにわたる場所から集められることを意味する。この様式では、複数の光学ベースの出力は、異なるスペクトルモードでの標本上の単一の場所(ならびに出力が生成される各他の場所)について生成され得、その場所の検査は、異なるスペクトルモードで生成された出力のうちの2つ以上(またはすべて)を使用して実施され得る。
さらなる実施形態において、欠陥を検出することは、多モード検査を含む。例えば、多モード検査は、上にさらに説明されるような異なる焦点設定および/または異なるスペクトル設定を用いて実施され得る。しかしながら、多モード検査はまた、または代替的に、検査に使用される光学ベースの出力および/または電子ビームベースの出力を生成するために使用される光学ベースのサブシステムおよび/または電子ビームベースのサブシステムの任意の他のパラメータが異なるモードを用いて実施され得る。具体的には、光学ベースの出力および/または電子ビームベースの出力を生成するために使用される「モード」は、出力を生成するために使用される光学ベースのサブシステムおよび/または電子ビームベースのサブシステムのパラメータによって規定され得る。したがって、「モード」は、光学ベースのサブシステムの場合、照明波長、照明偏光、照明入射角、照明アパーチャ、検出波長、検出偏光、検出角度、検出アパーチャなどのパラメータの値によって規定され得る。電子ビームベースのサブシステムのモードは、そのサブシステムの電子ビーム関連のパラメータに基づいて同様の様式で規定され得る。欠陥検出が2つのモードを使用して実施されるとき、複数の出力が異なるモードでの標本上の単一の場所(ならびに出力が生成される各他の場所)について生成され、その場所の検査は、異なるモードで生成された出力のうちの2つ以上(またはすべて)を使用して実施され得る。そのような検査は、当該技術分野で知られている任意の好適な様式で実施され得る。
追加の実施形態において、1つ以上のモデルは、検出された欠陥を分類するように構成されており、1つ以上のコンピュータサブシステムは、分類の結果に基づいて検出された欠陥をサンプリングするように構成されている。サンプリングはまた、別のシステムから受信され得る本明細書に説明される情報のいずれかなど、システムが利用可能な任意のデータの機能として実施され得る。この様式では、本明細書に説明される実施形態は、深層学習ベースのサンプリングのために構成され得る。本明細書に説明されるサンプリングは、サンプリングが分類のための深層学習の使用の拡張であり得る(特定の種類の画像すべてを見つけ、それらの所与のパーセンテージをサンプリングする)という点で深層学習ベースであり得る。深層学習ベースのサンプリングはまた、本明細書にさらに説明される利用可能な以前の/参照データならびに本明細書に説明される実施形態によって生成される情報に基づいて実施され得る。参照データは、パターンの弱さ(プロセス変動に対する乏しい復元性)に関する情報、設計レイアウト情報、計測情報(外部ソースから、またはインシチュで)を含み得る。
サンプリングの結果は、標本について実施され得る任意の後処理に指示するために使用され得る。例えば、1つ以上のシミュレーションは、サンプリングされた欠陥について本明細書に説明されるモデルによって実施され得る。加えて、後処理は、設計のパッチならびに/または欠陥のために実験的および/もしくは理論的に生成された画像に対して実施され得る。
本明細書に説明される生データ取得、サンプリング、および後処理は、フィードバックループ内で実施され得る。例えば、後処理の結果は、標本に対して実施され得る追加の生データ取得に指示するために使用され得る。生データ取得の結果および/または取得した生データを使用して実施された任意の機能の結果は、サンプリングに入力され得る。サンプリングの結果は、任意のさらなる生データ取得を生成するために使用され得る追加の後処理に指示するために使用され得る。この様式では、上記の生データ取得、サンプリング、および後処理は、プロセスが完了するまで信号増幅ループ内で実施され得る。
さらに別の実施形態において、1つ以上のコンピュータサブシステムは、1つ以上のモデルを使用して欠陥を検出することを実施するように構成されている。このモデルはまた、様々な従来の人手による検査アルゴリズムに取って代わって、はるかにロバストな検出および監視能力を提供するために使用することができる。例えば、モデルは、いくつかのサンプル(例えば、欠陥サンプルおよび非欠陥サンプルの両方)を備え得、モデルは、欠陥検出について自らトレーニングし得る。対照的に、従来の欠陥検出アルゴリズムおよび方法は、ユーザが観察している入力および出力データのユーザによる理解に基づいてアルゴリズム内で構成される必要のある入力のすべてをユーザが理解することを必要とする。そのようなユーザベースの機能は、冗漫で時間がかかり、且つ誤りが起こりやすい。対照的に、モデルは、有能でかなりロバストである(例えば、有害検出により壊れることがないが、例えば、一方でこれは、手動で設定される従来の欠陥検出アルゴリズムおよび方法ではかなり頻繁に起こる)。欠陥検出のためにモデルを設定することは、異なる種類の実際のイベントおよび増補されたイベントを学習するのに十分なサンプル(陽性および陰性の両方)に基づいて実施され得る。そのような欠陥検出は、学習位相のためのブートストラップ機序として使用され得る(即ち、光学ネットワークトレーニングのための広帯域プラズマ検査ベースのPWQなど)従来の欠陥検出アルゴリズムおよび/または方法を補完することができる。
さらなる実施形態において、1つ以上の構成要素は、少なくとも光学ベースのサブシステムおよび電子ビームベースのサブシステムを制御するように構成された単一のレシピを含む。例えば、図1に示されるように、構成要素100は、単一のレシピ112を含み得る。単一のレシピは、本質的には、条件付き実行およびループトリガを含むスーパーレシピであり得る。単一のレシピの目的は、システム動作フロー(従来のインスペクタより複雑である)内での自己整合性を確実にすることであり得る。本明細書に説明される実施形態における主な自己整合性問題は、空間的であり得る(例えば、インスペクタまたはレビューSEMの複数のモードで集めた画像または出力を使用したい場合、各画像または出力取得は、同じ場所で実施されなければならない)。異なるツールからのデータ収集を伴う可能性のある、スーパーレシピ全体にわたって適用することができるウェハおよびダイなどのレイアウトに関する幾何学的情報を捕捉する再利用可能なレシピ構成要素も存在し得る。今日、これは手動で行われ得る。提案されたシステムは、インスペクタのための別個のレシピ、電子ビームレビューツールのためのレシピなどの従来の収集ではなく、全体でのレシピの収集を検討すること(スーパーレシピ)によってこれを強化し得る。
いくつかの実施形態において、コンピュータサブシステムは、プロセスウィンドウ認定(PWQ)法で検出された欠陥の情報を用いて1つ以上のモデルをトレーニングするように構成されている。PWQ法は、本明細書にさらに説明されるように実施されてもよい。例えば、プロセスは、PWQ法においてプロセスの1つ以上のパラメータの2つ以上の異なる値を有する標本に対して実施され得る。1つのそのような例において、トレーニング入力を生成するための1つの戦略は、系統的欠陥のジェネレータとしてPWQなどのDOEを使用することである。PWQ法において変化されるプロセスの1つ以上のパラメータは、焦点および露光を含み得る(例えば、焦点−露光PWQプロセスにあるように)。加えて、PWQ法は、プロセスの少なくとも1つのパラメータの異なる値で処理された標本のシミュレートされた表現を生成するためにシミュレーションが実施され得るシミュレートされたPWQ法であり得る。PWQ法は、実際の標本および/または本明細書にさらに説明されるようなシミュレートされた標本を使用してさらに実施され得る。
この様式では、本明細書に説明される実施形態に使用され得る試験標本は、プロセスDOE標本ビヒクル、および系統的欠陥のジェネレータとしてソフトウェアを使用するシミュレートされたプロセスDOEを含み得る。例として、PWQウェハは、PWQビヒクルとして機能するだけでなく、系統的パターン欠陥のソースとしても機能する。これらのジェネレータは、モデルをトレーニングするために使用され得る。他の種類の標本および実験もトレーニングに使用され得る。例えば、プロセスウィンドウを決定するために通常使用されるPWQ/FEM/オーバーレイPWQウェハが、光学ベースのサブシステムおよび電子ビームベースのサブシステムの両方のための深層学習システムを含むがこれに限定されない機械学習システムの任意のクラスをトレーニングするために、欠陥のジェネレータとして本明細書に説明される実施形態において使用され得る。この様式では、PWQ法は、深層学習法をトレーニングするために使用することができる標本の非名目上のインスタンスを生成するために使用され得る。言い換えると、システムをトレーニングおよび最適化するために使用される欠陥機序は、系統的またはプロセス系統的欠陥であり得る。加えて、標本および/または他の標本について生成される電子ビームサブシステムによって生成された電子ビームベースの出力は、学習ならびに検証のためのグランドトゥルースを確立するために使用され得る。
別の実施形態において、本明細書に説明される実施形態は、1つ以上のPWQ関連の機能を実施する能力を有し得る。例えば、本明細書に説明される実施形態は、ホットスポット監視および計測に使用可能である参照データベースを出力するプロセスウィンドウディスカバリ(PWD)のために構成され得る。PWDは、現像後検査(ADI)ウェハおよびエッチング後検査(AEI)ウェハ、関連計測データ、ならびに設計を使用して実施され得る。本明細書に説明されるものなどの生成的プロセスは、ADI SEM画像からAEI SEM画像(およびその逆)を推論し得る。ADIからAEI(またはその逆)への画像生成入力は、ソース画像からの臨界次元(CD)計測データを含み得る。いくつかの場合において、本明細書に説明されるモデルは、光学および/または電子ビームベースのサブシステムの出力と標本に対して実施されるプロセスのパラメータの1つ以上の値との関係を学習するように構成され得る。この様式では、モデルは、測定された属性からプロセス条件(例えば、焦点、線量など)を推論するように構成され得る。
1つのそのような実施形態において、POIは、本明細書にさらに説明されるようにPWQによって識別され得る。次いで、容積測定検査が、すべての故障POI部位に対して名目上の変調で実施され得る。容積測定検査は、本明細書にさらに説明されるように実施されてもよい。次いで、容積測定光学パッチのランダムサンプルがすべての故障および非故障部位に対して生成され得る。サンプルは、最大5×1億のパッチを含み得る。次いで、故障部位を検証するために欠陥レビューが実施され得る。レビューされる故障部位のサンプルは、多様性サンプリングによって選択され得る。欠陥レビューは、容積測定検査によって識別された故障部位を検証するために実施され得る。加えて、欠陥レビューは、非故障部位を検証するために実施され得る。欠陥レビューの結果(例えば、容積測定検査または欠陥レビューによって識別された部位が故障であるかまたは非故障であるか)は、本明細書に説明される仮想システムに格納することができる。
次いで、本システムはモデルをトレーニングし得る。例えば、モデルは、容積測定パッチ、電子ビームベースの画像、および設計クリップを用いてトレーニングされ得る。すべての故障部位が入力され得、適切な数の非故障部位も入力され得る。トレーニングは、非トレーニング部位に対して検証され得る。次いで、深層学習ベースの欠陥検出を用いて実施される容積測定検査が実施され得る。例えば、深層学習ベースの検出および/または分類は、容積測定パッチ画像入力に基づいて実施することができる。名目上の部位は、モデルに入力された容積測定画像を使用して検査され得る。
欠陥検出の結果は、容積測定画像に対してモデルベースの検出を実施することが、その検査と電子ビームベースの検査との間のいかなるギャップも除去するかどうかを決定するために使用され得る。「ギャップ」は、この場合、電子ビームベースの検査によって検出されるが、光学ベースの検査によって検出されない欠陥と定義され得る。その検査と電子ビームベースの検査との間に依然としてギャップが存在する場合、追加の部位が欠陥レビューのために選択され得る。欠陥レビューは、故障部位および非故障部位を識別するために上記のように追加の部位に対して実施され得る。その情報は、モデルを再トレーニングするために使用され得る。追加の容積測定画像が生成されて、再トレーニングされたモデルに入力され得る。その検査の結果は、その検査と電子ビームベースの検査との間にギャップが残っているかどうかを決定するために使用され得る。このプロセスは、容積測定画像を使用して実施された深層学習ベースの検査と電子ビーム検査との間にギャップが存在しないことが決定されるまで繰り返され得る。
標本の非名目上のインスタンスからの情報を使用してモデルをトレーニングするとき、いくつかの技術的検討が行われ得る。例えば、非名目上のインスタンスは、定義上、非名目上のダイスにおいて検出される。加えて、SEM検査の場合、非名目上のダイスにおいて、欠陥的なピクセルは、SEMを使用してマークすることができる。非名目上のインスタンスからの情報はまた、設計CAD内で誘導された系統的欠陥とともに利用され得る。これらの欠陥を誘導するためのSWは、自動化または半自動化され得る。誘導する欠陥タイプ例としては、オープン、ショート、凸、侵入などを挙げることができる。次いで、SEM画像が、生成的モデルを使用することによって生成され得、これらの「漫画のような」欠陥は、SEM画像上でリアルに見える欠陥へと変換することができる。
1つのそのような例において、モデルをトレーニングすることはまた、1つ以上の標本における欠陥のインスタンスを使用して実施され得、欠陥は、設計内に合成欠陥を作成するために1つ以上の標本のための設計を変更することによって生成された1つ以上の合成欠陥を含む。「合成」欠陥は、この用語が本明細書内で使用される場合、概して、例えば標本の設計情報の操作によって標本上で意図的に発生させた1つ以上の欠陥と定義することができる。したがって、「合成」欠陥はまた、「仮説上の」欠陥または「プログラムされた」欠陥と称され得る。1つのそのような実施形態において、合成手法を使用することによる設計/シミュレーション空間における仮想欠陥イベントの導入を介したトレーニング入力生成では、CAD設計は、欠陥(例えば、オープン、ショート、凸、ラインエンド、計測マーカなど)を合成的に生成するために使用することができ、次いで、本明細書にさらに説明される深層生成的モデルもしくは他のモデルによって処理され得(トレーニング画像上に現実的な欠陥を作成するため)、および/または、1つ以上の標本上に合成的に生成された欠陥をプリントするために使用することができ、これが次いで、標本上の合成的に生成された欠陥の画像を生成するために使用され得る。この様式では、本明細書に説明される実施形態は、電子ビームベースの出力および光学ベースの出力の両方に対して現実的な系統的欠陥およびランダムな欠陥を形成して、深層学習モデルを含むがこれに限定されない任意の機械学習アルゴリズムによる使用のためのトレーニングセットに注入するために、EDA/CADデータに対して欠陥を生成する合成方法と組み合わせた深層生成的モデルを含み得る。CAD作業は、任意の好適なEDAソフトウェア、ハードウェハ、システム、または方法を含み得るプログラム可能な/グラフィカルなEDAエディタを用いて自動化することができる。
モデルをトレーニングすることは、1つ以上の標本における欠陥のインスタンスを含む標本の非名目上のインスタンスを使用してさらに実施され得、欠陥は、設計内に合成欠陥を作成するために1つ以上の標本のための設計を変更することによって生成された1つ以上の合成欠陥を含み、非名目上のインスタンスのための情報は、他のモデルの出力を含み、他のモデルの出力は、合成欠陥がプリントされる1つ以上の標本が撮像システムによって生成された標本の1つ以上の実画像内でどのように見えるかを例証する。例えば、適用され得る追加の能力は、インスペクタのシミュレーションである。そのようなモデルの例は、KLA−Tencorから市販されているWINsimであり、これは、電磁(EM)波ソルバを使用してインスペクタの応答を厳密にモデル化することができる。この様式では、プログラムされた欠陥の欠陥挙動は、1つのモデルにおいて学習され、別のモデルにおいて適用され得る。そのようなシミュレーションは、本明細書に説明される任意の他のサブシステムまたはシステムに対して実施され得る。加えて、そのようなシミュレーションは、当該技術分野で知られている任意の他の好適なソフトウェア、アルゴリズム、方法、またはシステムを使用して実施され得る。
1つの実施形態において、1つ以上の構成要素は、プロセスが実施される1つ以上の標本の名目上のインスタンスのための情報を作成するように構成された深層生成的モデルを含む。例えば、SEM(実ウェハの画像)と設計(例えばCADまたは目的のレイアウトのベクトル表現)との間の同時確率分布(平均および分散)を学習する深層生成的モデルを使用して、モデルをトレーニングするために使用される名目上のインスタンスを生成することができる。生成的モデルはまた、標本の非名目上のインスタンスについて本明細書に説明される他のシミュレーション結果を生成するために使用され得る。モデルが名目上の(目的の/非欠陥的な)サンプルに対してトレーニングされると、欠陥的画像または本明細書に説明される他の非名目上のインスタンスを含むトレーニング入力データセットが、モデルをトレーニングするために使用され得る。加えて、モデルは、半導体ウェハを作るために使用された設計データ(例えばCADまたはEDAデータ)を修正することによって生成される合成データを使用することによって事前にトレーニングされ得る。オープン、ショート、凸、侵入などの欠陥アーチファクトは、ラインエンド後退などの計測マーカと共に、CAD内へ挿入され得、現実的な欠陥を作成するために2016年6月7日出願のチャン(Zhang)らに対する米国特許出願第15/176,139号、および「Semi−supervised Learning with Deep Generative Models」、キングマ(Kingma)ら、NIPS2014、October31,2014、pp.1−9に説明され、本明細書に完全に明記されるかのように引用により援用されるネットワークによってトレーニングされる生成的モデル内へ供給され得る。本明細書に説明される実施形態は、これらの参照文献において説明されるようにさらに構成され得る。
本明細書に説明される実施形態の構造パラメータに関する1つの検討事項は、特定の種類の深層学習を行うためにいくつのサンプルが必要とされるかという概念である。例えば、電子ビームベースのモデルでは、約1000〜約5000の電子ビーム画像が、所与の層のための電子ビームベースのモデルをトレーニングする目的で取得され得る。生の数値データは、欠陥タイプあたりおよそ100サンプルであり得る。シミュレーションはまた、欠陥を増補するために使用することができ、欠陥は10に近い数まで減少することができる可能性がある。サンプルはまた、名目を学習するために取得され得る。光学ベースのモデルでは、約10000〜約50000の電子ビームサンプルおよび対応する光学サンプルがトレーニングのために取得され得る。光学ベースのモデルをトレーニングすることは、光学ベースの出力における解像度およびノイズを克服するために電子ビームベースのモデルの10倍以上を必要とする。検証のために光学から電子ビームへの最終サンプリングも存在し得る(即ち、光学/電子ビームサンプリング比)。加えて、本明細書に説明されるモデルのうちの1つ以上は、トレーニングのために使用されなかったデータのサブセットを使用することによってトレーニングされた後に試験され得る(即ち、データの一部分は、トレーニングのためだけに使用され、データの別の部分は検証のためだけに使用される)。例えば、SEM ADCは、約90%の寄与またはそれ以上の能力がある。オペレータは、検証のための8時間のセッション中に1000〜2000の欠陥に対処することができる。これが、1つの下限として検証目的のためのおよそ20000サンプルにつながる。
1つの実施形態において、モデルは、1つ以上の識別モデルを含む。別の実施形態において、モデルは、1つ以上の生成的モデルを含む。例えば、学習は、分類および検出アルゴリズムを作成するために使用することができる識別学習、ならびに端的に言えば画像をレンダリングすることができるモデルを実際に作成するために使用することができる生成的学習という2種類の機序を特色とし得る。例えば、本明細書にさらに説明されるように、生成的モデルは、SEM画像内のウェハ上のその位置のように見える設計クリップから画像を生成するように構成され得る。これは、1)生成的モデルを設計クリップおよびウェハ上のそれらの場所からの関連した実SEM画像を用いてトレーニングすること、ならびに2)モデルを推論モードで使用して、それを生成されたシミュレーションSEM画像を求める場所について設計クリップに供給することによって実施され得る。そのようなシミュレーション画像は、ダイツーデータベース検査内の参照画像として使用することができる。
モデルが1つ以上の識別モデルを含む場合、識別モデルは、当該技術分野で知られている任意の好適なアーキテクチャおよび/または構成を有し得る。条件付きモデルとも呼ばれる識別モデルは、未観測変数yの観測変数xへの依存性をモデル化するための機械学習に使用されるモデルのクラスである。確率的フレームワーク内で、これは、xからyを予測するために使用され得る条件付き確率分布P(y|x)をモデル化することによって行われる。生成的モデルと対照的に、識別モデルは、xおよびyの同時分布からサンプルを生成することを許可しない。しかしながら、同時分布を必要としない分類および回帰などのタスクでは、識別モデルはより優れたパフォーマンスをもたらすことができる。一方、生成的モデルは、典型的には、複雑な学習タスクにおける依存性を表現することにおいて識別モデルよりも柔軟性がある。加えて、大半の識別モデルは、本質的に教師ありであり、教師なし学習へと容易に拡張することができない。最終的には、特定用途向けの詳細事項が、識別モデル対生成的モデルの選択の適合性を指示する。
「生成的」モデルは、概して、事実上確率的であるモデルと定義することができる。言い換えると、「生成的」モデルは、フォワードシミュレーションまたはルールベースの手法を実施するものではなく、そのようなものとして、(シミュレーション画像または出力が生成されている)実画像または出力を生成することに関与するプロセスの物理のモデルが必要でない。代わりに、本明細書にさらに説明されるように、生成的モデルは、データの好適なトレーニングセットに基づいて学習され得る(そのパラメータが学習され得るという点で)。本明細書にさらに説明されるように、そのような生成的モデルは、本明細書に説明される実施形態のいくつかの利点を有する。加えて、生成的モデルがいくつかのアルゴリズムまたは変換を実施する複数の層を含み得るという点において、生成的モデルは、深層学習アーキテクチャを有するように構成され得る。生成的モデルに含まれるいくつかの層は、ユースケース依存であり得る。実用的な目的のため、層の好適な範囲は、2層から数十層である。
本明細書に説明される深層学習は、一種の機械学習である。機械学習は、概して、明示的にプログラミングされることなく学習する能力を持ったコンピュータを提供する一種の人工知能(AI)と定義することができる。機械学習は、新たなデータに露出されたときに独習して成長および変化することができるコンピュータプログラムの発展に焦点を合わせる。言い換えると、機械学習は、「明示的にプログラミングされることなく学習する能力をコンピュータに与える」コンピュータサイエンスの亜領域と定義することができる。機械学習は、サンプル入力からモデルを構築することを通して、データから学習することができ、且つデータに対して予測を行うことができるアルゴリズムの研究および構築を探究し、そのようなアルゴリズムは、データ駆動の予測および決定を行うことによって静的プログラム命令に厳密に従うことを克服する。
本明細書に説明される機械学習は、「Introduction to Statistical Machine Learning」、スギヤマ(Sugiyama)、モーガン・カウフマン(Morgan Kaufmann)、2016、534 pages、「Discriminative,Generative,and Imitative Learning」、ジェバラ(Jebara)、MIT Thesis、2002、212 pages、および「Principles of Data Mining(Adaptive Computation and Machine Learning)」、ハンド(Hand)ら、MIT Press、2001、578 pagesに説明されるようにさらに実施され得、これらを本明細書に完全に明記されるかのように引用により援用する。本明細書に説明される実施形態は、これらの参照文献において説明されるようにさらに構成され得る。
別の実施形態において、モデルはニューラルネットワークである。例えば、モデルは、深層ニューラルネットワークであり得、これは、それをトレーニングするために供給されているデータに従って世界をモデル化する重みのセットを有する。ニューラルネットワークは、概して、生物学的な脳が軸索によって繋がっている生物学的な神経の比較的大きなクラスタを用いて問題を解決するやり方を大まかにモデル化する比較的大きなニューラルユニット群に基づく計算手法と定義することができる。各ニューラルユニットは、多くの他のニューラルユニットと接続され、リンクは、接続されたニューラルユニットの活性化状態に対するそれらの効果において強制または抑制となり得る。これらのシステムは、自己学習であり、明示的にプログラムされるのではなくトレーニングされ、ソリューションまたは特徴部検出が従来のコンピュータプログラムでは表現することが難しいエリアにおいて勝っている。
ニューラルネットワークは、典型的には、複数の層からなり、信号パスは前から後ろへ縦走する。ニューラルネットワークの目的は、人間の脳が行うのと同じやり方で問題を解決することであるが、いくつかのニューラルネットワークは、もっと抽象的である。現代のニューラルネットワークプロジェクトは、典型的には、数千から数百万のニューラルユニットおよび何百万もの接続と連携する。ニューラルネットワークは、当該技術分野で知られている任意の好適なアーキテクチャおよび/または構成を有し得る。
さらなる実施形態において、モデルは、畳み込みおよび逆畳み込みニューラルネットワークである。例えば、本明細書に説明される実施形態は、畳み込みおよび逆畳み込みニューラルネットワークなどの学習概念を利用して、通常解決困難な表現変換問題(例えばレンダリング)を解決することができる。モデルは、当該技術分野で知られている任意の畳み込みおよび逆畳み込みニューラルネットワーク構成またはアーキテクチャを有し得る。
1つの実施形態において、1つ以上のシミュレーションは、標本の設計情報に基づいて標本のための1つ以上のシミュレーション画像を生成することを含み、電子ビームベースの出力は、電子ビームベースのサブシステムによって生成された標本の1つ以上の実画像を含み、1つ以上のシミュレーション画像は、標本が1つ以上の実画像内でどのように見えるかを例証する。別の実施形態において、1つ以上のシミュレーションは、標本の設計情報および光学ベースの出力に基づいた標本のための1つ以上のシミュレーション画像を生成することを含み、電子ビームベースの出力は、電子ビームベースのサブシステムによって生成された標本の1つ以上の実画像を含み、1つ以上のシミュレーション画像は、標本が1つ以上の実画像内でどのように見えるかを例証する。
したがって、本質的には、システムが学習し実行する2つのモデルが存在し得る。第1のモデルは、電子ビームベースの出力と設計との間の変換を学習する電子ビームベースのダイツーデータベースモデルであり得る。このモデルによって実施されるシミュレーションは、電子ビーム出力に基づいて検出されたイベントの検出および分類の両方を可能にする(このシミュレーションは、設計がプロセスにおけるその時点でどのように見えるべきかを例証するため)。第2のモデルは、電子ビームベースの出力、光学ベースの出力、および設計の間の三者の関係を学習する光学SPPIモデル(SEM後処理ベースの検査モデル)であり得る。したがって、一旦モデルが学習されると、そのモデルを、単に光学および設計パターンのみに基づいた後処理中に光学ツール上にSEM画像を生成するために使用することができる。そのようなシミュレーション画像は、電子ビームベースのサブシステムを使用して対応する電子ビームベースの出力を形成することによって検証することができる。
1つのそのような例において、モデルによって実施される1つ以上のシミュレーションは、標本が本明細書に説明されるサブシステムのうちの1つによって生成される実画像内でどのように見えるかを例証するシミュレーション画像を生成し得る。この様式では、シミュレーション画像は、本明細書に説明される光学ベースのサブシステムまたは電子ビームベースのサブシステムによって生成され得る標本の画像を表し得る。1つのそのような例において、モデルによって実施される1つ以上のシミュレーションへの入力は、標本の設計情報(例えば、本明細書にさらに説明される設計データのいずれか)を含み得、1つ以上のシミュレーションの出力は、設計情報が形成された標本がそれらの画像内でどのように見えるかを例証する1つ以上のシミュレートされた光学または電子ビーム画像を含み得る。
いくつかのそのような実施形態において、モデルによって実施される1つ以上のシミュレーションは、標本の検査に使用される1つ以上の参照画像を生成するために実施され得る。この様式では、本明細書に説明される実施形態は、研究開発製造シナリオにおいて応用するのに並外れて効率的である様式でダイツーデータベース欠陥検出方法および/またはアルゴリズムなどの高度な検査アルゴリズムを可能にすることができる。そのような欠陥検出を可能にすることは、スループットが領域被覆率によって制約される電子ビームベースの検査にとっては特に価値がある。画像取得を「試験」画像のみに制限することによって、スループットを、現在使用されているダイツーダイ検査方法に対して2倍または3倍にすることができる。本明細書に説明される実施形態は、2016年11月16日出願のバスカー(Bhaskar)らによる米国特許出願第15/353,210号に説明されるようにさらに構成され得、これを本明細書に完全に明記されるかのように引用により援用する。例えば、本明細書に説明される実施形態は、この特許出願において説明されるように単一の画像検出を実施するように構成され得る。
いくつかの実施形態において、欠陥を検出することは、光学ベースの出力に基づいて検出された欠陥が有害であるかどうかを電子ビームベースの出力に基づいて決定することを含む。例えば、本明細書に説明されるシステムは、(例えば、1つ以上の仮想システム上への)パッチベースの記憶および(1つ以上のコンピュータサブシステムを介した)GPUコンピューティングのために構成され得る。このアーキテクチャは、光学ツールから電子ビームツールへリアルタイムでウェハあたり1億〜10億のパッチを格納することができる。
パッチベースの記憶およびGPUコンピューティングは、光学信号対ノイズ比を高めるために使用することができる。例えば、光学信号対ノイズ比を高める目的は、膜厚変動、LER、ならびに前の層の欠陥に関連する光学システム内の潜在的な弱点に対処することである。必要な場合、ネットワークは、ウェハ間の変動に対処するために全ウェハに対して再トレーニングすることができる。1つのそのような例において、少数のサンプル(1000〜10000のSEM画像の範囲内で)を、実験におけるウェハから取り出すことができ、次いでモデルを、SEMが有害であると言っている変動を無視するように再トレーニングすることができる。SEMは、典型的には、前の層の欠陥または色変動を見ない。理論的解釈は、早い時期に、降伏学習曲線内には、無視される必要のあるプロセス変動がはるかに多く存在するということである。
この様式では、光学ベースのサブシステムにおいて、欠陥であるイベントが検出され得る。有害率は、検査レシピの主な性能指数である。有害率は、インスペクタが、使用者が気になっているものだけをどの程度見つけるかを示す。電子ビームベースのシステムにおいて、電子ビームベースの出力は、イベントが実際に欠陥であったかどうかを決定するために使用することができる。光学ベースのサブシステムにおける検出を実際の欠陥のみを含むようにより効率的にすることができるほど、「有害率」は低くなり、それが好ましい。
このプロセスは、検査ツールを用いた標準のライン監視よりも時間がかかる可能性があるが(結果を得るまでの目標は約8時間)、依然として純粋な電子ビームベースの検査より100倍〜1000倍速い。例えば、おそらく2時間が光学ベースのサブシステムに費やされ得、残りの4〜6時間が電子ビームベースのサブシステムにおける再トレーニングおよび検証に費やされ得る。パッチ画像が格納され得るため、パッチ画像は、モデルを再びランすることができる。具体的には、格納されたデータ(パッチ画像)の存在により、光学ベースのサブシステムが電子ビームサブシステムにおいて検証された少数(例えば100〜1000)のデータ点をサンプリングすることに基づいて自らを適応的に再トレーニングすることを可能にする。そのようなシステムは、初期の発見およびRAMPプロセスの最中にノイズに対してはるかにロバストである。
別の実施形態において、コンピュータサブシステムは、1つ以上のモデルを使用して欠陥を検出することを実施するように構成されており、1つ以上のモデルは、欠陥を検出するために使用される光学ベースの出力、電子ビームベースの出力、1つ以上の機能の結果、1つ以上のシミュレーションの結果のうちの少なくとも2つを選択するように構成されている。従来の画像融合手法と比較して、モデルを使用する利点は、コンピュータスケーラビリティの見地から莫大である。例えば、従来の処理では、失われる信号がないことを確実にするやり方を見つけるために、出力のすべてのチャネルが維持され得る。モデルでは、その大変な作業はトレーニングによって行われる。モデルが追加のチャネルを生かすことができる場合には、そうすることになる。追加のチャネルが価値を付加しない場合には、モデルはそれらを無視することになる。これは、複数チャネル、複数コストの激増へのソリューションである。加えて、従来のアルゴリズムを有する多重チャネル手法の実用的実装は、断じて起こらない。それはあまりに難しすぎる。深層学習はそれを実用的にするが、それは深層学習が例から独習するためである。
本明細書に説明されるモデルは、欠陥対ピクセル比を支援するために使用され得る。例えば、名目上のLERおよび帯電効果を効果的に学習するモデルの能力は、電子ビームベースの検査において欠陥対ピクセル比を1に近づけるためのかなり強力なツールである。2つのノブが存在し、一方は電子ビームベースの検査と連携しなければならない。一方のノブは、最適化されなければならないサンプリング比までのビーム電流/密度またはビームスポットなどの電子ビーム物理特性に関連する。より小さいピクセルサイズでは、より多くのショットノイズおよびひいてはより多くのフレーム平均化に取り組まなければならない。より高いビーム電流では、対処すべきクローン効果があり得る。より大きいピクセルサイズでは、ショットノイズの問題は小さいが、解像度が明らかに劣っている。加えて、利用可能なもう一方のノブは、電子ビームベースのアルゴリズムである。例えば、モデル長期メモリを利用することによって、モデルは、LERおよび帯電効果などの共通のノイズ源を効果的に無視する。
さらなる実施形態において、光学ベースの出力および電子ビームベースの出力のうちの少なくとも1つを生成することは、標本上の計測マーカの情報に基づいて実施され、1つ以上のコンピュータサブシステムは、計測マーカにおいて生成された光学ベースの出力および電子ビームベースの出力のうちの少なくとも1つに基づいて標本の計測情報を生成するように構成されている。計測マーカは、パターン忠実性測定(PFM)のために使用され得る。例えば、オープン、ショート、凸、いびつな形の接点などの標準の系統的欠陥タイプに加えて、本明細書に説明される実施形態は、設計情報のおかげで、欠けているパターンおよび追加されたパターンを検出する能力を有することになる。本システムはまた、5nmを超えるラインエンド後退など量的な数字を必要とするイベントをシステムに教示する計測マーカを含む。
この様式では、計測マーカは、一種の特別対処領域であり得る。電子ビームベースのサブシステムでは、マーカの場所を突き当て、特定のマーカに対する仕様が満たされるかどうかを見分けるために測定を行うことは容易である。本明細書に説明される深層学習技術を用いることにより、これをさらに一歩先に進めて、光学検査中、推定の「悪い」部位にフラグをつけることをモデルに教示することができる。これが、電子ビームベースのサブシステムサンプリングを最も故障しそうな場所へと誘導することを可能にする。加えて、本システムは、サンプリング、画像生成、および欠陥検出/分類のためのモデルを含み得、かなり高いスループット光学計測(オーバーレイ、CD、膜)ツール、ならびにかなり高い電子ビームベースのサブシステムスループットでかなり高い精度および正確性を提供するように最適化された専用のインダイターゲットにより可能になり得る電子ビームベースの計測の両方から計測データを理解することができる。
本明細書に説明されるモデルは、特定の標本(例えば特定のウェハまたはレチクル)、プロセス、撮像パラメータなどのために生成され得る。言い換えると、本明細書に説明されるモデルは、標本に特有、プロセスに特有、撮像パラメータに特有などであり得る。この様式では、異なるモデルが、異なるウェハ層に対して生成され得る。加えて、異なるモデルが、撮像パラメータの異なるセット(例えば異なる撮像モード)に対して生成され得る。異なるモデルの各々は、データの異なるトレーニングセットを用いて生成され得る。データの異なるトレーニングセットの各々は、本明細書にさらに説明されるように生成され得る。
本明細書に説明される実施形態は、上に説明されるいくつかの利点を有する。加えて、本明細書に説明される実施形態は、現在の光学インスペクタの有用性を増大しながら従来の電子ビームインスペクタの改善の必要性を低減する膨大な可能性を有する検査ソリューションを提供し、電子ビームインスペクタのための独自の市場も作り出す。例えば、本明細書に説明される実施形態は、比較的低速でかなり高解像度の電子ビームベースのツール(例えば、レビューおよび/または検査ツール)と組み合わせたかなり高速の光学インスペクタにより、電子ビームベースのインスペクタと同じ能力を実質的に提供するために使用され得る。具体的には、本明細書に説明される実施形態は、本明細書に説明されるモデルおよび技術の利益なしには実用的ではないやり方で光学ベースのインスペクタの感度を拡大するやり方を提供する。
本明細書に説明される実施形態はまた、2015年11月10日にカーセンティ(Karsenti)らに対して発行された米国特許第9,183,624号に説明されるものなどの検索可能なデータベースを使用した比較的高速のパターン検索のために構成され得、これを本明細書に完全に明記されるかのように引用により援用する。例えば、焦点、線量、オーバーレイなどの関数としてBossung CDを有する関心パターン(POI)/関心領域(ROI)の参照メタデータセットは、ハッシュテーブルとして利用可能であり得る。参照メタデータセットハッシュテーブルは、本明細書に説明される実施形態によって実施される任意の仮想または実プロセス中のランタイムでアクセス可能であり得る。例となるメタデータは、設計ポリゴンと関連付けられたハッシュ値および予期される属性値を含む。メタデータはまた、比較的高速のパターン検索データベースのような、各パターンのインスタンスの場所を含み得る。属性は、CDなど物理的であり得、統計的記述子を含み得る。予期される属性値は、ADIおよび/またはAEIでのシミュレートされたCDおよび/または測定CDであり得る。属性はさらに、特定のプロセス条件(例えば、焦点、線量、オーバーレイ、酸化物層または他の層の厚さなど)について指定され得る。パターンはさらに、マルチパターニングリソグラフィプロセスのためのマスクによって指定され得る。設計意図レイアウトデータは、ハッシュテーブル内の各POI/ROIの各インスタンスについてアクセス可能であり得る。加えて、本明細書に説明されるモデルをトレーニングするための方法は、ハッシュテーブル内で参照されるパターンのサブセットから実施され得る。
本明細書に説明される実施形態において、光学および電子ビームベースのサブシステムは、様々な異なるやり方で互いに結合され得る。例えば、1つの実施形態において、光学ベースのサブシステムおよび電子ビームベースのサブシステムは、1つ以上のコンピュータサブシステムを介してのみ互いに結合される。1つのそのような例において、図1に示されるように、光学ベースのサブシステム10は、コンピュータサブシステム36、コンピュータサブシステム102、およびコンピュータサブシステム124のみを介して、電子ビームベースのサブシステムの電子カラム122に結合され得る。したがって、コンピュータサブシステム102は、光学ベースのサブシステムおよび電子ビームベースのサブシステムに共通であり得る。この様式では、大規模であり得、コンピュータサブシステム102および/または仮想システム108によって促進され得る、データ移動の場合を除いて、電子ビームベースのサブシステムおよび光学ベースのサブシステムは、必ずしも互いに直接結合されなくてもよい。そのようなものとして、本明細書に説明されるシステム実施形態(即ち、ハイブリッドインスペクタ)は、ある意味で、仮想インスペクタであり得、ここでは電子ビームおよび光学サブシステムは、(CCSまたはVIを介して大規模であり得る)データ移動の場合を除いて、互いに直接結合されない。
別の実施形態において、光学ベースのサブシステムおよび電子ビームベースのサブシステムは、1つ以上のコンピュータサブシステムおよび共通の標本ハンドリングサブシステムを介してのみ互いに結合される。例えば、光学および電子ビームベースのサブシステムは、上記のようなコンピュータサブシステムによって結合され得る。加えて、光学ベースのサブシステムおよび電子ビームベースのサブシステムは、共通の標本ハンドリングシステム136、およびおそらくはロードモジュール138を共有し得、ロードモジュール138の中に標本のカセット140が載置され得る。この様式では、標本は、光学および電子ビームベースのサブシステムに共通であるロードモジュール内へと入ることができ、ここで標本は、光学ベースのサブシステムまたは電子ビームベースのサブシステムのいずれか(または両方)に対して配置変えされ得る。標本はまた、電子ビームベースのサブシステムと光学ベースのサブシステムとの間をかなり素早く移動され得る。
しかしながら、一般に、光学ベースのサブシステムは、共通のハウジング(図示せず)、共通の標本ハンドリングシステム136、共通の電源(図示せず)、コンピュータサブシステム102、またはそれらの何らかの組み合わせによって電子ビームベースのサブシステムに結合され得る。共通のハウジングは、当該技術分野で知られている任意の好適な構成を有し得る。例えば、ハウジングは、光学ベースのサブシステムならびに電子ビームベースのサブシステムを収容するように構成され得る。この様式では、光学ベースのサブシステムおよび電子ビームベースのサブシステムは、単一のユニットまたはツールとして構成され得る。共通の標本ハンドリングシステムは、当該技術分野で知られている任意の好適な機械的アセンブリおよび/またはロボットアセンブリを含み得る。共通の標本ハンドリングシステムは、標本をそのカセット内またはプロセス間の他のコンテナ内へ戻す必要なしに、標本が光学ベースのサブシステムから直接電子ビームベースのサブシステム内へ(またはその逆)移動することができるやり方で、光学ベースのサブシステムと電子ビームベースのサブシステムとの間で標本を移動させるように構成され得る。共通の電源は、当該技術分野で知られている任意の好適な電源を含み得る。
光学ベースのサブシステムおよび電子ビームベースのサブシステムは、互いに横方向または垂直方向に近接して載置され得る。例えば、本システムは、異なるプロセスを実施するように各々が構成され得るモジュール(またはサブシステム)のクラスタとして構成され得る。加えて、光学ベースのサブシステムおよび電子ビームベースのサブシステムは、システムのロードモジュール138に横方向または垂直方向に近接して載置され得る。ロードモジュールは、本システム内で処理される予定のウェハのカセット140などの複数の標本を支持するように構成され得る。ロボットの標本ハンドリングシステム136は、測定および/または検査の前にロードモジュールから標本を取り除き、処理された標本をロードモジュール内に載置するように構成され得る。さらに、光学ベースのサブシステムおよび電子ビームベースのサブシステムは、例えば、標本がサブシステム間で移動され得るようにロボットの共通の標本ハンドリングシステムがフィットし得るところであればどこでも、互いに近接する他の場所に載置され得る。この様式では、共通の標本ハンドリングシステム136、ステージ(図示せず)、または別の好適な機械的デバイスは、光学ベースのサブシステムおよび電子ビームベースのサブシステムの間で標本を移動させるように構成され得る。
上記のシステムの各々の実施形態の各々は、1つの単一実施形態にまとめられてもよい。
別の実施形態は、標本における欠陥を検出するためのコンピュータ実装の方法に関する。本方法は、標本の光学ベースの出力を、光を標本に向け、光を標本から検出することによって生成することを含む。本方法はまた、標本の電子ビームベースの出力を、電子を標本に向け、電子を標本から検出することによって生成することを含む。加えて、本方法は、1つ以上のコンピュータシステムを用いて標本について生成された光学ベースの出力および電子ビームベースの出力を受信することを含む。1つ以上のコンピュータシステムは、標本について生成された光学ベースの出力および電子ビームベースの出力の少なくとも一部を使用して1つ以上の関数を実施するように構成された1つ以上の仮想システムを含む。1つ以上の仮想システムは、その中に標本を載置させることができない。1つ以上の構成要素は、1つ以上のコンピュータサブシステムによって実行され、1つ以上の構成要素は、標本のための1つ以上のシミュレーションを実施するように構成された1つ以上のモデルを含む。加えて、本方法は、光学ベースの出力、電子ビームベースの出力、1つ以上の関数の結果、1つ以上のシミュレーションの結果のうちの少なくとも2つに基づいて標本における欠陥を検出することを含む。
本方法のステップの各々は、本明細書にさらに説明されるように実施されてもよい。本方法はまた、本明細書に説明されるシステム、光学ベースのサブシステム、電子ビームベースのサブシステム、コンピュータシステム、仮想システム、構成要素、およびモデルによって実施され得る任意の他のステップを含んでもよい。本システム、光学ベースのサブシステム、電子ビームベースのサブシステム、コンピュータシステム、仮想システム、構成要素、およびモデルは、本明細書に説明される実施形態のいずれかに従って構成され得る。加えて、上記の方法は、本明細書に説明されるシステム実施形態のいずれかによって実施され得る。
追加の実施形態は、標本における欠陥を検出するためのコンピュータ実装の方法を実施するための1つ以上のコンピュータシステムに対して実行可能なプログラム命令を格納する非一時的なコンピュータ可読媒体に関する。1つのそのような実施形態は、図2に示される。具体的には、図2に示されるように、非一時的なコンピュータ可読媒体200は、コンピュータシステム204に対して実行可能なプログラム命令202を含む。コンピュータ実装の方法は、本明細書に説明される任意の方法の任意のステップを含んでもよい。
本明細書に説明されるものなどの方法を実装するプログラム命令202は、コンピュータ可読媒体200に格納され得る。コンピュータ可読媒体は、磁気もしくは光学ディスク、磁気テープ、または当該技術分野で知られている任意の好適な非一時的なコンピュータ可読媒体などの記憶媒体であり得る。
プログラム命令は、中でも手順ベース技術、構成要素ベース技術、および/またはオブジェクト指向技術など、様々なやり方のいずれかで実装され得る。例えば、プログラム命令は、要望に応じて、ActiveXコントロール、C++オブジェクト、JavaBeans(登録商標)、Microsoft Foundation Classes(「MFC」)、SSE(Streaming SIMD Extension)、または他の技術または方法論を使用して実装され得る。
コンピュータシステム204は、本明細書に説明される実施形態のいずれかに従って構成され得る。
本発明の様々な態様のさらなる修正形態および代替実施形態は、本説明を考慮して当業者には明らかであるものとする。例えば、標本における欠陥を検出するためのシステムおよび方法が提供される。したがって、本説明は、例証的のみであると解釈されるべきであり、本発明を実行する一般的様式を当業者に教示するということを目的としている。本明細書に示されるおよび説明される本発明の形態は、現在好ましい実施形態として見られることが理解されるべきである。要素および材料は、本明細書に例証され説明されるものに取って代わることができ、部分およびプロセスは逆にすることができ、本発明の特定の特徴は、独立して利用することができ、すべては本発明の本説明の利益を有した後には当業者にとって明白であるものとする。以下の特許請求の範囲に説明されるような本発明の趣旨および範囲から逸脱することなく、本明細書に説明される要素に対して変更がなされてもよい。

Claims (32)

  1. 標本の光学ベースの出力を、光を前記標本に向け、光を前記標本から検出することによって生成するように構成された光学ベースのサブシステムと、
    前記標本の電子ビームベースの出力を、電子を前記標本に向け、電子を前記標本から検出することによって生成するように構成された電子ビームベースのサブシステムと、
    前記標本について生成された前記光学ベースの出力および前記電子ビームベースの出力を受信するように構成された1つ以上のコンピュータサブシステムであって、前記1つ以上のコンピュータサブシステムは、前記標本について生成された前記光学ベースの出力および前記電子ビームベースの出力のうちの少なくとも一部を使用して1つ以上の関数を実施するように構成された1つ以上の仮想システムを備え、前記1つ以上の仮想システムは、その中に前記標本を載置させることができない、1つ以上のコンピュータサブシステムと、
    前記1つ以上のコンピュータサブシステムによって実行される1つ以上の構成要素であって、前記標本のための1つ以上のシミュレーションを実施するように構成された1つ以上のモデルを備える、1つ以上の構成要素と、を備え、
    前記1つ以上のコンピュータサブシステムは、前記光学ベースの出力、前記電子ビームベースの出力、前記1つ以上の関数の結果、および前記1つ以上のシミュレーションの結果のうちの少なくとも2つに基づいて、前記標本における欠陥を検出するようにさらに構成されている標本における欠陥を検出するように構成されたシステム。
  2. 請求項1に記載のシステムであって、前記1つ以上の仮想システムは、前記標本の設計情報に基づいて前記標本についての基準を生成するようにさらに構成されており、前記基準は、前記標本における前記欠陥を検出するために使用されるシステム。
  3. 請求項1に記載のシステムであって、前記欠陥を検出することは、前記光学ベースの出力、前記電子ビームベースの出力、前記1つ以上の関数の前記結果、および前記1つ以上のシミュレーションの前記結果のうちの前記少なくとも2つと組み合わせて前記標本の設計情報に基づいてさらに実施されるシステム。
  4. 請求項1に記載のシステムであって、前記欠陥を検出することは、前記光学ベースの出力を第1の基準と比較すること、および前記電子ビームベースの出力を第2の基準と比較することを含むシステム。
  5. 請求項1に記載のシステムであって、前記1つ以上の仮想システムは、前記標本について生成された前記光学ベースの出力および前記電子ビームベースの出力のすべてを無制限に格納するようにさらに構成されているシステム。
  6. 請求項1に記載のシステムであって、前記1つ以上の仮想システムは、前記標本について生成された前記光学ベースの出力および前記電子ビームベースの出力を使用することなく前記標本の情報を生成するように、ならびに前記1つ以上の仮想システムによって生成された前記情報を前記1つ以上の仮想システムに格納するようにさらに構成されているシステム。
  7. 請求項1に記載のシステムであって、前記1つ以上のコンピュータサブシステムは、別のシステムによって生成された前記標本の情報を受信するようにさらに構成されており、前記1つ以上の仮想システムは、前記情報を格納するようにさらに構成されており、前記欠陥を検出することは、前記光学ベースの出力、前記電子ビームベースの出力、前記1つ以上の関数の前記結果、前記1つ以上のシミュレーションの前記結果のうちの前記少なくとも2つと組み合わせて、格納された前記情報に基づいてさらに実施されるシステム。
  8. 請求項1に記載のシステムであって、前記標本は、検査用設計構造を含み、前記1つ以上のコンピュータサブシステムは、前記検査用設計構造について生成された前記光学ベースの出力および前記電子ビームベースの出力のうちの少なくとも1つを抽出し、抽出された前記出力に基づいて1つ以上の関数を実施するようにさらに構成されているシステム。
  9. 請求項1に記載のシステムであって、前記欠陥を検出することが容積測定検査を含むシステム。
  10. 請求項1に記載のシステムであって、前記欠陥を検出することがハイパースペクトル検査を含むシステム。
  11. 請求項1に記載のシステムであって、前記欠陥を検出することが多モード検査を含むシステム。
  12. 請求項1に記載のシステムであって、前記1つ以上のモデルは、検出された前記欠陥を分類するようにさらに構成されており、前記1つ以上のコンピュータサブシステムは、前記分類の結果に基づいて検出された前記欠陥をサンプリングするようにさらに構成されているシステム。
  13. 請求項1に記載のシステムであって、前記1つ以上のコンピュータサブシステムは、前記1つ以上のモデルを使用して前記欠陥を前記検出することを実施するように構成されているシステム。
  14. 請求項1に記載のシステムであって、前記1つ以上の構成要素は、少なくとも前記光学ベースのサブシステムおよび前記電子ビームベースのサブシステムを制御するように構成された単一のレシピをさらに含むシステム。
  15. 請求項1に記載のシステムであって、前記1つ以上のコンピュータサブシステムは、プロセスウィンドウ認定法で検出された欠陥の情報を用いて前記1つ以上のモデルをトレーニングするようにさらに構成されているシステム。
  16. 請求項1に記載のシステムであって、前記1つ以上のモデルが1つ以上の深層学習ベースのモデルを含むシステム。
  17. 請求項1に記載のシステムであって、前記1つ以上のモデルが1つ以上の機械学習ベースのモデルを含むシステム。
  18. 請求項1に記載のシステムであって、前記1つ以上のモデルが1つ以上の識別モデルを含むシステム。
  19. 請求項1に記載のシステムであって、前記1つ以上のモデルが1つ以上の生成的モデルを含むシステム。
  20. 請求項1に記載のシステムであって、前記1つ以上のシミュレーションは、前記標本の設計情報に基づいて前記標本のための1つ以上のシミュレーション画像を生成することを含み、前記電子ビームベースの出力は、前記電子ビームベースのサブシステムによって生成された前記標本の1つ以上の実画像を含み、前記1つ以上のシミュレーション画像は、前記標本が前記1つ以上の実画像内でどのように見えるかを例証するシステム。
  21. 請求項1に記載のシステムであって、前記1つ以上のシミュレーションは、前記標本の設計情報および前記光学ベースの出力に基づいて前記標本のための1つ以上のシミュレーション画像を生成することを含み、前記電子ビームベースの出力は、前記電子ビームベースのサブシステムによって生成された前記標本の1つ以上の実画像を含み、前記1つ以上のシミュレーション画像は、前記標本が前記1つ以上の実画像内でどのように見えるかを例証するシステム。
  22. 請求項1に記載のシステムであって、前記検出することは、前記光学ベースの出力に基づいて検出された欠陥が有害であるかどうかを前記電子ビームベースの出力に基づいて決定することを含むシステム。
  23. 請求項1に記載のシステムであって、前記1つ以上のコンピュータサブシステムは、前記1つ以上のモデルを使用して前記欠陥を前記検出することを実施するようにさらに構成されており、前記1つ以上のモデルは、前記欠陥を前記検出するために使用される前記光学ベースの出力、前記電子ビームベースの出力、前記1つ以上の関数の前記結果、前記1つ以上のシミュレーションの前記結果のうちの前記少なくとも2つを選択するようにさらに構成されているシステム。
  24. 請求項1に記載のシステムであって、前記光学ベースの出力および前記電子ビームベースの出力のうちの少なくとも1つを前記生成することは、前記標本上の計測マーカの情報に基づいて実施され、前記1つ以上のコンピュータサブシステムは、前記計測マーカにおいて生成された前記光学ベースの出力および前記電子ビームベースの出力のうちの前記少なくとも1つに基づいて前記標本の計測情報を生成するようにさらに構成されているシステム。
  25. 請求項1に記載のシステムであって、前記光学ベースの出力は、前記光学ベースのサブシステムのパラメータの2つ以上の異なる値を用いて前記光学ベースのサブシステムによって生成された出力を含むシステム。
  26. 請求項1に記載のシステムであって、前記電子ビームベースの出力は、前記電子ビームベースのサブシステムのパラメータの2つ以上の異なる値を用いて前記電子ビームベースのサブシステムによって生成された出力を含むシステム。
  27. 請求項1に記載のシステムであって、前記標本がウェハであるシステム。
  28. 請求項1に記載のシステムであって、前記標本がレチクルであるシステム。
  29. 請求項1に記載のシステムであって、前記光学ベースのサブシステムおよび前記電子ビームベースのサブシステムは、前記1つ以上のコンピュータサブシステムを介してのみ互いに結合されるシステム。
  30. 請求項1に記載のシステムであって、前記光学ベースのサブシステムおよび前記電子ビームベースのサブシステムは、前記1つ以上のコンピュータサブシステムおよび共通の標本ハンドリングサブシステムを介してのみ互いに結合されるシステム。
  31. 標本の光学ベースの出力を、光を前記標本に向け、光を前記標本から検出することによって生成することと、
    前記標本の電子ビームベースの出力を、電子を前記標本に向け、電子を前記標本から検出することによって生成することと、
    1つ以上のコンピュータシステムを用いて前記標本について生成された前記光学ベースの出力および前記電子ビームベースの出力を受信することであって、前記1つ以上のコンピュータシステムは、前記標本について生成された前記光学ベースの出力および前記電子ビームベースの出力のうちの少なくとも一部を使用して1つ以上の関数を実施するように構成された1つ以上の仮想システムを備え、前記1つ以上の仮想システムは、その中に前記標本を載置させることができず、1つ以上の構成要素が、前記1つ以上のコンピュータシステムによって実行され、前記1つ以上の構成要素は、前記標本のための1つ以上のシミュレーションを実施するように構成された1つ以上のモデルを含む、受信することと、
    前記光学ベースの出力、前記電子ビームベースの出力、前記1つ以上の関数の結果、前記1つ以上のシミュレーションの結果のうちの少なくとも2つに基づいて前記標本における欠陥を検出することと、を含む標本における欠陥を検出するためのコンピュータ実装の方法。
  32. 標本における欠陥を検出するためのコンピュータ実装の方法を実施するための1つ以上のコンピュータシステムに対して実行可能なプログラム命令を格納する非一時的なコンピュータ可読媒体であって、前記コンピュータ実装の方法は、
    標本の光学ベースの出力を、光を前記標本に向け、光を前記標本から検出することによって生成することと、
    前記標本の電子ビームベースの出力を、電子を前記標本に向け、電子を前記標本から検出することによって生成することと、
    1つ以上のコンピュータシステムを用いて前記標本について生成された前記光学ベースの出力および前記電子ビームベースの出力を受信することであって、前記1つ以上のコンピュータシステムは、前記標本について生成された前記光学ベースの出力および前記電子ビームベースの出力のうちの少なくとも一部を使用して1つ以上の関数を実施するように構成された1つ以上の仮想システムを備え、前記1つ以上の仮想システムは、その中に前記標本を載置させることができず、1つ以上の構成要素が、前記1つ以上のコンピュータシステムによって実行され、前記1つ以上の構成要素は、前記標本のための1つ以上のシミュレーションを実施するように構成された1つ以上のモデルを含む、受信することと、
    前記光学ベースの出力、前記電子ビームベースの出力、前記1つ以上の関数の結果、前記1つ以上のシミュレーションの結果のうちの少なくとも2つに基づいて前記標本における欠陥を検出することと、を含む非一時的なコンピュータ可読媒体。
JP2018534659A 2015-12-31 2016-12-30 ハイブリッドインスペクタ Active JP6893514B2 (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201562273992P 2015-12-31 2015-12-31
US62/273,992 2015-12-31
US15/394,792 US9916965B2 (en) 2015-12-31 2016-12-29 Hybrid inspectors
US15/394,792 2016-12-29
PCT/US2016/069588 WO2017117573A1 (en) 2015-12-31 2016-12-30 Hybrid inspectors

Publications (2)

Publication Number Publication Date
JP2019508678A true JP2019508678A (ja) 2019-03-28
JP6893514B2 JP6893514B2 (ja) 2021-06-23

Family

ID=59225986

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2018534659A Active JP6893514B2 (ja) 2015-12-31 2016-12-30 ハイブリッドインスペクタ

Country Status (8)

Country Link
US (1) US9916965B2 (ja)
EP (1) EP3397952A4 (ja)
JP (1) JP6893514B2 (ja)
KR (1) KR102460050B1 (ja)
CN (2) CN115684202A (ja)
IL (1) IL259706B (ja)
TW (1) TWI710763B (ja)
WO (1) WO2017117573A1 (ja)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2021057331A (ja) * 2019-09-25 2021-04-08 株式会社日立ハイテクサイエンス 荷電粒子ビーム装置
JP2021057332A (ja) * 2019-09-25 2021-04-08 株式会社日立ハイテクサイエンス 荷電粒子ビーム装置
WO2021250884A1 (ja) * 2020-06-12 2021-12-16 株式会社日立ハイテク 欠陥検査のための方法、システム、及びコンピューター可読媒体
WO2023127081A1 (ja) * 2021-12-28 2023-07-06 株式会社日立ハイテク 画像検査装置、画像処理方法

Families Citing this family (60)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9857291B2 (en) * 2013-05-16 2018-01-02 Kla-Tencor Corporation Metrology system calibration refinement
US10043261B2 (en) * 2016-01-11 2018-08-07 Kla-Tencor Corp. Generating simulated output for a specimen
JP6864888B2 (ja) * 2016-07-15 2021-04-28 株式会社リガク X線検査装置、x線薄膜検査方法およびロッキングカーブ測定方法
JP6942357B2 (ja) * 2016-07-16 2021-09-29 株式会社リガク 複合検査システム
US11580398B2 (en) 2016-10-14 2023-02-14 KLA-Tenor Corp. Diagnostic systems and methods for deep learning models configured for semiconductor applications
US10267748B2 (en) 2016-10-17 2019-04-23 Kla-Tencor Corp. Optimizing training sets used for setting up inspection-related algorithms
US10395358B2 (en) 2016-11-10 2019-08-27 Kla-Tencor Corp. High sensitivity repeater defect detection
US10395362B2 (en) 2017-04-07 2019-08-27 Kla-Tencor Corp. Contour based defect detection
US10657638B2 (en) * 2017-04-28 2020-05-19 Mentor Graphics Corporation Wafer map pattern detection based on supervised machine learning
US11237872B2 (en) 2017-05-23 2022-02-01 Kla-Tencor Corporation Semiconductor inspection and metrology systems for distributing job among the CPUs or GPUs based on logical image processing boundaries
US10551827B2 (en) * 2017-07-25 2020-02-04 Kla-Tencor Corporation Hybrid inspection system for efficient process window discovery
JP6942555B2 (ja) * 2017-08-03 2021-09-29 東京エレクトロン株式会社 基板処理方法、コンピュータ記憶媒体及び基板処理システム
US10437951B2 (en) * 2017-08-23 2019-10-08 International Business Machines Corporation Care area generation by detection optimized methodology
US10699926B2 (en) 2017-08-30 2020-06-30 Kla-Tencor Corp. Identifying nuisances and defects of interest in defects detected on a wafer
US10713534B2 (en) 2017-09-01 2020-07-14 Kla-Tencor Corp. Training a learning based defect classifier
US10607119B2 (en) 2017-09-06 2020-03-31 Kla-Tencor Corp. Unified neural network for defect detection and classification
JP6935641B2 (ja) 2017-09-27 2021-09-15 株式会社日立ハイテク システム、特定検査向け支援方法およびプログラム
US11187992B2 (en) * 2017-10-23 2021-11-30 Applied Materials, Inc. Predictive modeling of metrology in semiconductor processes
US10656518B2 (en) * 2017-12-17 2020-05-19 United Microelectronics Corp. Automatic inline detection and wafer disposition system and method for automatic inline detection and wafer disposition
US10970834B2 (en) * 2018-01-05 2021-04-06 Kla-Tencor Corporation Defect discovery using electron beam inspection and deep learning with real-time intelligence to reduce nuisance
US10677742B2 (en) * 2018-03-09 2020-06-09 Kla-Tencor Corp. Detecting die repeating programmed defects located in backgrounds with non-repeating features
US10679333B2 (en) * 2018-03-14 2020-06-09 Kla-Tencor Corporation Defect detection, classification, and process window control using scanning electron microscope metrology
US11514357B2 (en) * 2018-03-19 2022-11-29 Kla-Tencor Corporation Nuisance mining for novel defect discovery
KR20200123858A (ko) 2018-03-21 2020-10-30 케이엘에이 코포레이션 합성 이미지를 사용한 머신 러닝 모델 트레이닝
US10599951B2 (en) 2018-03-28 2020-03-24 Kla-Tencor Corp. Training a neural network for defect detection in low resolution images
US10670536B2 (en) 2018-03-28 2020-06-02 Kla-Tencor Corp. Mode selection for inspection
DE102018207882A1 (de) 2018-05-18 2019-11-21 Carl Zeiss Smt Gmbh Vorrichtung und Verfahren zur Analyse eines Elements eines Photolithographieprozesses mit Hilfe eines Transformationsmodells
DE102018207880A1 (de) 2018-05-18 2019-11-21 Carl Zeiss Smt Gmbh Verfahren und Vorrichtung zum Bewerten einer unbekannten Auswirkung von Defekten eines Elements eines Photolithographieprozesses
US10713769B2 (en) 2018-06-05 2020-07-14 Kla-Tencor Corp. Active learning for defect classifier training
DE102018209562B3 (de) 2018-06-14 2019-12-12 Carl Zeiss Smt Gmbh Vorrichtungen und Verfahren zur Untersuchung und/oder Bearbeitung eines Elements für die Photolithographie
US10796065B2 (en) * 2018-06-21 2020-10-06 Kla-Tencor Corporation Hybrid design layout to identify optical proximity correction-related systematic defects
DE102018211099B4 (de) 2018-07-05 2020-06-18 Carl Zeiss Smt Gmbh Verfahren und Vorrichtung zum Bewerten eines statistisch verteilten Messwertes beim Untersuchen eines Elements eines Photolithographieprozesses
US11170971B2 (en) * 2018-07-24 2021-11-09 Kla Corporation Multiple working distance height sensor using multiple wavelengths
US10872403B2 (en) 2018-08-10 2020-12-22 Micron Technology, Inc. System for predicting properties of structures, imager system, and related methods
CN112640038A (zh) * 2018-09-03 2021-04-09 首选网络株式会社 学习装置、推断装置及学习完成模型
CN112640037A (zh) * 2018-09-03 2021-04-09 首选网络株式会社 学习装置、推理装置、学习模型的生成方法及推理方法
CN109724984B (zh) * 2018-12-07 2021-11-02 上海交通大学 一种基于深度学习算法的缺陷检测识别装置和方法
KR102611986B1 (ko) 2018-12-19 2023-12-08 삼성전자주식회사 반도체 소자의 형상 예측 방법
US10922808B2 (en) 2019-02-14 2021-02-16 KLA—Tencor Corp. File selection for test image to design alignment
US11551348B2 (en) 2019-04-09 2023-01-10 KLA Corp. Learnable defect detection for semiconductor applications
US20220215145A1 (en) * 2019-05-22 2022-07-07 Xitadel Cae Technologies India Pvt. Ltd. Machine learning for rapid automatic computer-aided engineering modeling
JP7159128B2 (ja) 2019-08-08 2022-10-24 株式会社日立ハイテク 荷電粒子線装置
JP7173937B2 (ja) * 2019-08-08 2022-11-16 株式会社日立ハイテク 荷電粒子線装置
JP7148467B2 (ja) * 2019-08-30 2022-10-05 株式会社日立ハイテク 荷電粒子線装置
JP7189103B2 (ja) 2019-08-30 2022-12-13 株式会社日立ハイテク 荷電粒子線装置
US11580650B2 (en) 2019-10-01 2023-02-14 KLA Corp. Multi-imaging mode image alignment
US11087449B2 (en) 2019-10-24 2021-08-10 KLA Corp. Deep learning networks for nuisance filtering
TWI834015B (zh) * 2019-12-19 2024-03-01 荷蘭商Asml荷蘭公司 帶電粒子多射束系統及相關的非暫時性電腦可讀媒體
KR102228957B1 (ko) * 2019-12-30 2021-03-17 주식회사 고영테크놀러지 인쇄 회로 기판 검사 장치, 스크린 프린터의 결함 유형 결정 방법 및 컴퓨터 판독 가능한 기록 매체
CN115066606A (zh) * 2020-02-16 2022-09-16 奥宝科技有限公司 在电子电路的制备中用于检验图案化物件的多个特征的***及方法
US11232550B2 (en) * 2020-06-29 2022-01-25 Applied Materials Israel Ltd. Generating a training set usable for examination of a semiconductor specimen
US11776108B2 (en) 2020-08-05 2023-10-03 KLA Corp. Deep learning based defect detection
US11810284B2 (en) * 2020-08-21 2023-11-07 Kla Corporation Unsupervised learning for repeater-defect detection
US20220114438A1 (en) * 2020-10-09 2022-04-14 Kla Corporation Dynamic Control Of Machine Learning Based Measurement Recipe Optimization
US20220128899A1 (en) * 2020-10-22 2022-04-28 D2S, Inc. Methods and systems to determine shapes for semiconductor or flat panel display fabrication
US11676266B2 (en) * 2020-11-04 2023-06-13 Tokyo Electron Limited Method and apparatus for inspecting pattern collapse defects
CN112213343B (zh) * 2020-12-03 2021-03-16 中国科学院自动化研究所 塑料条带承载生物超薄切片快速成像方法、***、装置
US20220196580A1 (en) * 2020-12-21 2022-06-23 Globalfoundries U.S. Inc. Defect inspection methods of semiconductor wafers
US11983865B2 (en) * 2021-05-05 2024-05-14 KLA Corp. Deep generative model-based alignment for semiconductor applications
CN115277123B (zh) * 2022-07-12 2024-01-19 上海交通大学 车用can总线注入攻击异常检测方法及***

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03135712A (ja) * 1989-07-19 1991-06-10 Olympus Optical Co Ltd 表面形状測定装置
JP2009523228A (ja) * 2005-12-27 2009-06-18 ケーエルエー−テンカー テクノロジィース コーポレイション 複数画像の同時高速取得を行う方法および装置
US20140241610A1 (en) * 2013-02-25 2014-08-28 Kla-Tencor Corporation Generalized Virtual Inspector
US20150062571A1 (en) * 2013-08-30 2015-03-05 Kla-Tencor Corporation Tuning Wafer Inspection Recipes Using Precise Defect Locations
WO2015100099A1 (en) * 2013-12-23 2015-07-02 Kla-Tencor Corporation Defect discovery and inspection sensitivity optimization using automated classification of corresponding electron beam images
US20150204799A1 (en) * 2014-01-21 2015-07-23 International Business Machines Corporation Computer-based defect root cause and yield impact determination in layered device manufacturing for products and services
JP2015527740A (ja) * 2012-08-01 2015-09-17 ケーエルエー−テンカー コーポレイション ウェーハの検査、及び/又はウェーハ上に形成されるデバイスの一つ若しくは複数の特性の予測
US20150330912A1 (en) * 2014-05-15 2015-11-19 Kla-Tencor Corporation Defect Sampling for Electron Beam Review Based on Defect Attributes from Optical Inspection and Optical Review

Family Cites Families (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6902855B2 (en) 2002-07-15 2005-06-07 Kla-Tencor Technologies Qualifying patterns, patterning processes, or patterning apparatus in the fabrication of microlithographic patterns
US7418124B2 (en) 2002-07-15 2008-08-26 Kla-Tencor Technologies Corp. Qualifying patterns, patterning processes, or patterning apparatus in the fabrication of microlithographic patterns
US7729529B2 (en) 2004-12-07 2010-06-01 Kla-Tencor Technologies Corp. Computer-implemented methods for detecting and/or sorting defects in a design pattern of a reticle
US7769225B2 (en) 2005-08-02 2010-08-03 Kla-Tencor Technologies Corp. Methods and systems for detecting defects in a reticle design pattern
US7570796B2 (en) * 2005-11-18 2009-08-04 Kla-Tencor Technologies Corp. Methods and systems for utilizing design data in combination with inspection data
US7676077B2 (en) 2005-11-18 2010-03-09 Kla-Tencor Technologies Corp. Methods and systems for utilizing design data in combination with inspection data
JP5283830B2 (ja) 2006-06-13 2013-09-04 富士通セミコンダクター株式会社 欠陥検査方法
US7373215B2 (en) * 2006-08-31 2008-05-13 Advanced Micro Devices, Inc. Transistor gate shape metrology using multiple data sources
US8698093B1 (en) 2007-01-19 2014-04-15 Kla-Tencor Corporation Objective lens with deflector plates immersed in electrostatic lens field
US8213704B2 (en) 2007-05-09 2012-07-03 Kla-Tencor Corp. Methods and systems for detecting defects in a reticle design pattern
US8611639B2 (en) 2007-07-30 2013-12-17 Kla-Tencor Technologies Corp Semiconductor device property extraction, generation, visualization, and monitoring methods
US8126255B2 (en) 2007-09-20 2012-02-28 Kla-Tencor Corp. Systems and methods for creating persistent data for a wafer and for using persistent data for inspection-related functions
CN101918818A (zh) 2007-11-12 2010-12-15 麦克罗尼克激光***公司 检测图案误差的方法和装置
US8041106B2 (en) 2008-12-05 2011-10-18 Kla-Tencor Corp. Methods and systems for detecting defects on a reticle
US8664594B1 (en) 2011-04-18 2014-03-04 Kla-Tencor Corporation Electron-optical system for high-speed and high-sensitivity inspections
US8692204B2 (en) 2011-04-26 2014-04-08 Kla-Tencor Corporation Apparatus and methods for electron beam detection
US9277186B2 (en) * 2012-01-18 2016-03-01 Kla-Tencor Corp. Generating a wafer inspection process using bit failures and virtual inspection
US8716662B1 (en) 2012-07-16 2014-05-06 Kla-Tencor Corporation Methods and apparatus to review defects using scanning electron microscope with multiple electron beam configurations
US9098891B2 (en) 2013-04-08 2015-08-04 Kla-Tencor Corp. Adaptive sampling for semiconductor inspection recipe creation, defect review, and metrology
US9183624B2 (en) 2013-06-19 2015-11-10 Kla-Tencor Corp. Detecting defects on a wafer with run time use of design data
US9347862B2 (en) 2013-08-06 2016-05-24 Kla-Tencor Corp. Setting up a wafer inspection process using programmed defects
US10410338B2 (en) * 2013-11-04 2019-09-10 Kla-Tencor Corporation Method and system for correlating optical images with scanning electron microscopy images
US9087176B1 (en) * 2014-03-06 2015-07-21 Kla-Tencor Corporation Statistical overlay error prediction for feed forward and feedback correction of overlay errors, root cause analysis and process control
US9262821B2 (en) 2014-05-12 2016-02-16 Kla-Tencor Corp. Inspection recipe setup from reference image variation
US9816939B2 (en) 2014-07-22 2017-11-14 Kla-Tencor Corp. Virtual inspection systems with multiple modes
KR20170067796A (ko) 2014-10-06 2017-06-16 카니 랩스 엘엘씨 단방향 및 양방향 데이터 흐름 시스템 및 방법
US10267746B2 (en) 2014-10-22 2019-04-23 Kla-Tencor Corp. Automated pattern fidelity measurement plan generation
US10747830B2 (en) 2014-11-21 2020-08-18 Mesh Labs Inc. Method and system for displaying electronic information
US9816940B2 (en) 2015-01-21 2017-11-14 Kla-Tencor Corporation Wafer inspection with focus volumetric method
US10012599B2 (en) 2015-04-03 2018-07-03 Kla-Tencor Corp. Optical die to database inspection
US9767548B2 (en) 2015-04-24 2017-09-19 Kla-Tencor Corp. Outlier detection on pattern of interest image populations
US10393671B2 (en) 2015-04-29 2019-08-27 Kla-Tencor Corp. Intra-die defect detection
US10359371B2 (en) 2015-08-24 2019-07-23 Kla-Tencor Corp. Determining one or more characteristics of a pattern of interest on a specimen
US10186026B2 (en) 2015-11-17 2019-01-22 Kla-Tencor Corp. Single image detection
US9965901B2 (en) 2015-11-19 2018-05-08 KLA—Tencor Corp. Generating simulated images from design information
US10074167B2 (en) 2015-12-06 2018-09-11 Kla-Tencor Corporation Reducing registration and design vicinity induced noise for intra-die inspection
US10043261B2 (en) 2016-01-11 2018-08-07 Kla-Tencor Corp. Generating simulated output for a specimen

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03135712A (ja) * 1989-07-19 1991-06-10 Olympus Optical Co Ltd 表面形状測定装置
JP2009523228A (ja) * 2005-12-27 2009-06-18 ケーエルエー−テンカー テクノロジィース コーポレイション 複数画像の同時高速取得を行う方法および装置
JP2015527740A (ja) * 2012-08-01 2015-09-17 ケーエルエー−テンカー コーポレイション ウェーハの検査、及び/又はウェーハ上に形成されるデバイスの一つ若しくは複数の特性の予測
US20140241610A1 (en) * 2013-02-25 2014-08-28 Kla-Tencor Corporation Generalized Virtual Inspector
US20150062571A1 (en) * 2013-08-30 2015-03-05 Kla-Tencor Corporation Tuning Wafer Inspection Recipes Using Precise Defect Locations
WO2015100099A1 (en) * 2013-12-23 2015-07-02 Kla-Tencor Corporation Defect discovery and inspection sensitivity optimization using automated classification of corresponding electron beam images
US20150204799A1 (en) * 2014-01-21 2015-07-23 International Business Machines Corporation Computer-based defect root cause and yield impact determination in layered device manufacturing for products and services
US20150330912A1 (en) * 2014-05-15 2015-11-19 Kla-Tencor Corporation Defect Sampling for Electron Beam Review Based on Defect Attributes from Optical Inspection and Optical Review

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2021057331A (ja) * 2019-09-25 2021-04-08 株式会社日立ハイテクサイエンス 荷電粒子ビーム装置
JP2021057332A (ja) * 2019-09-25 2021-04-08 株式会社日立ハイテクサイエンス 荷電粒子ビーム装置
JP7391735B2 (ja) 2019-09-25 2023-12-05 株式会社日立ハイテクサイエンス 荷電粒子ビーム装置
JP7413105B2 (ja) 2019-09-25 2024-01-15 株式会社日立ハイテクサイエンス 荷電粒子ビーム装置
WO2021250884A1 (ja) * 2020-06-12 2021-12-16 株式会社日立ハイテク 欠陥検査のための方法、システム、及びコンピューター可読媒体
WO2023127081A1 (ja) * 2021-12-28 2023-07-06 株式会社日立ハイテク 画像検査装置、画像処理方法

Also Published As

Publication number Publication date
JP6893514B2 (ja) 2021-06-23
US20170194126A1 (en) 2017-07-06
EP3397952A1 (en) 2018-11-07
TW201734439A (zh) 2017-10-01
WO2017117573A1 (en) 2017-07-06
IL259706B (en) 2022-03-01
TWI710763B (zh) 2020-11-21
IL259706A (en) 2018-07-31
KR20180089911A (ko) 2018-08-09
CN108431587A (zh) 2018-08-21
US9916965B2 (en) 2018-03-13
CN115684202A (zh) 2023-02-03
KR102460050B1 (ko) 2022-10-27
EP3397952A4 (en) 2019-08-28

Similar Documents

Publication Publication Date Title
KR102460050B1 (ko) 하이브리드 검사기
TWI773888B (zh) 訓練用於低解析度影像中之缺陷偵測之神經網路
US10186026B2 (en) Single image detection
KR102468982B1 (ko) 주사 전자 현미경 계측을 사용한 결함 검출, 분류 및 프로세스 윈도우 제어
CN108463876B (zh) 为样品产生模拟输出
US10181185B2 (en) Image based specimen process control
JP6853273B2 (ja) ニューラルネットワークと順物理モデルを半導体用途に組み込んだシステムおよび方法
US10648924B2 (en) Generating high resolution images from low resolution images for semiconductor applications
IL262672A (en) Generate simulated images from input images for semiconductor applications
TW201903391A (zh) 基於輪廓之缺陷偵測
US20210343001A1 (en) Training a machine learning model to generate higher resolution images from inspection images

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20191203

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20200731

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20200908

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20201208

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20210511

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20210601

R150 Certificate of patent or registration of utility model

Ref document number: 6893514

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250