TWI773888B - 訓練用於低解析度影像中之缺陷偵測之神經網路 - Google Patents

訓練用於低解析度影像中之缺陷偵測之神經網路 Download PDF

Info

Publication number
TWI773888B
TWI773888B TW108111060A TW108111060A TWI773888B TW I773888 B TWI773888 B TW I773888B TW 108111060 A TW108111060 A TW 108111060A TW 108111060 A TW108111060 A TW 108111060A TW I773888 B TWI773888 B TW I773888B
Authority
TW
Taiwan
Prior art keywords
resolution
images
sample
low
defects
Prior art date
Application number
TW108111060A
Other languages
English (en)
Other versions
TW201945861A (zh
Inventor
克里斯 畢海斯卡
勞倫特 卡森堤
布雷德利 李斯
蕾娜 妮可雷德思
星威 楊
史蒂芬 希伯特
Original Assignee
美商克萊譚克公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商克萊譚克公司 filed Critical 美商克萊譚克公司
Publication of TW201945861A publication Critical patent/TW201945861A/zh
Application granted granted Critical
Publication of TWI773888B publication Critical patent/TWI773888B/zh

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T7/00Image analysis
    • G06T7/0002Inspection of images, e.g. flaw detection
    • G06T7/0004Industrial image inspection
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N3/00Computing arrangements based on biological models
    • G06N3/02Neural networks
    • G06N3/08Learning methods
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F18/00Pattern recognition
    • G06F18/20Analysing
    • G06F18/21Design or setup of recognition systems or techniques; Extraction of features in feature space; Blind source separation
    • G06F18/214Generating training patterns; Bootstrap methods, e.g. bagging or boosting
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F18/00Pattern recognition
    • G06F18/20Analysing
    • G06F18/21Design or setup of recognition systems or techniques; Extraction of features in feature space; Blind source separation
    • G06F18/217Validation; Performance evaluation; Active pattern learning techniques
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N3/00Computing arrangements based on biological models
    • G06N3/02Neural networks
    • G06N3/04Architecture, e.g. interconnection topology
    • G06N3/045Combinations of networks
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06VIMAGE OR VIDEO RECOGNITION OR UNDERSTANDING
    • G06V10/00Arrangements for image or video recognition or understanding
    • G06V10/70Arrangements for image or video recognition or understanding using pattern recognition or machine learning
    • G06V10/764Arrangements for image or video recognition or understanding using pattern recognition or machine learning using classification, e.g. of video objects
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06VIMAGE OR VIDEO RECOGNITION OR UNDERSTANDING
    • G06V10/00Arrangements for image or video recognition or understanding
    • G06V10/70Arrangements for image or video recognition or understanding using pattern recognition or machine learning
    • G06V10/77Processing image or video features in feature spaces; using data integration or data reduction, e.g. principal component analysis [PCA] or independent component analysis [ICA] or self-organising maps [SOM]; Blind source separation
    • G06V10/774Generating sets of training patterns; Bootstrap methods, e.g. bagging or boosting
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06VIMAGE OR VIDEO RECOGNITION OR UNDERSTANDING
    • G06V10/00Arrangements for image or video recognition or understanding
    • G06V10/70Arrangements for image or video recognition or understanding using pattern recognition or machine learning
    • G06V10/77Processing image or video features in feature spaces; using data integration or data reduction, e.g. principal component analysis [PCA] or independent component analysis [ICA] or self-organising maps [SOM]; Blind source separation
    • G06V10/776Validation; Performance evaluation
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06VIMAGE OR VIDEO RECOGNITION OR UNDERSTANDING
    • G06V10/00Arrangements for image or video recognition or understanding
    • G06V10/70Arrangements for image or video recognition or understanding using pattern recognition or machine learning
    • G06V10/77Processing image or video features in feature spaces; using data integration or data reduction, e.g. principal component analysis [PCA] or independent component analysis [ICA] or self-organising maps [SOM]; Blind source separation
    • G06V10/778Active pattern-learning, e.g. online learning of image or video features
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06VIMAGE OR VIDEO RECOGNITION OR UNDERSTANDING
    • G06V10/00Arrangements for image or video recognition or understanding
    • G06V10/70Arrangements for image or video recognition or understanding using pattern recognition or machine learning
    • G06V10/82Arrangements for image or video recognition or understanding using pattern recognition or machine learning using neural networks
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06VIMAGE OR VIDEO RECOGNITION OR UNDERSTANDING
    • G06V30/00Character recognition; Recognising digital ink; Document-oriented image-based pattern recognition
    • G06V30/10Character recognition
    • G06V30/19Recognition using electronic means
    • G06V30/191Design or setup of recognition systems or techniques; Extraction of features in feature space; Clustering techniques; Blind source separation
    • G06V30/1916Validation; Performance evaluation
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06VIMAGE OR VIDEO RECOGNITION OR UNDERSTANDING
    • G06V30/00Character recognition; Recognising digital ink; Document-oriented image-based pattern recognition
    • G06V30/10Character recognition
    • G06V30/19Recognition using electronic means
    • G06V30/191Design or setup of recognition systems or techniques; Extraction of features in feature space; Clustering techniques; Blind source separation
    • G06V30/19173Classification techniques
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06VIMAGE OR VIDEO RECOGNITION OR UNDERSTANDING
    • G06V30/00Character recognition; Recognising digital ink; Document-oriented image-based pattern recognition
    • G06V30/10Character recognition
    • G06V30/24Character recognition characterised by the processing or recognition method
    • G06V30/248Character recognition characterised by the processing or recognition method involving plural approaches, e.g. verification by template match; Resolving confusion among similar patterns, e.g. "O" versus "Q"
    • G06V30/2504Coarse or fine approaches, e.g. resolution of ambiguities or multiscale approaches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/20Special algorithmic details
    • G06T2207/20081Training; Learning
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/20Special algorithmic details
    • G06T2207/20084Artificial neural networks [ANN]
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/30Subject of image; Context of image processing
    • G06T2207/30108Industrial image inspection
    • G06T2207/30148Semiconductor; IC; Wafer
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06VIMAGE OR VIDEO RECOGNITION OR UNDERSTANDING
    • G06V2201/00Indexing scheme relating to image or video recognition or understanding
    • G06V2201/06Recognition of objects for industrial automation

Landscapes

  • Engineering & Computer Science (AREA)
  • Theoretical Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Vision & Pattern Recognition (AREA)
  • Evolutionary Computation (AREA)
  • Multimedia (AREA)
  • Artificial Intelligence (AREA)
  • Health & Medical Sciences (AREA)
  • Computing Systems (AREA)
  • General Health & Medical Sciences (AREA)
  • Software Systems (AREA)
  • Databases & Information Systems (AREA)
  • Data Mining & Analysis (AREA)
  • Medical Informatics (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • General Engineering & Computer Science (AREA)
  • Quality & Reliability (AREA)
  • Manufacturing & Machinery (AREA)
  • Molecular Biology (AREA)
  • Mathematical Physics (AREA)
  • Biomedical Technology (AREA)
  • Biophysics (AREA)
  • Computational Linguistics (AREA)
  • Bioinformatics & Cheminformatics (AREA)
  • Bioinformatics & Computational Biology (AREA)
  • Evolutionary Biology (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Investigating Materials By The Use Of Optical Means Adapted For Particular Applications (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Investigating Or Analyzing Materials By The Use Of Magnetic Means (AREA)
  • Image Analysis (AREA)

Abstract

本發明提供用於訓練用於低解析度影像中之缺陷偵測之一神經網路之方法及系統。一個系統包含:一檢測工具,其包含高解析度成像子系統及低解析度成像子系統;及一或多個組件,其等包含一高解析度神經網路及一低解析度神經網路。該系統之(若干)電腦子系統經組態用於產生缺陷影像之一訓練集。該等缺陷影像之至少一者由該高解析度神經網路使用由該高解析度成像子系統產生之一影像合成地產生。該(等)電腦子系統亦經組態用於使用缺陷影像之該訓練集作為輸入而訓練該低解析度神經網路。另外,該(等)電腦子系統經組態用於藉由將由該低解析度成像子系統針對另一樣品產生之該等影像輸入至該經訓練低解析度神經網路中而偵測該另一樣品上之缺陷。

Description

訓練用於低解析度影像中之缺陷偵測之神經網路
本發明大體上係關於用於訓練用於低解析度影像中之缺陷偵測之一神經網路之方法及系統。
以下描述及實例不因其等包含於此段落中而被承認係先前技術。
製造諸如邏輯及記憶體裝置之半導體裝置通常包含使用大量半導體製造程序處理諸如一半導體晶圓之一基板以形成半導體裝置之各種特徵及多個層級。例如,微影係涉及將一圖案自一倍縮光罩轉印至配置於一半導體晶圓上之一光阻劑之一半導體製造程序。半導體製造程序之額外實例包含(但不限於)化學機械拋光(CMP)、蝕刻、沈積及離子植入。多個半導體裝置可以一配置製造於一單一半導體晶圓上且接著被分成個別半導體裝置。
在一半導體製造程序期間之各個步驟使用檢測程序以偵測晶圓上之缺陷以驅動製造程序中之更高良率及因此更高利潤。檢測始終係製造半導體裝置之一重要部分。然而,隨著半導體裝置之尺寸減小,檢測對於可接受半導體裝置之成功製造變得更為重要,此係因為較小缺陷可引起裝置故障。
20多年來,檢測方法實際上未改變。檢測解決方案基本上具有以下特性:一實質上緩慢電子束類型系統,其容許一使用者識別且確認實體缺陷之問題;及單獨地,一實質上快速但粗糙光學檢測器,其覆蓋整個晶圓,但通常受限於一單一檢測層。此兩個系統通常分開。一些檢測系統在與一掃描低解析度感測器相同之系統上具有一高解析度相機,但其等實際上未經整合以彼此利用以提供地面實況資訊。
習知微影按比例縮放(在193 nm處)已減緩。另外,基於極紫外線(EUV)之按比例縮放雖然在進展,但亦進展緩慢。諸如無人駕駛汽車、感測器、深度學習(DL)訓練及推斷之較新應用已導致對運算架構之一新關注而非依賴於按比例縮放。作為一實例,針對高效能運算(HPC)及DL系統兩者,整體系統效能將獲益於記憶體及中央處理單元(CPU)邏輯之緊密接近性。因此,電腦架構師更關注於晶片間互連件、晶圓級整合等及重佈層(RDL)。此等層通常係重建晶粒,因此當前使用之對準及減法缺陷偵測方法將無法作為此等層之檢測方法。當前使用之分段技術亦變得困難,此係因為相較於所關注缺陷(DOI),擾亂點之量顯著高。
針對RDL層,用於抑制擾亂點之光學模擬選擇通常耗費2周,此係因為模式選擇係藉由使用一高解析度相機使用來自使用者之輸入手動地檢查所發生之情況而完成。一典型晶圓可僅含有表示DOI之10至20個事件,而擾亂點率可在100,00至百萬之範圍中。因此,用於選擇用於RDL層檢測之(若干)光學模式之當前方法耗費一過長時間。另外,尤其相較於擾亂點,可用於選擇且設定用於RDL層檢測之光學模式之DOI之稀缺性可進一步增加光學模式選擇所需之時間。此外,可用於光學模式選擇之DOI之有限數目可導致次優光學模式參數經選擇用於RDL層檢測,此可降低此檢測之效能能力。
因此,開發用於訓練用於低解析度影像中之缺陷偵測之一神經網路而無上文描述之一或多個缺點之系統及方法將係有利的。
各項實施例之以下描述絕不應理解為限制隨附發明申請專利範圍之標的。
一項實施例係關於一種經組態以訓練用於低解析度影像中之缺陷偵測之一神經網路之系統。該系統包含一檢測工具,該檢測工具包含一高解析度成像子系統及一低解析度成像子系統。該高解析度成像子系統及該低解析度成像子系統包含至少一能量源及一偵測器。該能量源經組態以產生經引導至一樣品之能量。該偵測器經組態以偵測來自該樣品之能量且回應於該經偵測能量而產生影像。
該系統亦包含經組態用於擷取由該高解析度成像子系統及該低解析度成像子系統產生之該樣品之影像之一或多個電腦子系統。另外,該系統包含由該一或多個電腦子系統執行之一或多個組件。該(等)組件包含一高解析度神經網路及一低解析度神經網路。
該一或多個電腦子系統經組態用於產生缺陷影像之一訓練集。該等缺陷影像之至少一者由該高解析度神經網路使用由該高解析度成像子系統產生之該等影像之至少一者合成地產生。該(等)電腦子系統進一步經組態用於使用缺陷影像之該訓練集作為輸入而訓練該低解析度神經網路。該(等)電腦子系統亦經組態用於藉由將由該低解析度成像子系統針對另一樣品產生之影像輸入至該經訓練低解析度神經網路中而偵測該另一樣品上之缺陷。可如本文中描述般進一步組態該系統。
另一實施例係關於一種用於訓練用於低解析度影像中之缺陷偵測之一神經網路之電腦實施方法。該方法包含使用一檢測工具之如上文描述般組態之高解析度成像子系統及低解析度成像子系統產生一樣品之影像。一或多個組件由一或多個電腦系統執行,且該一或多個組件包含一高解析度神經網路及一低解析度神經網路。該方法包含上文描述之產生、訓練及偵測步驟。該等產生、訓練及偵測步驟由該一或多個電腦系統執行。
可如本文中進一步描述般進一步執行上文描述之方法之各步驟。另外,上文描述之方法之實施例可包含本文中描述之(若干)任何其他方法之(若干)任何其他步驟。此外,上文描述之方法可由本文中描述之該等系統之任何者執行。
另一實施例係關於一種儲存程式指令之非暫時性電腦可讀媒體,該等程式指令可在一或多個電腦系統上執行以執行用於訓練用於低解析度影像中之缺陷偵測之一神經網路之一電腦實施方法。該電腦實施方法包含上文描述之方法之步驟。可如本文中描述般進一步組態該電腦可讀媒體。可如本文中進一步描述般執行該電腦實施方法之步驟。另外,可針對其執行該等程式指令之電腦實施方法可包含本文中描述之(若干)任何其他方法之(若干)任何其他步驟。
如本文中互換使用之術語「設計」、「設計資料」及「設計資訊」通常係指一IC或其他半導體裝置之實體設計(佈局)及透過複雜模擬或簡單幾何及布林運算自實體設計導出之資料。另外,藉由一倍縮光罩檢測系統擷取之一倍縮光罩之一影像及/或其導出物可用作用於設計之一「代理」或「若干代理」。此一倍縮光罩影像或其之一導出物可在使用一設計之本文中描述之任何實施例中充當對於設計佈局之一取代物。設計可包含2009年8月4日頒予Zafar等人之共同擁有之美國專利第7,570,796號及2010年3月9日頒予Kulkarni等人之共同擁有之美國專利第7,676,077號中描述之任何其他設計資料或設計資料代理,該兩個專利以宛如全文陳述引用之方式併入本文中。另外,設計資料可係標準單元庫資料、整合佈局資料、一或多個層之設計資料、設計資料之導出物及完全或部分晶片設計資料。
另外,本文中描述之「設計」、「設計資料」及「設計資訊」係指由半導體裝置設計者在一設計程序中產生且因此可在將設計印刷於任何實體樣品(諸如倍縮光罩及晶圓)上之前良好地用於本文中描述之實施例中之資訊及資料。
現參考圖式,應注意,圖未按比例繪製。特定言之,在很大程度上放大圖之一些元件之尺度以強調元件之特性。亦應注意,該等圖未按相同比例繪製。已使用相同元件符號指示可經類似組態之展示於一個以上圖中之元件。除非本文中另有說明,否則所描述且展示之任何元件可包含任何適合市售元件。
一項實施例係關於一種經組態以訓練用於低解析度影像中之缺陷偵測之一神經網路之系統。在圖1中展示此一系統之一項實施例。系統包含一或多個電腦子系統(例如,電腦子系統36及102)及由一或多個電腦子系統執行之一或多個組件100。一或多個組件包含高解析度神經網路104及低解析度神經網路106,其等如本文中進一步描述般組態。
系統包含檢測工具10,該檢測工具10包含一高解析度成像子系統及一低解析度成像子系統。在一些實施例中,檢測工具經組態為一光學檢測工具。然而,檢測工具可經組態為本文中進一步描述之另一類型之檢測工具。
如本文中使用,術語「低解析度」大體上被定義為無法以其解析樣品上之全部圖案化特徵之一解析度。例如,若樣品上之一些圖案化特徵之大小足夠大以使其等可解析,則其等可以一「低」解析度解析。然而,低解析度不使本文中描述之樣品上之全部圖案化特徵可解析。以此方式,如本文中使用之術語「低解析度」不可用於產生足以用於諸如缺陷檢視(其可包含缺陷分類及/或確認)及度量衡之應用之關於樣品上之圖案化特徵之資訊。另外,如本文中使用之術語「低解析度成像子系統」一般係指具有一相對低解析度(例如,低於缺陷檢視及/或度量衡系統)以便具有相對快速之處理能力之一成像子系統。以此方式,「低解析度影像」亦可通常稱為一高處理能力或HT影像。不同種類之成像子系統可針對一低解析度而組態。例如,為了以較高處理能力產生影像,可降低e/p及圖框之數目,藉此導致較低品質掃描電子顯微鏡(SEM)影像。
「低解析度」亦可係「低解析度」,此係因為其低於本文中描述之一「高解析度」。如本文中使用之術語「高解析度」一般可定義為可以相對高準確度解析樣品之全部圖案化特徵之一解析度。以此方式,樣品上之全部圖案化特徵可以高解析度解析而無關於其等大小。因而,如本文中使用之術語「高解析度」可用於產生足以用於諸如缺陷檢視(其可包含缺陷分類及/或確認)及度量衡之應用中之關於樣品之圖案化特徵之資訊。另外,如本文中使用之術語「高解析度」係指在例行操作期間檢測系統通常無法使用之一解析度,該等檢測系統經組態以犧牲解析能力而增大處理能力。一「高解析度影像」在此項技術中亦可稱為一「高靈敏度影像」(其係「高品質影像」之另一術語)。不同種類之成像子系統可針對一高解析度而組態。例如,為了產生高品質影像,可增加e/p、圖框等,此產生良好品質SEM影像但顯著降低處理能力。此等影像接著係「高靈敏度」影像,此係因為其等可用於高靈敏度缺陷偵測。
與影像及成像子系統相比,神經網路通常未經分類或被稱為具有任何特定「解析度」。代替性地,本文中使用術語高解析度神經網路及低解析度神經網路以識別兩個不同神經網路,一神經網路經訓練且用於高解析度影像且另一神經網路經訓練且用於低解析度影像。換言之,高解析度神經網路可經訓練且用於使用由一高解析度成像子系統產生之高解析度影像作為輸入來執行一或多個功能(例如,缺陷偵測),而低解析度神經網路可經訓練且用於使用由一低解析度成像子系統產生之低解析度影像作為輸入來執行一或多個功能(例如,缺陷偵測)。另外,高解析度神經網路及低解析度神經網路可經類似地或不同地組態,其中其等(若干)參數由本文中進一步描述之各種步驟判定及設定。
在一項實施例中,樣品係一晶圓。晶圓可包含此項技術中已知之任何晶圓。雖然一些實施例可在本文中尤其關於一晶圓描述,但顯而易見,本文中描述之實施例之任何者皆不限於晶圓。
高解析度成像子系統及低解析度成像子系統包含至少一能量源及一偵測器。能量源經組態以產生經引導至一樣品之能量。偵測器經組態以偵測來自樣品之能量且回應於經偵測能量而產生影像。本文中進一步描述高解析度成像子系統及低解析度成像子系統之各種組態。
一般言之,高解析度成像子系統及低解析度成像子系統可共用檢測工具之一些影像形成元件或不共用檢測工具之影像形成元件。例如,高解析度成像子系統及低解析度成像子系統可共用相同能量源及偵測器,且可取決於高解析度成像子系統或低解析度成像子系統是否產生樣品之影像而更改檢測工具之能量源、偵測器及/或其他影像形成元件之一或多個參數。在另一實例中,高解析度成像子系統及低解析度成像子系統可共用檢測工具之一些影像形成元件(諸如能量源)且可具有其他非共用影像形成元件(諸如單獨偵測器)。在一進一步實例中,高解析度成像子系統及低解析度成像子系統可不共用共同影像形成元件。在一個此實例中,高解析度成像子系統及低解析度成像子系統可各具有其等自身之能量源、(若干)偵測器及未由其他成像子系統使用或共用之任何其他影像形成元件。
在圖1中展示之系統之實施例中,高解析度成像子系統包含經組態以將光引導至樣品12之一照明子系統。照明子系統包含至少一個光源。例如,如圖1中展示,照明子系統包含光源14。照明子系統經組態以按可包含一或多個傾斜角及/或一或多個法向角之一或多個入射角將光引導至樣品。例如,如圖1中展示,引導來自光源14之光穿過光學元件16而至光束分離器18。光束分離器18將來自光學元件16之光引導至透鏡20,該透鏡20按一法向入射角將光聚焦至樣品12。入射角可包含可取決於(例如)樣品之特性而變化之任何適合入射角。
照明子系統可經組態以在不同時間按不同入射角將光引導至樣品。例如,檢測工具可經組態以更改照明子系統之一或多個元件之一或多個特性使得可按不同於圖1中展示之一入射角將光引導至樣品。在一個此實例中,檢測工具可經組態以使用一或多個孔徑(未展示)以控制按其將光自透鏡20引導至樣品之(若干)角度。
在一項實施例中,光源14可包含一寬頻光源。以此方式,由光源產生且引導至樣品之光可包含寬頻光。然而,光源可包含任何其他適合光源(諸如雷射,其可包含此項技術中已知之任何適合雷射),且可經組態以產生此項技術中已知之(若干)任何適合波長之光。另外,雷射可經組態以產生單色或近單色光。以此方式,雷射可係一窄頻雷射。光源亦可包含產生多個離散波長或波帶之光之一多色光源。
來自光束分離器18之光可藉由透鏡20聚焦至樣品12上。雖然透鏡20在圖1中展示為一單折射光學元件,但應理解,實務上,透鏡20可包含組合地聚焦光至樣品之數個折射及/或反射光學元件。高解析度成像子系統之照明子系統可包含任何其他適合光學元件(未展示)。此等光學元件之實例包含(但不限於)(若干)偏光組件、(若干)光譜濾波器、(若干)空間濾波器、(若干)反射光學元件、(若干)變跡器、(若干)光束分離器、(若干)孔徑及類似者,其可包含此項技術中已知之任何此等適合光學元件。另外,檢測工具可經組態以基於用於成像之照明之類型更改照明子系統之一或多個元件。
雖然上文將高解析度成像子系統描述為在其照明子系統中包含一個光源及照明通道,但照明子系統可包含一個以上照明通道,照明通道之一者可包含如圖1中展示之光源14、光學元件16及透鏡20,且照明通道之另一者(未展示)可包含可不同或相同組態之類似元件或可包含至少一光源及可能一或多個其他組件(諸如本文中進一步描述之組件)。若在相同時間將來自不同照明通道之光引導至樣品,則藉由不同照明通道引導至樣品之光之一或多個特性(例如,波長、偏光等)可不同,使得可在(若干)偵測器處將源自藉由不同照明通道照明樣品之光彼此區分。
在另一例項中,照明子系統可僅包含一個光源(例如,圖1中展示之源14)且可由照明子系統之一或多個光學元件(未展示)將來自該光源之光分成不同路徑(例如,基於波長、偏光等)。接著,可將不同路徑之各者中之光引導至樣品。多個照明通道可經組態以在相同時間或不同時間(例如,當使用不同照明通道以依序照明樣品時)將光引導至樣品。在另一例項中,相同照明通道可經組態以在不同時間將具有不同特性之光引導至樣品。例如,在一些例項中,光學元件16可經組態為一光譜濾波器且可以各種不同方式(例如,藉由調換出光譜濾波器)改變光譜濾波器之性質使得可在不同時間將不同波長之光引導至樣品。照明子系統可具有此項技術中已知之用於依序或同時按不同或相同入射角將具有不同或相同特性之光引導至樣品之任何其他適合組態。
檢測工具亦可包含經組態以引起光掃描遍及樣品之一掃描子系統。例如,檢測工具可包含在成像期間在其上安置樣品12之載物台22。掃描子系統可包含可經組態以移動樣品使得光可掃描遍及樣品之任何適合機械及/或機器人總成(包含載物台22)。另外或替代地,檢測工具可經組態使得高解析度成像子系統之一或多個光學元件執行光遍及樣品之某一掃描。可以任何適合方式(諸如以一蛇形路徑或以一螺旋路徑)使光掃描遍及樣品。
高解析度成像子系統進一步包含一或多個偵測通道。一或多個偵測通道之至少一者包含一偵測器,該偵測器經組態以歸因於藉由照明子系統照明樣品而偵測來自樣品之光且回應於經偵測光產生輸出。例如,圖1中展示之高解析度成像子系統包含由透鏡20、元件26及偵測器28形成之一偵測通道。雖然本文中將高解析度成像子系統描述為包含用於照明及收集/偵測兩者之一共同透鏡,但照明子系統及偵測通道可包含在照明之情況中用於聚焦且在偵測之情況中用於收集之單獨透鏡(未展示)。偵測通道可經組態以按不同收集角收集且偵測光。例如,由偵測通道收集且偵測之光之角度可使用定位於來自樣品之光之一路徑中之一或多個孔徑(未展示)選擇及/或更改。由高解析度成像子系統之偵測通道偵測之來自樣品之光可包含鏡面反射光及/或散射光。以此方式,圖1中展示之高解析度成像子系統可經組態用於暗場(DF)及/或明場(BF)成像。
元件26可係一光譜濾波器、一孔徑或可用於控制由偵測器28偵測之光之任何其他適合元件或元件之組合。偵測器28可包含此項技術中已知之任何適合偵測器,諸如一光電倍增管(PMT)、電荷耦合裝置(CCD)及延時積分(TDI)相機。偵測器亦可包含一非成像偵測器或成像偵測器。若偵測器係一非成像偵測器,則偵測器可經組態以偵測散射光之某些特性(諸如強度)但不可經組態以偵測依據成像平面內之位置而變化之此等特性。因而,由偵測器產生之輸出可係信號或資料,而非影像信號或影像資料。一電腦子系統(諸如電腦子系統36)可經組態以自偵測器之非成像輸出產生樣品之影像。然而,偵測器可經組態為經組態以產生成像信號或影像資料之一成像偵測器。因此,高解析度成像子系統可經組態以依數個方式產生本文中描述之影像。
高解析度成像子系統亦可包含另一偵測通道。例如,可引導由透鏡20收集之來自樣品之光穿過光束分離器18而至光束分離器24,光束分離器24可將光之一部分透射至光學元件26且將光之另一部分反射至光學元件30。光學元件30可係一光譜濾波器、一孔徑或可用於控制由偵測器32偵測之光之任何其他適合元件或元件之組合。偵測器32可包含上文描述之任何偵測器。高解析度成像子系統之不同偵測通道可經組態以產生樣品之不同影像(例如,使用具有不同特性(諸如偏光、波長等或其等之某一組合)之光產生之樣品之影像)。
在一不同實施例中,由透鏡20、光學元件30及偵測器32形成之偵測通道可係檢測工具之低解析度成像子系統之部分。在此情況中,低解析度成像子系統可包含與上文詳細描述之高解析度成像子系統相同之照明子系統(例如,包含光源14、光學元件16及透鏡20之照明子系統)。因此,高解析度成像子系統及低解析度成像子系統可共用一共同照明子系統。然而,高解析度成像子系統及低解析度成像子系統可包含不同偵測通道,該等偵測通道之各者經組態以歸因於共用照明子系統之照明而偵測來自樣品之光。以此方式,高解析度偵測通道可包含透鏡20、光學元件26及偵測器28,且低解析度偵測通道可包含透鏡20、光學元件30及偵測器32。以此方式,高解析度偵測通道及低解析度偵測通道可共用一共同光學元件(透鏡20)但亦具有非共用光學元件。
高解析度成像子系統及低解析度成像子系統之偵測通道可經組態以分別產生高解析度樣品影像及低解析度樣品影像,即使其等共用一照明子系統。例如,光學元件26及30可係經不同組態之孔徑及/或光譜濾波器,其等分別控制由偵測器28及32偵測之光之部分以藉此分別控制由偵測器28及32產生之影像之解析度。在一不同實例中,高解析度成像子系統之偵測器28可經選擇以具有高於偵測器32之一解析度。偵測通道可以任何其他適合方式經組態以具有不同解析度能力。
在另一實施例中,高解析度成像子系統及低解析度成像子系統可共用全部相同影像形成元件。例如,高解析度成像子系統及低解析度成像子系統兩者可共用由光源14、光學元件16及透鏡20形成之照明子系統。高解析度成像子系統及低解析度成像子系統亦可共用(若干)相同偵測通道(例如,一個偵測通道由透鏡20、光學元件26及偵測器28形成及/或另一偵測通道由透鏡20、光學元件30及偵測器32形成)。在此一實施例中,可取決於是否針對樣品產生高解析度影像或低解析度影像而更改此等影像形成元件之任何者之一或多個參數或特性。例如,可取決於是否由樣品形成高解析度影像或低解析度影像而更改透鏡20之一數值孔徑(NA)。
在一進一步實施例中,高解析度成像子系統及低解析度成像子系統可不共用任何影像形成元件。例如,高解析度成像子系統可包含上文描述之影像形成元件,該等影像形成元件可不由低解析度成像子系統共用。代替性地,低解析度成像子系統可包含其自身之照明及偵測子系統。在一個此實例中,如圖1中展示,低解析度成像子系統可包含包含光源38、光學元件40及透鏡44之一照明子系統。來自光源38之光穿過光學元件40且由光束分離器42反射至透鏡44,透鏡44將光引導至樣品12。可如上文描述般組態此等影像形成元件之各者。可如本文中描述般進一步組態低解析度成像子系統之照明子系統。樣品12可安置於載物台22上,載物台22可如上文描述般經組態以引起成像期間光遍及樣品之掃描。以此方式,即使高解析度成像子系統及低解析度成像子系統不共用任何影像形成元件,其等仍可共用檢測工具之其他元件,諸如載物台、掃描子系統、電源(未展示)、外殼(未展示)等。
低解析度成像子系統亦可包含由透鏡44、光學元件46及偵測器48形成之一偵測通道。歸因於照明子系統之照明而來自樣品之光可由透鏡44收集且經引導穿過光束分離器42,光束分離器42將光透射至光學元件46。接著,穿過光學元件46之光由偵測器48偵測。可如上文描述般進一步組態此等影像形成元件之各者。可如本文中描述般進一步組態低解析度成像子系統之偵測通道及/或偵測子系統。
應注意,本文中提供圖1以大體上繪示可包含於檢測工具中或可產生由本文中描述之系統或方法使用之影像之高解析度成像子系統及低解析度成像子系統之組態。可更改本文中描述之高解析度成像子系統及低解析度成像子系統之組態以如在設計一商業檢測工具時通常執行般最佳化高解析度成像子系統及低解析度成像子系統之效能。另外,可使用諸如商業上可購自加利福尼亞州,米爾皮塔斯市,KLA之Altair系列之工具之一現有系統(例如,藉由將本文中描述之功能性添加至一現有系統)實施本文中描述之系統。對於一些此等系統,本文中描述之實施例可提供為系統之選用功能性(例如,除了系統之其他功能性之外)。替代地,可「從頭開始」設計本文中描述之檢測工具以提供一全新檢測工具。可如2010年8月24日頒予Mehanian等人之美國專利第7,782,452號中描述般進一步組態高解析度成像子系統及低解析度成像子系統,該專利以宛如全文陳述引用之方式併入本文中。
系統亦包含經組態用於擷取由高解析度成像子系統及低解析度成像子系統產生之樣品之影像之一或多個電腦子系統。例如,耦合至檢測工具(或包含於檢測工具中)之電腦子系統36可以任何適合方式(例如,經由一或多個傳輸媒體,該一或多個傳輸媒體可包含「有線」及/或「無線」傳輸媒體)耦合至檢測工具之偵測器使得電腦子系統可接收由偵測器針對樣品產生之輸出或影像。電腦子系統36可經組態以使用由偵測器產生之輸出或影像執行本文中進一步描述之數個功能。
圖1中展示之電腦子系統(以及本文中描述之其他電腦子系統)在本文中亦可稱為(若干)電腦系統。本文中描述之(若干)電腦子系統或(若干)系統之各者可採取各種形式,包含一個人電腦系統、影像電腦、主機電腦系統、工作站、網路設備、網際網路設備或其他裝置。一般言之,術語「電腦系統」可經廣泛定義以涵蓋具有執行來自一記憶體媒體之指令之一或多個處理器之任何裝置。(若干)電腦子系統或系統亦可包含此項技術中已知之任何適合處理器(諸如一平行處理器)。另外,該(等)電腦子系統或系統可包含具有高速處理及軟體之一電腦平台(作為一獨立工具或一網路工具)。
若系統包含一個以上電腦子系統,則不同電腦子系統可彼此耦合,使得可在電腦子系統之間發送影像、資料、資訊、指令等。例如,電腦子系統36可藉由可包含此項技術中已知之任何適合有線及/或無線傳輸媒體之任何適合傳輸媒體耦合至(若干)電腦子系統102 (如由圖1中之虛線展示)。兩個或兩個以上此等電腦子系統亦可藉由一共用電腦可讀儲存媒體(未展示)而有效地耦合。
雖然上文將高解析度成像子系統及低解析度成像子系統描述為係光學或基於光之成像子系統,但高解析度成像子系統及低解析度成像子系統可亦或替代地包含經組態以產生樣品之電子束影像之(若干)電子束成像子系統。在一個此實施例中,(若干)電子束成像子系統可經組態以將電子引導至樣品或將電子掃描遍及樣品且偵測來自樣品之電子。在圖1a中展示之一項此實施例中,電子束成像子系統包含耦合至電腦子系統124之電子柱122。
亦如圖1a中展示,電子柱包含經組態以產生由一或多個元件130聚焦至樣品128之電子之電子束源126。電子束源可包含(例如)一陰極源或射極尖端,且一或多個元件130可包含(例如)一槍透鏡、一陽極、一限束孔徑、一閘閥、一束電流選擇孔徑、一物鏡及一掃描子系統,其等全部可包含此項技術中已知之任何此等適合元件。
自樣品返回之電子(例如,二次電子)可由一或多個元件132聚焦至偵測器134。一或多個元件132可包含(例如)一掃描子系統,該掃描子系統可係包含於(若干)元件130中之相同掃描子系統。
電子柱可包含此項技術中已知之任何其他適合元件。另外,可如2014年4月4日頒予Jiang等人之美國專利第8,664,594號、2014年4月8日頒予Kojima等人之美國專利第8,692,204號、2014年4月15日頒予Gubbens等人之美國專利第8,698,093號及2014年5月6日頒予MacDonald等人之美國專利第8,716,662號中所描述般進一步組態電子柱,該等專利以宛如全文陳述引用之方式併入本文中。
雖然在圖1a中將電子柱展示為經組態使得電子按一傾斜入射角引導至樣品且按另一傾斜角自樣品返回,但應理解,電子束可按任何適合角度引導至樣品且自樣品偵測。另外,電子束成像子系統可經組態以使用多個模式來產生樣品之影像(例如,具有不同照明角、收集角等),如本文中進一步描述。電子束成像子系統之多個模式在任何影像產生參數方面可係不同的。圖1a中展示之電子柱亦可經組態以依此項技術中已知之任何適合方式(例如,藉由改變包含於電子柱中之一或多個元件之一或多個參數或特性,使得可針對樣品產生高解析度影像或低解析度影像)用作高解析度成像子系統及低解析度成像子系統。
電腦子系統124可耦合至偵測器134,如上文描述。偵測器可偵測自樣品之表面返回之電子,藉此形成樣品之電子束影像。該等電子束影像可包含任何適合電子束影像。電腦子系統124可經組態以使用由偵測器134產生之輸出針對樣品執行本文中進一步描述之一或多個功能。可如本文中描述般進一步組態包含圖1a中展示之電子束成像子系統之一系統。
應注意,在本文中提供圖1a以大體上繪示可包含於本文中描述之實施例中之一電子束成像子系統之一組態。如同上文描述之光學成像子系統,可更改本文中描述之電子束成像子系統組態以如在設計一商業成像子系統時通常執行般最佳化成像子系統之效能。另外,可使用諸如商業上可購自KLA之工具之一現有系統(例如,藉由將本文中描述之功能性添加至一現有系統)實施本文中描述之系統。對於一些此等系統,可將本文中描述之實施例提供為系統之選用功能性(例如,除了系統之其他功能性之外)。替代地,可「從頭開始」設計本文中描述之系統以提供一全新系統。
雖然上文將成像子系統描述為一基於光或基於電子束之成像子系統,但成像子系統可係一基於離子束之成像子系統。可如圖1a中展示般組態此一成像子系統,惟可使用此項技術中已知之任何適合離子束源替換電子束源除外。另外,成像子系統可係任何其他適合基於離子束之成像子系統,諸如包含於市售聚焦離子束(FIB)系統、氦離子顯微鏡(HIM)系統及二次離子質譜儀(SIMS)系統中之基於離子束之成像子系統。
雖然上文將檢測工具描述為包含基於光學、電子束或帶電粒子束之高解析度成像子系統及低解析度成像子系統,但高解析度成像子系統及低解析度成像子系統不需要使用相同類型之能量。例如,高解析度成像子系統可係一電子束類型之成像子系統,而低解析度成像子系統可係一光學類型之成像子系統。可以此項技術中已知之任何適合方式將使用不同類型之能量之照明子系統組合成一單一檢測工具。
如上文提及,成像子系統可經組態用於將能量(例如,光、電子)引導至樣品之一實體版本及/或將能量掃描遍及樣品之一實體版本,藉此針對樣品之實體版本產生實際影像。以此方式,成像子系統可經組態為「實際」成像系統而非「虛擬」系統。例如,圖1中展示之一儲存媒體(未展示)及(若干)電腦子系統102可經組態為一「虛擬」系統。在共同讓與之以下專利中描述經組態為「虛擬」檢測系統之系統及方法:在2012年2月28日頒予Bhaskar等人之美國專利第8,126,255號及2015年12月29日頒予Duffy等人之美國專利第9,222,895號,該兩個專利以宛如全文陳述引用之方式併入本文中。可如此等專利中描述般進一步組態本文中描述之實施例。
如上文進一步提及,成像子系統可經組態以使用多個模式產生樣品之影像。一般言之,一「模式」可由用於產生一樣品之影像之一成像子系統之參數之值或用於產生樣品之影像之輸出定義。因此,不同模式可在成像子系統之至少一個成像參數之值方面不同。例如,在一光學成像子系統中,不同模式可使用(若干)不同波長之光進行照明。模式可在照明波長方面不同,如本文中針對不同模式進一步描述(例如,藉由使用不同光源、不同光譜濾波器等)。高解析度成像子系統及低解析度成像子系統兩者可能夠使用不同模式產生樣品之輸出或影像。
高解析度神經網路及低解析度神經網路可具有本文中進一步描述之各種不同組態。高解析度神經網路及低解析度神經網路可經組態為深度學習(DL)系統之一網路。高解析度神經網路可使用由高解析度成像子系統針對一樣品產生之高解析度影像執行樣品之一或多個功能。低解析度神經網路可使用由低解析度成像子系統針對一樣品產生之低解析度影像執行樣品之一或多個功能。
如本文中進一步描述,高解析度神經網路可用於產生用於訓練低解析度神經網路之缺陷影像,該低解析度神經網路接著用於使用一樣品之低解析度影像對樣品進行缺陷偵測。以此方式,本文中描述之實施例可經組態為使用DL系統之一網路之一般化基於圖塊之混合檢測器。例如,本文中描述之實施例可係一種混合檢測器,其使用組合光學及可能SEM及設計圖塊之DL系統之一網路識別且分類半導體製造程序中之設計及程序系統缺陷。術語「系統缺陷」在此項技術中大體上定義為由對樣品執行之一程序與形成於樣品上之一設計之間之一互動引起之缺陷。因此,「系統」缺陷可形成於跨一樣品之多個重複位置處。
高解析度神經網路及低解析度神經網路之各者可係一深度神經網路,其具有根據已經饋送以訓練其之資料模型化世界之一組權重。神經網路可大體上被定義為基於神經單元之一相對大集合之一計算方法,其鬆散地模型化一生物腦使用藉由軸突連接之生物神經元之相對大叢集解決問題之方式。各神經單元與許多其他神經單元連接,且鏈結可強制執行或抑制其對經連接神經單元之激發狀態之效應。此等系統係自我學習且經訓練而非明確程式化且在解決方案或特徵偵測難以按一傳統電腦程式表達之領域中具有優勢。
神經網路通常由多個層構成,且信號路徑從前部橫越至後部。多個層執行數個演算法或變換。一般言之,層之數目不顯著且係使用情況相依。為了實際目的,層之一適合範圍係自2個層至數十個層。當代神經網路項目通常使用數千至數百萬神經單元及數百萬連接工作。神經網路之目標係以與人腦相同之方式解決問題,儘管若干神經網路遠遠更加抽象。神經網路可具有此項技術中已知之任何適合架構及/或組態。在一些實施例中,神經網路可經組態為一深度廻旋神經網路(DCNN),如在Krizhevsky等人之「ImageNet Classification with Deep Convolutional Neural Networks」,NIPS,2012年,第9頁中描述,該案以宛如全文陳述引用之方式併入本文中。可如此參考案中描述般進一步組態本文中描述之實施例。
本文中描述之神經網路屬於通常稱為機器學習之一種類別之運算。機器學習可大體上被定義為對電腦提供在未經明確程式化之情況下學習之能力之一種類型之人工智慧(AI)。機器學習致力於開發可教示自身在曝露至新資料時生長及改變之電腦程式。換言之,機器學習可被定義為「賦予電腦在未經明確程式化之情況下學習之能力」之電腦科學之子域。機器學習探索可自資料學習且對資料作出預測之演算法之研究及構造-此等演算法藉由透過自樣本輸入建立一模型來作出資料驅動預測或決策而克服以下嚴格靜態程式指令。
可如在Sugiyama、Morgan Kaufmann之「Introduction to Statistical Machine Learning」,2016年,第534頁;Jebara之「Discriminative, Generative, and Imitative Learning」,MIT Thesis,2002年,第212頁;及Hand等人之「Principles of Data Mining (Adaptive Computation and Machine Learning)」,MIT Press,2001年,第578頁中描述般進一步組態本文中描述之神經網路,該等專利以宛如全文陳述引用之方式併入本文中。可如此等參考案中描述般進一步組態本文中描述之實施例。
本文中描述之神經網路可亦或替代地屬於通常稱為DL之一種類別之運算。一般言之,「DL」(亦稱為深度結構化學習、階層式學習或深度機器學習)係基於嘗試模型化資料中之高階抽象之一組演算法之機器學習之一分支。在一簡單情況中,可存在兩組神經元:接收一輸入信號之神經元及發送一輸出信號之神經元。當輸入層接收一輸入時,其將輸入之一經修改版本傳遞至下一層。在一基於DL之模型中,輸入與輸出之間存在許多層(且該等層並非由神經元製成但此可有助於將該等層視為由神經元製成),從而容許演算法使用由多個線性及非線性變換構成之多個處理層。
DL係基於資料之學習表示之機器學習方法之一更廣泛族之部分。一觀察(例如,一影像)可以許多方式(諸如每個像素之強度值之一向量)或以一更抽象方式(如一組邊緣、特定形狀之區域等)呈現。一些表示優於其他表示之處在於,簡化學習任務(例如,面部辨識或面部表情辨識)。DL之一個承諾係使用有效演算法來取代手工製作特徵用於無監督或半監督式特徵學習及階層式特徵提取。
在此領域中之研究嘗試製成更佳之表示且產生模型以自大規模未標記資料學習此等表示。一些表示受神經科學中之進展啟發且鬆散地基於一神經系統中之資訊處理及通信型樣之解譯,諸如嘗試定義各種刺激與腦中之相關聯神經元回應之間的一關係之神經編碼。
在一項實施例中,高解析度神經網路經組態為一半監督式DL架構。在另一實施例中,低解析度神經網路經組態為一半監督式DL架構。例如,可在本文中描述之DL網路中使用網路之一半監督式狀態。此一DL架構可經組態用於使用監督式標記資訊及無監督式結構資訊兩者以聯合地決定通道選擇之一二階程序。例如,標記資訊可用於特徵提取中且未標記資訊可經整合以正規化監督式訓練。以此方式,可在訓練程序期間使用監督式及無監督式資訊兩者以降低模型變異數。一生成模型(諸如一受限玻爾茲曼機器(RBM))可用於提取代表性特徵且降低資料維數,此可大大地降低經標記資訊之稀缺性之影響。僅利用無監督式資訊之一初始通道選擇程序可移除具有極少結構資訊之不相關通道且降低資料維數。基於來自初始通道選擇之結果,可使用一精細通道選擇程序來處置具有雜訊的通道問題。因此,此一DL架構可尤其可用於處置具有非常多雜訊之資訊,本文中進一步描述之一些樣品之情況可係如此。可如Jia等人之「A Novel Semi-supervised Deep Learning Framework for Affective State Recognition on EEG Signals」,BIBE ’14 Proceedings of the 2014 IEEE International Conference on Bioinformatics and Bioengineering,第30-37頁,2014年11月10日至12日,IEEE電腦學會,華盛頓特區中描述般進一步組態DL架構,該案以宛如全文陳述引用的方式併入本文中。可如此參考案中描述般進一步組態本文中描述之實施例。
本文中描述之實施例可基本上劃分且克服雜訊或擾亂點抑制對所關注缺陷(DOI)偵測問題。例如,本文中描述之(若干)電腦子系統可執行其中首先執行訓練用於擾亂點抑制接著進行DOI偵測之一種反覆訓練。如本文中使用之術語「擾亂點」(其有時可與「擾亂點缺陷」互換地使用)大體上被定義為一使用者不關心之缺陷及/或在一樣品上偵測但實際上並非樣品上之實際缺陷之事件。並非實際缺陷之擾亂點可歸因於樣品上之非缺陷雜訊源(例如,樣品上之金屬線中之晶粒、來自樣品上之底層或材料之信號、線邊緣粗糙度(LER)、圖案化特徵之相對小臨界尺寸(CD)變動、厚度變動等)及/或歸因於檢測子系統自身或用於檢測之其組態中之邊緣性而被偵測為事件。
如本文中使用之術語「DOI」可被定義為在一樣品上偵測且實際上係樣品上之實際缺陷之缺陷。因此,DOI為一使用者所關注,此係因為使用者通常關心在經檢測之樣品上之實際缺陷之數量及類型。在一些背景內容中,術語「DOI」用於指代樣品上之全部實際缺陷之一子集,其僅包含一使用者關心之實際缺陷。例如,在任何給定晶圓上可存在多個類型之DOI,且一使用者對其等之一或多者可比對一或多個其他類型更關注。然而,在本文中描述之實施例之背景內容中,術語「DOI」用於指代一晶圓上之任何及全部真實缺陷。
因此,一般言之,檢測之目標並非偵測樣品上之擾亂點。儘管作出大量努力來避免擾亂點之此偵測,然完全消除此偵測實際上係不可能的。因此,重要的是識別哪些經偵測事件係擾亂點且哪些者係DOI,使得可單獨使用不同類型之缺陷之資訊,例如,DOI之資訊可用於對在樣品上執行之一或多個製造程序進行診斷及/或作出改變,而擾亂點之資訊可被忽略、消除或用於診斷樣品上之雜訊及/或檢測程序或工具中之邊緣性。
若吾人致力於最小化雜訊,則基於模式(即,影像擷取)或演算法(即,影像處理)來處理擾亂點抑制問題遠更容易。大量雜訊存在於本文中描述之樣品之低解析度影像中。例如,增益實質上易於在低NA影像中產生雜訊而其趨於在高解析度成像(當然,相較於低解析度影像,其經受一遠更低處理能力)中洗除。特定言之,如本文中使用之術語「晶粒」係指一金屬(諸如鋁或銅)之結晶結構中之位錯。因此,當晶粒存在於經成像之一金屬中而非「看見」一平滑表面時,存在在一相對低NA下趨於突出之許多不連續性。相比之下,在一相對高NA下,不連續性趨於被洗除(例如,降低)。
在本文中進一步描述之各種訓練步驟中,可將影像(取決於經訓練之神經網路,高解析度或低解析度)以及其他資訊輸入至經訓練之神經網路。例如,其他資訊可包含用於樣品之設計之資訊(例如,設計資料自身或與設計相關之某一其他資料)及程序資訊,其可包含用於在藉由檢測工具成像之前對樣品執行之任何程序之任何資訊。出於若干原因,使用此額外資訊進行訓練可係有利的。例如,設計資訊可用於減少先前層缺陷(即,使用者對於當前層檢測之目的不感興趣之缺陷)。在一些此等例項中,可經由「由先驗知識定義之規則」或「基於由設計提供之分段資訊學習」將規則鍵入神經網路中。(如本文中使用之術語「分段」資訊被大體上定義為用於(例如)藉由將樣品之影像分成不同片段(其等之檢測係基於片段之設計或其他資訊來判定)而不同地檢測樣品上之不同區域之資訊)。另外,在半導體裝置製造程序之後端中之重佈(RDL)層(相較於前端)稍微簡單,例如,其等通常包含3或4個層,且因此亦可添加「程序」知識作為用於識別潛在模式之此等層之一輸入以及用於程序引發之缺陷之種類之輸入兩者。因此,相較於幾何設計,此資訊將與程序知識之性質相關。程序資訊可作為標記或規則或甚至與DL網路合併之文字輸入。
(若干)電腦子系統可經組態用於訓練高解析度神經網路。訓練高解析度神經網路可以一監督式、半監督式或無監督式方式執行。例如,在一監督式訓練方法中,可使用指示(若干)影像中之雜訊或具有雜訊之區域及(若干)影像中之安靜(不具有雜訊)區域之標記註解樣品之一或多個影像。標記可以任何適合方式(例如,藉由一使用者,使用一地面實況方法,或使用已知能夠以相對高準確度分離高解析度影像中之缺陷與雜訊之一缺陷偵測方法或演算法)指派至(若干)影像。可將(若干)影像及其等標記輸入至高解析度神經網路以用於訓練,其中高解析度神經網路之一或多個參數經更改直至高解析度神經網路之輸出匹配訓練輸入。
在一無監督式訓練方法中,可將未標記影像輸入至高解析度神經網路以用於訓練且高解析度神經網路可使用影像以識別影像中之雜訊。例如,歸因於輸入至高解析度神經網路之影像之高解析度,高解析度影像可充當適用於識別樣品上之擾亂點區域及樣品上之非擾亂點區域之一種地面實況資訊及/或藉由執行分離擾亂點與缺陷之一缺陷偵測及/或分類方法,可識別樣品上之擾亂點及非擾亂點區域。接著,訓練可包含更改高解析度神經網路之一或多個參數,如上文描述。
在一項實施例中,由高解析度成像子系統產生之用於訓練高解析度神經網路之影像包含由高解析度成像子系統之一個以上模式產生之樣品之影像。在本文中描述之此及其他步驟中產生、擷取及使用影像之模式之數目可取決於檢測工具之各種可能組態設定及/或自一時間及/或儲存空間考量簡單實用之事項而變化。
在一些實施例中,檢測工具經組態用於掃描樣品上之掃描帶同時偵測來自樣品之能量,且一或多個電腦子系統經組態用於擷取且儲存由高解析度成像子系統產生之影像之掃描帶之至少三者使得掃描帶之該至少三者可用於產生缺陷影像之訓練集。檢測工具可如本文中進一步描述般掃描樣品上之掃描帶且藉由掃描一掃描帶而產生之輸出或影像可稱為輸出或影像之一掃描帶。本文中描述之實施例能夠在高解析度模式及低解析度模式兩者中針對多個(例如,30個)模式儲存一整列資料(即,涵蓋樣品上之一整列中之整個晶粒之影像或資料之掃描帶)。若不存在充足儲存器來儲存掃描帶中之全部高解析度圖塊,則可儲存三個掃描帶(例如,頂部、中心及底部)。高解析度影像可針對至少三個整個掃描帶而掃描且同時儲存於虛擬檢測器之巨觀檢測器版本上,此係因為此一系統亦可儲存本文中進一步描述之低解析度影像。
可將來自多個模式之影像輸入至高解析度神經網路以用於訓練,如本文中進一步描述。可單獨或組合地使用來自不同模式之影像以訓練高解析度神經網路。例如,由不同模式產生之影像可用作訓練步驟中之多個通道輸入。來自不同模式之影像可組合地使用以識別影像中及/或樣品上之擾亂點對非擾亂點。接著,可更改(若干)高解析度神經網路參數以抑制由高解析度神經網路偵測影像中之此等擾亂點。在另一實例中,在不同模式之一或多者中產生之影像可用於訓練高解析度神經網路(此可如本文中描述般執行),且接著可使用不同模式之另一者或其他者產生之影像重新訓練高解析度神經網路。以此方式,可使用自一或多個模式至另一或多個模式之一種轉移學習訓練高解析度神經網路。
一或多個電腦子系統經組態用於產生缺陷影像之一訓練集。缺陷影像之至少一者由高解析度神經網路使用由高解析度成像子系統產生之影像之至少一者合成地產生。可如本文中描述般執行產生訓練集。包含於訓練集中之缺陷影像可包含本文中進一步描述之各種類型之缺陷影像。可如本文中進一步描述般合成地產生至少一個缺陷影像。
一或多個電腦子系統經組態用於使用缺陷影像之訓練集作為輸入而訓練低解析度神經網路。以此方式,(若干)電腦子系統可經組態用於執行藉由訓練高解析度神經網路而產生之資訊至低解析度神經網路之一類型之轉移學習。例如,本文中描述之實施例之一個優點係其等提供用於使用具有一有限訓練集之可有效訓練神經網路檢測半導體裝置之系統及方法。為此目的,可使用一系列轉移學習方法以依一有原則的方式實現且加速神經網路之有效訓練。
轉移學習可大體上定義為透過來自已學習之一相關任務(一或多個源任務)之知識之轉移學習一新任務(或一目標任務)之改良。因此,在本文中描述之實施例中,訓練高解析度神經網路可涉及學習一或多個源任務,且訓練低解析度神經網路可使用訓練高解析度神經網路之結果執行以藉此將來自源任務(高解析度神經網路訓練)之知識轉移至目標任務(低解析度神經網路學習)。在轉移學習中,代理程式在學習一源任務時對於一目標任務一無所知(或甚至不知道將存在一目標任務)。例如,在本文中描述之實施例中,高解析度神經網路在其經訓練時對於低解析度神經網路一無所知。
可以任何適合方式執行本文中描述之轉移學習。例如,在一歸納學習任務中,目標係自一組訓練實例引出一預測性模型。歸納學習中之轉移藉由容許源-任務知識影響目標任務之歸納偏向而工作。在一歸納轉移方法中,基於源-任務知識選取或調整目標-任務歸納偏向。完成此之方式取決於用於學習源任務及目標任務之歸納學習演算法而變化。
歸納轉移不僅可視為改良一標準監督式學習任務中之學習之一方式,而且視為抵消由涉及相對小資料集之任務造成之困難之一方式。亦即,若存在針對一任務之相對少量資料或類別標籤,則將其視為一目標任務且執行自一相關源任務之歸納轉移可導致更準確模型。因此,儘管事實係假定兩個資料集來自不同概率分佈,此等方法仍使用源-任務資料以增強目標-任務資料。
可如在Torrey等人之「Transfer Learning」,Handbook of Research on Machine Learning Applications,由IGI Global出版,由E. Soria, J. Martin、R. Magdalena、M. Martinez及A. Serrano編輯,2009年,第22頁以及Yosinski等人之「How transferable are features in a deep neural network?」,NIPS 2014,2014年11月6日,第14頁中描述般進一步執行本文中描述之轉移學習,該等案以宛如全文陳述引用之方式併入本文中。可如此等參考案中描述般進一步組態本文中描述之實施例。
在一項實施例中,缺陷影像之訓練集包含由低解析度成像子系統之一個以上模式產生之樣品之影像。例如,用於訓練低解析度神經網路之影像可包含使用低解析度成像子系統之30或更多個模式產生之低解析度影像。本文中描述之(若干)電腦子系統能夠儲存此一大量之低解析度影像資料。多模式低解析度影像可如本文中描述般產生且用於如本文中描述之訓練。其影像用於本文中描述之實施例中之低解析度成像子系統之多個模式可如本文中進一步描述般進行組態及選擇。
在一些實施例中,(若干)電腦子系統經組態用於訓練高解析度神經網路,且訓練高解析度神經網路及訓練低解析度神經網路係使用一生成對抗網路(GAN)或一變異性貝氏(variational Bayesian)方法執行。例如,一生成高解析度以及低解析度神經網路可藉由首先僅查看擾亂點空間而產生。此等系統可係GAN或變異性網路或類似者。特定言之,由本文中描述之實施例使用之訓練架構較佳經設計以收斂至具有最小數目個樣本之地面實況(用於確認樣本)。
在一項此實施例中,一或多個組件包含一或多個額外組件,高及/或低解析度神經網路之訓練係使用一或多個額外組件執行,且一或多個額外組件包含一共同母網路、一大型共同母網路、一對抗網路、一GAN、一深度對抗生成網路、一對抗自動編碼器、一貝氏神經網路、經組態用於一變異性貝氏方法之一組件、一梯形網路或其等之某一組合。例如,可用於本文中描述之實施例中之轉移學習方法包含:針對後端製程(BEOL)使用一共同母網路;針對BEOL層使用一大型共同母網路(將可能在SEM上工作);使用一對抗網路以加速訓練;使用一貝氏神經網路(變異性貝氏),其需要遠更少層;及使用梯形網路之概念進行訓練。本文中描述之實施例可經組態用於藉由「合法放大」樣本而加速訓練。此等方法亦稱為半監督式(若干實例可用,但絕大多數未由人或地面實況標記)。
(若干)電腦子系統亦可使用諸如組合貝氏生成模型化以達成其等在最小數目個樣本中之結果之半監督式方法之方法。由Zhang等人在2017年5月25日發表之美國專利申請公開案第2017/0148226號及Kingma等人之「Semi-supervised Learning with Deep Generative Models」,NIPS 2014,2014年10月31日,第1-9頁中描述此等方法之實例,該等案以宛如全文陳述引用之方式併入本文中。可如此等參考案中描述般進一步組態本文中描述之實施例。另外,(若干)電腦子系統可利用梯形網路,其中在諸如Rasmus等人之「Semi-Supervised Learning with Ladder Networks」,NIPS 2015,2015年11月24日,第1-19頁中提出之深度神經網路之深度神經網路中組合監督式及非監督式學習,該案以宛如全文陳述引用之方式併入本文中。可如此參考案中描述般進一步組態本文中描述之實施例。本文中描述之(若干)電腦子系統可進一步經組態以使用在Goodfellow等人之「Generative Adversarial Nets」,2014年6月10日,第1-9頁中描述之類型之一深度對抗生成網路訓練低解析度神經網路,該案以宛如全文陳述引用之方式併入本文中。可如此參考案中描述般進一步組態本文中描述之實施例。另外或替代地,本文中描述之(若干)電腦子系統可經組態以使用諸如在Makhzani等人之「Adversarial Autoencoders」,arXiv:1511.05644v2,2016年5月25日,第16頁中描述之對抗自動編碼器之一對抗自動編碼器(組合一變異性自動編碼器(VAE)及一深度生成對抗網路(DGAN)之一方法)訓練低解析度神經網路,該案以宛如全文陳述引用之方式併入本文中。可如此參考案中描述般進一步組態本文中描述之實施例。在一些例項中,(若干)電腦子系統可經組態以執行貝氏學習,如在Neal之「Bayesian Learning for Neural Networks」,Springer- Verlag New York,1996年,第204頁中描述,該案以宛如全文陳述引用之方式併入本文中。可如此參考案中描述般進一步組態本文中描述之實施例。(若干)電腦子系統亦可經組態以執行變異性貝氏方法,如在
Figure 02_image001
之「The Variational Bayes Method in Signal Processing」,Springer-Verlag Berlin Heidelberg,2006年,第228頁中描述,該案以宛如全文陳述引用之方式併入本文中。可如此參考案中描述般進一步組態本文中描述之實施例。
在另一實施例中,由低解析度成像子系統產生且由一或多個電腦子系統擷取之影像包含透過聚焦獲取之影像,一或多個電腦子系統經組態用於將透過聚焦獲取之影像映射至由高解析度成像子系統產生之影像,且訓練低解析度神經網路係基於訓練該高解析度神經網路之結果及映射之結果而執行。例如,為了解決低解析度(許多影像可表示)與高解析度(地面實況)之間之多對一映射表示問題,(若干)電腦子系統可利用透過聚焦獲取之影像資料之圖塊。因此,可使用以多個聚焦設定獲取之低解析度影像促進識別對應於樣品上之相同區域(且因此對應於彼此)之高解析度影像及低解析度影像。
一些此等實施例可使用體積檢測技術執行。一般言之,體積檢測包含使用一檢測工具以依複數個聚焦設定自樣本之複數個xy位置之各者收集強度資料集。依據聚焦設定針對xy位置的經收集強度資料集之各者提取具有複數個係數之一多項式方程式。使用一對應係數影像平面表示針對複數個xy位置之係數的值集之各者。接著分析係數影像平面之一目標集及係數影像平面之一參考集以偵測樣本上之缺陷。以此方式,為了分離信號與雜訊,可將體積影像之一元組變換為一傅立葉空間域。可如由Chen等人在2016年7月21日發表之美國專利申請公開案第2016/0209334號中描述般進一步執行體積檢測,該案以宛如全文陳述引用之方式併入本文中。可如此公開案中描述般進一步組態本文中描述之實施例。在本文中描述之實施例中,低解析度成像子系統之基於光學之輸出可包含光學影像之體積堆疊(例如,3個至5個之間的z堆疊)以能夠解決光學空間中所謂的「一對多映射」問題。除了諸如不同波長及孔徑之z聚焦影像之外,亦可擴展光學系統元組概念以包含其他光學模式。
據信,當與z影像之一光學體積堆疊組合時,一DL方法尤其優越。例如,本文中描述之(若干)神經網路可具有一對多變換問題。特定言之,由於基本上全部光學系統可模型化為一薄膜堆疊,故膜堆疊中之變動以及晶圓拓樸中之變動在自高解析度成像改變至低解析度成像時可引起一對多映射。可學習全部此等變動,但其等亦可係一雜訊源,尤其在局部發生(例如,歸因於局部色彩變動)之情況下。存在許多手工製作演算法以應付其等但其等並非完全有效。影像之體積堆疊可有助於緩解一對多映射問題且支援「信號」。例如,除了來自光學影像之「強度」資訊之外,體積資訊亦擷取「相位」資訊。相比之下,正常基於光學之檢測僅自「強度」起作用,此係不定性之一原因(「一對多」映射中之「多」)。因此,為了解決低解析度(許多影像可表示)至高解析度(地面實況)之間之多對一映射表示問題,本文中描述之實施例可利用透過聚焦獲取之影像資料之圖塊。
設計不捕獲缺陷之一系統相當容易-亦相當無用。幸運地,針對本文中描述之應用,良好地理解經檢測之樣品之層(例如,一RDL層)中之關鍵缺陷之性質。例如,已知DOI可包含開路、短路、突出及侵入。
缺陷影像之訓練集可包含針對已知DOI之各種資訊(包含高解析度影像)。例如,訓練集可包含針對已知DOI之各者之設計資訊(設計圖塊、電腦輔助設計(CAD)設計資料、經呈現設計資料、設計背景內容資訊)。訓練集亦可包含針對已知DOI之各者之其他影像,諸如測試影像、參考影像、差異影像、分段影像等。訓練集亦可包含缺陷資訊,諸如缺陷分類、大小、形狀、位置等。一般言之,訓練集可包含在訓練及/或運行時間期間可輸入至高解析度神經網路及低解析度神經網路之與已知DOI相關之任何資訊。
已知DOI可包含來自數個不同源之本文中描述之數個不同種類之DOI。一般言之,訓練集中之已知DOI可包含由一或多個方法或系統識別之已知DOI。已知DOI較佳包含(當可行時)各類型之已知DOI之兩個或兩個以上實例(例如,兩個或兩個以上開路實例、兩個或兩個以上短路實例等)。
訓練可包含將已知DOI之訓練集之資訊輸入至高解析度神經網路及/或低解析度神經網路中且更改高解析度神經網路及/或低解析度神經網路之一或多個參數直至由高解析度神經網路及/或低解析度神經網路針對已知DOI產生之輸出匹配(或實質上匹配)訓練集中之已知DOI之資訊。訓練高解析度神經網路及/或低解析度神經網路亦可包含一種重新訓練,該重新訓練可包含傳送高解析度神經網路及/或低解析度神經網路之一些層(例如,迴旋層)之全部權重及微調高解析度神經網路及/或低解析度神經網路之其他層(例如,完全連接層)之權重。然而,訓練可包含更改神經網路之任何一或多個可訓練參數。例如,由本文中描述之實施例訓練之神經網路之一或多個參數可包含具有可訓練權重之神經網路之任何層之一或多個權重。在一個此實例中,權重可包含迴旋層但非匯集層之權重。
在一些實施例中,缺陷影像之訓練集包含表示由高解析度成像子系統之一個以上模式產生之樣品之影像之高解析度影像。對應於訓練集中之影像之高解析度成像子系統之一個以上模式可包含本文中描述之任何模式。訓練集中之高解析度影像可表示由高解析度能夠使用之全部或僅一些(兩者或兩者以上)模式產生之樣品之影像。
在一些例項中,如本文中描述,可以不需要涉及高解析度成像子系統之一方式產生訓練集中之至少一些影像。例如,訓練集中之高解析度影像之一或多者可表示由一個以上模式產生之已知DOI之影像,藉此對應於由高解析度成像子系統之不同模式產生之不同高解析度影像。不同高解析度影像可針對高解析度成像子系統之不同模式而模擬,藉此表示將由高解析度成像子系統之不同模式針對已知DOI產生之高解析度影像。以此方式,若一樣品上之一已知DOI係由高解析度成像子系統成像,則高解析度影像可包含模擬、表示或近似表示將由高解析度成像子系統產生之影像之影像。
在一項實施例中,缺陷影像之訓練集包含樣品上之一或多個程式化缺陷之一或多個影像,一或多個電腦子系統經組態用於藉由更改樣品之一設計以在設計中產生一或多個程式化缺陷而產生一或多個程式化缺陷,且經更改設計經印刷於樣品上以在樣品上產生一或多個程式化缺陷。如本文中使用之術語「程式化」缺陷可大體上被定義為藉由操縱一樣品之設計資訊而在該樣品上有目的地引起之一或多個缺陷。
與涉及產生合成但現實影像以用於訓練之方法相比,使用經更改以包含(若干)程式化缺陷之一設計印刷一樣品容許待使用之系統之真實授權能力,此係因為實際DOI (印刷於樣品上之程式化缺陷)隨處可見。針對希望產生測試晶圓之使用者,可使用具有藉由(若干)電腦子系統程式化至設計中之缺陷之倍縮光罩以將經更改設計印刷於測試晶圓上,就像在前端製程(FEOL)中利用達數十年之標準晶圓步進曝光(DSW)晶圓。使用用於產生產品晶圓之至少一些相同程序步驟產生此等測試晶圓將能夠收集相對大量實際DOI影像(其等具有相同於產品上之真實實例中所預期之光學性質)以用於訓練一神經網路以分離DOI與擾亂點。
藉由更改樣品之設計而產生(若干)程式化缺陷可基於關於已知DOI之資訊(諸如類型、尺寸、位置、形狀等)執行,該資訊可來自任何適當源(例如,先前設計或程序知識及/或缺陷偵測結果)。更改樣品之設計可使用一電子設計自動化(EDA)工具執行。以此方式,本文中描述之實施例可具有利用使用EDA CAD工具產生之程式化設計資料之一附加維度。EDA工具可包含任何適合市售EDA工具。另外,可使用一可程式化/圖形EDA編輯器(其可包含任何適合EDA軟體、硬體、系統或方法)自動化CAD工作。在一些此等實施例中,本文中描述之一或多個電腦子系統(例如,(若干)電腦子系統102)可經組態為一EDA工具或可係包含於一EDA工具中之一電腦子系統。
在一項此實施例中,可使用經組態用於更改設計以在設計中產生程式化缺陷之一起始(inception)模組執行更改樣品之設計以在設計中產生一或多個程式化缺陷。例如,本文中描述之神經網路可藉由一缺陷幻覺系統(諸如由GoogLeNet起始針對自然場景影像建議之缺陷幻覺系統)訓練。對缺陷預訓練之一傳統神經網路可接著將此等缺陷向後倒以在其他幾何結構上產生新缺陷類型。可在Szegedy等人之「Going Deeper with Convolutions」,2015 IEEE Conference on Computer Vision and Pattern Recognition (CVPR),2015年6月,第9頁中找到用於執行GoogLeNet起始之系統及方法之實例,該案以宛如全文陳述引用之方式併入本文中。可如此參考案中描述般進一步組態本文中描述之實施例。
可使用經組態以對樣品執行一或多個製造程序之一半導體製造子系統將經更改設計印刷於樣品上。一或多個製造程序可包含使用經更改設計製成(若干)倍縮光罩或(若干)遮罩且接著使用該(等)倍縮光罩或該(等)遮罩處理晶圓。該一或多個製造程序可包含此項技術中已知之任何適合此等程序。如圖1中展示,系統可包含半導體製造系統108,半導體製造系統108可耦合至(若干)電腦子系統102及/或本文中描述之系統之任何其他元件。半導體製造系統可包含此項技術中已知之任何半導體製造工具及/或腔室,諸如一微影追蹤、一蝕刻腔室、一化學機械拋光(CMP)工具、一沈積腔室、一剝離或清潔腔室及類似者。在2005年5月10日頒予Levy等人之美國專利第6,891,627號中描述可包含於本文中描述之實施例中之適合半導體製造工具之實例,該專利以宛如全文陳述引用之方式併入本文中。可如此專利中描述般進一步組態本文中描述之實施例。
在使用經更改設計印刷樣品之後,可使用高解析度成像子系統及低解析度成像子系統使(若干)程式化缺陷在樣品上之位置成像。接著可在本文中描述之訓練步驟中使用(若干)程式化缺陷之高解析度影像及低解析度影像。以此方式,實施例可使用涉及經驗及程式化方法之組合之一混合方法,其包含藉由使用經修改設計製成遮罩及使用該等遮罩處理晶圓而如上文描述般在設計空間中產生程式化佈局缺陷及判定該等程式化佈局缺陷對晶圓之經驗影響。以此方式,可使用程式化缺陷之實際影像訓練神經網路。
在另一實施例中,缺陷之訓練集包含一或多個合成缺陷之一或多個影像,且一或多個電腦子系統經組態用於:藉由更改樣品之一設計以在設計中產生一或多個合成缺陷而產生一或多個合成缺陷;基於設計中之一或多個合成缺陷而產生一或多個合成缺陷之經模擬高解析度影像;及將經模擬高解析度影像添加至訓練集。可如本文中描述般進一步執行藉由更改樣品之設計而產生(若干)合成缺陷。如圖2中展示,一或多個合成缺陷可包含可以任何適合方式判定之「經注入」缺陷208。可使用經注入缺陷208之資訊以更改設計資料202,設計資料202可係CAD資料或本文中描述之任何其他適合類型之設計資料。可接著使用經更改設計資料以產生經注入缺陷之經模擬高解析度影像,可接著將該等經模擬高解析度影像輸入至高解析度神經網路200作為訓練集之部分。可接著使用訓練集以訓練高解析度神經網路,如本文中進一步描述。
產生經模擬高解析度影像可包含模擬經更改設計在印刷於一樣品上時之外觀。例如,產生經模擬高解析度影像可包含產生其上將印刷(若干)合成缺陷之一樣品之一經模擬表示。可用於產生一經模擬樣品之一憑經驗訓練之程序模型之一個實例包含SEMulator 3D,其商業上可購自Coventor, Inc., Cary, NC。一嚴格微影模擬模型之一實例係商業上可購自KLA-Tencor且可配合SEMulator 3D產品使用之Prolith。然而,可使用在自設計資料產生實際樣品中涉及之(若干)程序之任何者之(若干)任何適合模型產生經模擬樣品。以此方式,可使用經更改設計(經更改以包含一或多個合成缺陷)以模擬在其上形成經更改設計之一樣品在樣品空間中之外觀(不需要此一樣品對一成像系統而言之外觀)。因此,樣品之經模擬表示可表示樣品在樣品之2D或3D空間中之外觀。
可接著使用樣品之經模擬表示以產生經模擬高解析度影像,該等經模擬高解析度影像繪示其上印刷合成缺陷之樣品將如何出現在由高解析度成像子系統產生之樣品之一或多個實際影像中。經模擬高解析度影像可使用諸如商業上可購自KLA且可使用一電磁(EM)波解算器嚴格地模型化一檢測器之回應之WINsim之一模型執行。可使用此項技術中已知之任何其他適合軟體、(若干)演算法、(若干)方法或(若干)系統執行此等模擬。
在一個此實施例中,一或多個電腦子系統經組態用於使用高解析度神經網路產生經模擬高解析度影像,且高解析度神經網路經組態為一深度生成模型。例如,(若干)電腦子系統可使用與在設計(EDA/CAD)資料上產生缺陷之一合成方法組合之一深度生成模型以在高解析度影像上產生現實系統及隨機缺陷以注入至訓練集中以供任何機器學習演算法(包含(但不限於) DL系統)使用。
一「生成」模型可大體上被定義為本質上概率性之一模型。換言之,一「生成」模型並非執行前向模擬或基於規則之方法之模型且因而,產生一實際影像或輸出(針對其產生一模擬影像)所涉及之程序之物理學之一模型並非必要。代替性地,如本文中進一步描述,可基於一適合訓練資料集學習生成模型(其中可學習其參數)。生成模型可經組態以具有一DL架構,該DL架構可包含執行數個演算法或變換之多個層。包含於生成模型中之層之數目可係使用案例相依的。為了實際目的,層之一適合範圍係自2個層至數十個層。學習高解析度影像(實際晶圓之影像)與設計(例如,CAD或預期佈局之一向量表示)之間之聯合概率分佈(平均值及方差)之深度生成模型可用於產生包含於訓練集中之經模擬高解析度影像。缺陷假影(諸如開路、短路、突出、侵入等)可經***CAD中且接著饋入由如以下文獻中描述之一網路訓練之一生成模型中以產生現實缺陷:由Zhang等人在2017年5月25日發表之美國專利申請公開案第2017/0148226號及Kingma等人之「Semi-supervised Learning with Deep Generative Models」,NIPS 2014,2014年10月31日,第1-9頁中描述,該等案以宛如全文陳述引用之方式併入本文中。可如此等參考案中描述般進一步組態本文中描述之實施例。
在一額外實施例中,缺陷之訓練集包含一或多個合成缺陷之一或多個影像,一或多個電腦子系統經組態用於藉由更改樣品之一設計以在設計中產生一或多個合成缺陷而產生一或多個合成缺陷之一或多個影像,且一或多個電腦子系統經組態用於基於設計中之一或多個合成缺陷而產生一或多個合成缺陷之經模擬低解析度影像。以此方式,經模擬低解析度影像繪示缺陷(例如,已知DOI)如何出現在由低解析度成像子系統產生之一或多個實際影像中。因而,(若干)經模擬影像可表示(例如,對應於、模擬或近似表示)可由低解析度成像子系統產生之缺陷之影像。
可如本文中進一步描述般執行藉由更改樣品之一設計而產生一或多個合成缺陷。若設計(CAD)可用,則注入合法缺陷實例係簡單的。例如,DOI (諸如開路、短路、「嚙齒(mouse bites)」、突出等)可經呈現(繪製)具有各種大小,此可基於DOI之描述自動化。使用一EDA工具,此等經呈現DOI可定位於幾何形狀中之「合法」位置中而非隨機位置中。在一個實例中,短路係兩個銅線之間之一金屬連接。針對此一DOI,吾人可僅在設計中之策略夾點處添加一小短線。亦可在分段模式中繪製程序圖案化缺陷。分段模式通常係指其中使用或不使用使用者輸入或設計資訊分段由檢測工具產生之影像之檢測之一階段。程序圖案化缺陷係指可經添加、提升、夾斷等之材料且通常以稍微獨立於形成於樣品上之幾何形狀或設計圖案(雖然幾何形狀或設計圖案可實際上促成此等缺陷之形成)之一方式發生。此等程序圖案化缺陷之一或多個實例可由一使用者在經分段影像中手動繪製且接著在訓練期間以該方式注入本文中描述之神經網路中。
在圖2中展示之一個此實例中,一或多個合成缺陷可包含可如本文中描述般判定之「經注入」缺陷208。可使用經注入缺陷208之資訊以更改設計資料202,設計資料202可係CAD資料或本文中描述之任何其他適合類型之設計資料。可接著使用經更改設計資料以產生經注入缺陷之經模擬低解析度影像,可接著將該等經模擬低解析度影像輸入至低解析度神經網路206以用於訓練,可如本文中進一步描述般執行訓練。
在一項此實施例中,一或多個電腦子系統經組態用於使用一深度生成模型產生該等經模擬低解析度影像。例如,(若干)電腦子系統可使用與在設計(EDA/CAD)資料上產生缺陷之一合成方法組合之一深度生成模型以在低解析度影像上產生現實系統及隨機缺陷以注入至訓練集中以供任何機器學習演算法(包含(但不限於) DL系統)使用。可如本文中描述般組態深度生成模型。
在另一此實施例中,產生經模擬低解析度影像係使用一生成對抗網路或一變異性貝氏方法執行。例如,為了充分利用設計,可使用GAN或變異性貝氏之一渲染技巧以產生逼真低解析度影像以用於訓練。可如本文中進一步描述般組態及/或執行GAN或變異性貝氏方法。
在一進一步實施例中,缺陷影像之訓練集包含一或多個合成缺陷,且一或多個電腦子系統經組態用於藉由以下各者產生一或多個合成缺陷:更改由高解析度成像子系統產生之影像之一或多者及由低解析度成像子系統產生之影像之一或多者以產生一分段影像;基於分段影像更改由高解析度成像子系統產生之影像之一或多者;及基於經更改之一或多個影像產生一或多個合成缺陷之經模擬低解析度影像。例如,當設計(CAD)不可用時,可利用高解析度影像及低解析度影像以產生儘可能完美之一分段(二進制)影像。存在可用於執行此分段之許多表示網路。特定言之,一高解析度影像通常將比一低解析度影像具有更少雜訊。因此,一分段演算法可經先驗使用以有效地產生看起來像設計之某物(或其在高解析度影像中將至少比在低解析度影像中更清晰)且接著可經轉移至低解析度影像(使用一簡單幾何運算或一更複雜神經網路影像間轉譯),藉此產生影像之一相對良好「偽CAD」。一旦吾人具有此分段影像,便可注入(手動地或自動地繪製)缺陷且接著可針對經注入缺陷呈現經模擬低解析度影像並將其等用於訓練。可如由Bhaskar等人在2017年7月13日發表之美國專利申請公開案第2017/0200265號中描述般執行影像間轉譯,該案以宛如全文陳述引用之方式併入本文中。可如此公開案中描述般進一步組態本文中描述之實施例。亦可如Isola等人之「Image-to-Image Translation with Conditional Adversarial Networks」,arXiv:1611.07004v2,2017年11月22日,第17頁中描述般在此實施例中執行影像分段及影像間轉譯,該案以宛如全文陳述引用之方式併入本文中。可如此公開案中描述般進一步組態本文中描述之實施例。
在一項此實施例中,產生經模擬低解析度影像係使用一生成對抗網路或一變異性貝氏方法執行。例如,(若干)電腦子系統可使用一GAN或變異性網路以重新產生接著用於訓練之經注入缺陷之低解析度影像。可如本文中進一步描述般組態並使用GAN或變異性方法。
在一些實施例中,一或多個電腦子系統經組態用於藉由更改由高解析度成像子系統針對樣品產生之影像之至少一者以產生已知DOI之高解析度影像而合成地產生缺陷影像之至少一者。例如,針對已知DOI,在設計規則之一合法結構中「塗漆」實例之動作可用於使一DL網路能夠相當容易地偵測缺陷。在一個此實例中,如圖2中展示,缺陷影像之至少一者可包含「經塗漆」缺陷210。基於可如本文中描述般擷取之已知DOI之資訊,可產生已知DOI將如何出現在高解析度影像中之資訊。經塗漆缺陷210之資訊可用於更改高解析度影像以產生經塗漆缺陷之高解析度影像。在一個特定實例中,基於一橋接缺陷之資訊,可更改一或多個高解析度影像以展示兩個圖案化結構之間之此一橋接。橋接缺陷之資訊可包含諸如缺陷類型趨於如何出現在高解析度影像中及橋接缺陷之預期特性(諸如尺寸、材料、形狀、紋理及對高解析度影像可具有某一影響之類似者)之資訊。經更改高解析度影像可經輸入至高解析度神經網路200作為訓練集之部分且接著用於如本文中進一步描述般訓練高解析度神經網路。
在另一實施例中,缺陷影像之訓練集包含藉由對樣品執行已知引起樣品上之一或多個人工缺陷之一程序而產生之樣品上之一或多個人工缺陷之一或多個影像。例如,如圖2中展示,實際晶圓資料204可包含已在一或多個晶圓(例如,缺陷測試晶圓)上偵測且已使用一地面實況方法(例如,使用一SEM缺陷檢視方法、手動地執行之使用者確認或分類等)較佳地(但不一定)經確認及/或分類為DOI之缺陷。經偵測缺陷之資訊可經輸入至高解析度神經網路200作為訓練集之部分且接著用於如本文中進一步描述般訓練高解析度神經網路。
在一些此等實施例中,使用者可提供具有開路/短路及其他類型之DOI之實例之一缺陷測試晶圓。一程序窗限定(PWQ)類型之DOI晶圓亦可用作一缺陷測試晶圓以產生可用於訓練模型使得使用者明確顯示缺陷之真實世界實例之已知DOI之資訊。可故意引出一極端程序條件使得在測試樣品上產生及偵測此等缺陷之實例。可利用RDL層之PWQ等效物。
可使用程序之一或多個參數之兩個或兩個以上不同值執行已知引起樣品上之(若干)人工缺陷之程序。可使用一PWQ方法執行此一程序。例如,實驗設計(DOE) (諸如PWQ)可用作系統缺陷之一產生器。一般言之,PWQ係由KLA在21世紀初針對微影焦點及曝光程序窗特性化發明且以一個形式或另一形式經廣泛採用之一技術。PWQ之基礎係產生一檢測器相容晶圓,其中存在以一系統方式彼此接近之標稱晶塊及調變晶塊以最大化檢測器之信號。在PWQ方法中變動之程序之一或多個參數可包含焦點及曝光(例如,如在一焦點-曝光PWQ程序中)。亦可如2005年6月7日頒予Peterson等人之美國專利第6,902,855號、2008年8月26日頒予Peterson等人之美國專利第7,418,124號、2010年6月1日頒予Wu等人之美國專利第7,729,529號、2010年8月3日頒予Kekare等人之美國專利第7,769,225號、2011年10月18日頒予Pak等人之美國專利第8,041,106號、2012年2月7日頒予Wu等人之美國專利第8,111,900號及2012年7月3日頒予Peterson等人之美國專利第8,213,704號中描述般執行PWQ方法,該等專利以宛如全文陳述引用之方式併入本文中。本文中描述之實施例可包含此等專利中描述之(若干)任何方法之(若干)任何步驟且可如此等專利中描述般進一步組態。可如此等專利中描述般印刷一PWQ晶圓。
亦可使用一焦點曝光矩陣(FEM)方法執行此一程序。例如,DOE (諸如FEM方法及/或晶圓)可用作系統缺陷之一產生器。FEM方法通常涉及按一微影程序之焦點及曝光參數值之不同組合在一晶圓上印刷數個晶粒。接著,可以任何適合方式檢測不同晶粒以偵測不同晶粒中之缺陷。接著通常使用該資訊以判定針對微影程序之焦點及曝光之一程序窗。因此,可使用一FEM方法以在一樣品上印刷此等晶粒,且可使用在此一樣品上偵測之缺陷以識別已知DOI。
因此,如上文描述,一或多個DOE (諸如PWQ及FEM晶圓)可用作系統缺陷之產生器。以此方式,可使用自可充當系統缺陷之一產生器之一PWQ或FEM晶圓產生之資訊訓練高解析度神經網路。雖然如今PWQ及其等「同類」FEM晶圓主要用於判定程序邊限,但其等可重新用於使用真實缺陷訓練本文中描述之神經網路,此係因為其等將大量出現在此等晶圓上。此等晶圓及自其等產生之資訊可接著用作用於本文中進一步描述之訓練之訓練樣本。若此等樣本不提供一組完整可能缺陷,則自此等晶圓產生之資訊可與其他資訊(諸如藉由合成缺陷產生而產生之資訊)互補,此可以如本文中進一步描述之數個不同方式執行。
當使用諸如底部橋接及金屬殘餘之設計不可產生全部缺陷類型時,對樣品執行已知引起樣品上之(若干)人工缺陷之一程序可係有利的。此等缺陷可由窗外程序(其中程序係使用已知在程序之程序窗外部之一或多個參數執行)引發。倍縮光罩可具有不同寬度之RDL梳(RDL Comb)/曲折R (Meander R)。可使用金屬膠層移除之不同濃度進行實驗以產生此等類型之缺陷。可藉由量測鏈電阻而判定此等程序缺陷之位置,此係因為無限或零指示接著經成像用於產生一最佳DL網路之一開路或短路。
在一額外實施例中,缺陷影像之訓練集包含在由高解析度成像子系統產生之影像之一或多者中在樣品上偵測之一或多個缺陷。例如,如圖2中展示,實際晶圓資料204可包含已在一或多個晶圓(例如,缺陷測試晶圓)上偵測且已使用一地面實況方法(例如,使用一SEM缺陷檢視方法、手動地執行之使用者確認或分類等)較佳地(但不一定要)經確認及/或分類為DOI之缺陷。可將經偵測缺陷之資訊輸入至高解析度神經網路200作為訓練集之部分。可接著使用訓練集以訓練高解析度神經網路,如本文中進一步描述。
在一個此實施例中,一或多個電腦子系統經組態用於藉由單一影像偵測(SDI)在由高解析度成像子系統產生之影像中偵測樣品上之缺陷。例如,可藉由SID演算法之一版本訓練高解析度成像子系統。SID可由本文中描述之實施例執行,如2017年5月18日由Karsenti等人發表之美國專利申請公開案第2017/0140524中描述,該案以宛如全文陳述引用之方式併入本文中。可如此公開案中描述般進一步組態本文中描述之實施例。
在另一此實施例中,一或多個電腦子系統經組態用於藉由晶粒對資料庫偵測在由高解析度成像子系統產生之影像中偵測樣品上之缺陷。例如,(若干)電腦子系統可利用一機器學習演算法或任何晶粒對資料庫檢測演算法作為一地面實況訓練器。可藉由比較高解析度影像與一參考(諸如樣品之設計資料)而執行晶粒對資料庫偵測。因此,此比較之結果可係差異影像(此係因為可自高解析度測試影像減去參考)。差異影像可接著用於(例如,藉由將一臨限值應用至差異影像)識別差異影像中之可能缺陷。
因此,用於如本文中描述之訓練之缺陷影像之訓練集可來自若干不同源,該等源包含恰好在一實際樣品上偵測之實際缺陷或有意地在樣品上引起或在針對樣品呈現之影像中之程式化、合成及人工缺陷。缺陷影像之訓練集亦可包含實際缺陷及程式化、合成及/或人工缺陷之某一組合。
使用程式化、合成或人工缺陷(可能與實際缺陷組合)出於若干原因可係有利的。如本文中進一步描述,本文中描述之實施例針對其產生且尤其有利之應用之一者係RDL。雖然細間距RDL佔用晶片佈局之一相對小區域,但其等歸因於密集RDL圖案而係良率損耗之一已知源。為了達成高良率,在RDL線之形成期間部署線上缺陷檢測。使事情變得複雜的是,在實際生產環境中,RDL形成的致命缺陷很少。然而,通常在此等細間距RDL檢測中需要關鍵致命缺陷之100%捕獲率。可必須完成檢測配方修改之許多反覆以適應全部致命缺陷類型。因此,一有生產價值之檢測配方可歸因於小實際致命缺陷樣本之稀缺性而耗費數星期或數月來精細調諧。
此處之挑戰係使用最短循環時間及最少反覆最佳化發現階段中之模式。例如,若約1微米之實質上小RDL短路不出現於前50個實際產品晶圓中,則應用工程師必須等待直至第51個晶圓以使其最佳化檢測配方程序完成且達成100%捕獲率。然而,本文中描述之實施例提供一系統方法以在一微影遮罩上之固定位置中產生系統重複臨界大小(例如,0.5微米、1.0微米及2.0微米)缺陷。可以一系統方式藉由憑藉在遮罩上產生不透明或小點缺陷來違反遮罩圖案而重現基於微影之致命缺陷類型(如同RDL金屬短路及RDL金屬開路)。可採用一3D光學微影模擬工具以預測各種倍縮光罩缺陷案例之可印刷性。實驗資料可用於藉由比較模型化資料與使用含有程式化透明針孔及不透明小點缺陷之一倍縮光罩曝光之晶圓之SEM量測而驗證3D模擬器有效。
用於本文中描述之訓練之程式化、人工及合成缺陷亦可經設計使得經製造微影缺陷及濕式蝕刻引發之程序缺陷兩者可引起電氣故障。曲折或梳狀結構可經設計具有菊鏈結構以量測鏈電阻作為RDL短路或斷路之一代理。此方法提供若干新益處。例如,此技術可用於構建具有系統微影及濕式蝕刻程序缺陷之一校準晶圓。另外,可藉由將由一檢測工具偵測之實體缺陷映射至真實電氣故障資料而將經製造缺陷匹配於電氣故障。若檢測程序對具有其等對應電氣故障位點之致命缺陷過度偵測或偵測不足,則可使用此映射之結果來校準或更改檢測程序。實施例亦提供使用此一方法在一短時間段而非在安裝之後之數星期或數月內將一新安裝RDL檢測器帶至其完全整合能力授權之一穩健方式,此係因為完全層RDL堆疊表示用於缺陷產生之各使用者程序條件及邊限。此外,相同系統方法可用於不同RDL應用,即使該等RDL程序具有大量微影處理方法(例如,遮罩對準器、投影步進器、雷射直接成像及雷射消融)。本文中描述之實施例亦可迎合先前層RDL雜訊(其可係多個RDL層之一主要偵測挑戰)且將其等構建至樣品(程式化及/或人工缺陷形成於其等上或針對其等產生程式化及/或人工缺陷)中。另外,可產生或更改其上形成程式化及/或人工缺陷之一樣品之設計資料使得系統缺陷可與電測試結構相關。
一或多個電腦子系統進一步經組態用於使用缺陷影像之訓練集作為輸入而訓練低解析度神經網路。以此方式,基於DL之巨觀檢測器可使用高解析度成像子系統作為一實際上的檢測器以訓練一低解析度巨觀工具。低解析度神經網路之訓練可使用本文中進一步描述之轉移學習技術(例如)藉由使用高解析度神經網路作為一母網路而執行。
另外或替代地,已知DOI及其等在高解析度影像中之位置可用於識別已知DOI在低解析度影像中之位置。例如,如本文中進一步描述,(若干)電腦子系統可經組態用於使用本文中描述之一影像間轉譯技術自低解析度影像產生高解析度影像(或反之亦然)。因此,可識別彼此對應(如在樣品上之相同位置處產生)之高解析度影像及低解析度影像。以此方式,訓練集中之缺陷影像之至少一者可由高解析度神經網路使用由高解析度成像子系統產生之影像之至少一者合成地產生。已知DOI在高解析度影像中之位置可接著用於(例如,藉由影像座標轉譯或藉由疊對對應影像)識別已知DOI在低解析度影像中之位置。在已知DOI之位置處產生之高解析度影像可接著用於(在使用或不使用經訓練高解析度神經網路進行轉移學習之情況下)訓練低解析度神經網路。可如本文中關於訓練高解析度神經網路描述般執行此訓練。
在一項實施例中,缺陷影像之訓練集包含由低解析度成像子系統之一個以上模式產生之樣品之影像。在一項此實施例中,低解析度成像子系統之一個以上模式包含低解析度成像子系統之全部模式。例如,用於訓練低解析度神經網路之低解析度影像可針對30+個模式(或低解析度成像子系統之全部模式)產生。用於訓練低解析度神經網路之影像之模式可包含實際上使用低解析度成像子系統(例如,藉由使用低解析度成像子系統之模式使一實際樣品成像)產生之影像。另外或替代地,用於訓練低解析度神經網路之低解析度成像子系統之一些(一或多個)或全部模式之影像可藉由模擬或影像間轉譯(其等兩者可如本文中描述般執行)而產生。以此方式,用於訓練低解析度神經網路之影像可包含使用低解析度成像子系統針對全部模式產生之影像、在不使用低解析度成像子系統之情況下針對全部模式產生之影像或其等之某一組合。
本文中描述之神經網路亦可包含需要最小訓練樣本之網路。在由Bhaskar等人在2017年7月6日發表之美國專利申請公開案第2017/0193400號中描述使用一有限訓練集訓練神經網路之實例,該案以宛如全文陳述引用之方式併入本文中。可如此公開案中描述般進一步組態本文中描述之實施例。本文中執行之訓練亦可包含主動學習方案(ALS),諸如由Zhang等人在2018年6月5日申請之美國專利申請公開案第62/681,073中描述之ALS,該案以宛如全文陳述引用之方式併入本文中。可如此專利申請案中描述般進一步組態本文中描述之實施例。
在另一此實施例中,一或多個電腦子系統經組態用於基於使用由低解析度成像子系統之一個以上模式產生之影像訓練低解析度神經網路之結果選擇用於偵測另一樣品(或其他樣品)上之缺陷之低解析度成像子系統之一個以上模式之一或多者。例如,當與上文描述之擾亂點抑制技術組合時,用於訓練之低解析度影像之多個模式實現判定低解析度成像子系統之哪一或多個(例如,3個)不同模式能夠捕獲全部DOI且抑制擾亂點。在一個此實例中,提供用於DOI偵測及擾亂點抑制之最佳組合效能之模式之一或多者可經選擇以用於檢測其他樣品。在另一此實例中,組合提供用於DOI偵測及擾亂點抑制之最佳組合效能(其中一個模式可補償另一模式及/或使用一個以上模式產生之影像組合地使用)之模式之一或多者可經選擇用於檢測其他樣品。一或多個模式可在光學模式之一或多個參數(例如,不同波長、不同偏光、不同像素大小(放大率)等)方面不同。此等模式接著用於掃描整個晶圓且接著經訓練低解析度神經網路使用藉由使用此等模式掃描晶圓而產生之影像以偵測DOI。以此方式,本文中描述之基於DL之巨觀檢測器實施例可利用檢測器之整個模式空間(例如,波長、孔徑、BF對DF等)。
一或多個電腦子系統亦經組態用於藉由將由低解析度成像子系統針對另一樣品產生之影像輸入至經訓練低解析度神經網路而偵測另一樣品上之缺陷。以此方式,一旦已訓練低解析度神經網路,便可藉由(若干)電腦子系統將由低解析度成像子系統針對其他樣品(可能使用如本文中描述般選擇之低解析度成像子系統之一或多個模式)產生之影像輸入至低解析度神經網路,且低解析度神經網路可偵測輸入影像中之缺陷且產生經偵測缺陷之資訊(其可包含可由低解析度神經網路針對經偵測缺陷產生之任何適合輸出)。
在一項實施例中,高解析度神經網路及低解析度神經網路經組態用於單一影像缺陷偵測。例如,一晶粒間演算法可不由本文中描述之系統調用以用於缺陷偵測。代替性地,(若干)電腦子系統可使用SID進行缺陷偵測。使用此缺陷偵測消除任何未對準問題使其不影響缺陷偵測結果。可如本文中進一步描述般執行SID。
在一項實施例中,檢測工具經組態為一巨觀檢測工具。一巨觀檢測工具適用於檢測相對雜訊BEOL層(諸如RDL及切割後應用)以在存在巨大雜訊(諸如金屬線上之晶粒)之情況下偵測缺陷。一巨觀檢測工具在本文中被定義為不受繞射限制且具有約200 nm至約2.0微米及以上之一空間解析度之一系統。此空間解析度意謂此等系統可偵測之最小缺陷具有大於約200 nm之尺寸,其遠大於如今市場上之最先進檢測工具可偵測之最小缺陷,因此稱為「巨觀」檢測器。相較於如今市場上之最先進檢測工具,此等系統趨於利用光之較長波長(例如,約500 nm至約700 nm)。當DOI具有相對大的大小時且可能亦當需要每小時100個晶圓(wph)或更多之處理能力時(晶圓處理能力在此處係指每小時檢測之300 mm晶圓之數目)可使用此等系統。
本文中描述之實施例提供藉由共同最佳化模式空間以及演算法偵測空間而抑制RDL及粒狀(高雜訊)層中之擾亂點之一新穎基於DL之巨觀檢測器。例如,在一些實施例中,在另一樣品上偵測之缺陷係另一樣品之一BEOL層之缺陷。BEOL層可包含此項技術中已知之任何BEOL層(包含本文中描述之BEOL層)。在一進一步實施例中,在另一樣品上偵測之缺陷係另一樣品之一RDL層之缺陷。RDL層可具有此項技術中已知之任何適合組態。
在另一實施例中,在另一樣品上偵測之缺陷係另一樣品之一高雜訊層之缺陷。如本文中定義之術語「高雜訊」層通常係指其雜訊係層之檢測中之主要障礙之一層。例如,雖然由任何檢測工具檢測之每一晶圓層可展現比其他層更多或更少之雜訊(且用於處置此雜訊之偵測之技術通常必須用於檢測每一晶圓層),但成功地檢測晶圓層之主要障礙最常係必須偵測之缺陷之極小大小。相比之下,本文中描述之實施例尤其適用於偵測相對大(「巨觀」缺陷,其等之大小為約200 nm及以上)。因此,此檢測中之主要障礙不一定為必須經偵測之缺陷之大小(此係因為許多檢測工具組態能夠偵測大多數層上之此等大缺陷)。代替性地,本文中描述之層通常將在針對層產生之影像中展現「高雜訊」位準使得即使偵測此等大的大小之缺陷仍會變得困難(若非不可能)。然而,本文中描述之實施例已經設計以經由本文中描述之訓練(及選用模式選擇)處置此等雜訊位準使得偵測此等高雜訊層上之缺陷成為可能。
在一額外實施例中,在另一樣品上偵測之缺陷係包含另一樣品之金屬線之一層之缺陷。例如,本文中描述之BEOL及RDL層可包含形成形成於樣品上之裝置之各種元件之金屬線。此等金屬線可產生大量「晶粒」雜訊,其在本文中進一步描述。然而,本文中描述之實施例經組態用於實現此等層上之缺陷之偵測,儘管歸因於本文中描述之各種訓練方法之晶粒雜訊。
在一些實施例中,其上偵測缺陷之其他樣品係一切割後樣品。一「切割後」樣品可大體上被定義為多個裝置(例如,在不同晶粒(dies/dice)中)經形成於其上且接著以各種方式之一者彼此分離之一晶圓或其他基板。一「切割後」樣品亦可係已被分成多個晶粒或晶塊(其等尚未進入封裝程序)之一樣品。
在此等層及樣品上偵測之缺陷可包含(例如) RDL金屬線缺陷(短路/橋接、開路/斷線、金屬殘餘/底部橋接)、通孔/接觸缺陷(光阻劑殘餘/通孔浮渣)、凸塊缺陷、微凸塊缺陷、銅柱缺陷、晶片堆疊後缺陷、化學機械處理(CMP)後缺陷及研磨後缺陷。因此,本文中描述之實施例可用於監測(及可能校正)對樣品執行且導致此等缺陷之任何程序。
本文中描述之實施例經設計以出於數個不同原因尤其有效地用於偵測此等缺陷。例如,此等缺陷趨於相對難以偵測,此係因為其等趨於定位於一實質上有雜訊(例如,粒狀)背景中。在一個此實例中,實質雜訊可歸因於在RDL金屬線雜訊內(其可由過量金屬晶粒引起)而藉由檢測偵測。在另一此實例中,實質雜訊可歸因於由RDL層上或下之透明介電聚合物引起之RDL金屬層間雜訊而藉由檢測偵測。因而,由先前使用之檢測系統報告之錯誤事件對真實致命DOI之比率可實質上高。然而,藉由如本文中描述般訓練低解析度神經網路(其可使用相對高數目個DOI藉由本文中描述之實施例執行),經訓練低解析度神經網路可在不偵測大量擾亂點之情況下偵測此DOI。另外,使用本文中描述之用於偵測此等缺陷之SID方法將減少雜訊之晶粒間缺陷偵測源。
愈來愈多地利用高效能運算(HPC)應用(諸如AI網路連結晶片及場可程式化閘陣列(FPGA)),且用於整合不同功能之先進多晶片封裝可係一快速上市且具成本效益之解決方案而非系統單晶片(SOC)。因此,需要用於先進封裝之遠更密集晶粒間通信輸入/輸出(I/O)。為了滿足此需求,晶粒之間之相對大數目個經註冊路由線導致工業參與者當中對於晶粒間RDL之微型化之一恆定驅動。為了符合未來需求,具有2 um/2 um線/空間之RDL線寬度即將進入批量生產且主動發開開始,其中RDL線寬下降至一亞微米範圍(小於約1微米)。通常言之,HPC晶片之晶粒大小實質上大且良率熟知地低。關鍵良率損耗區域係放置細間距RDL線之處。例如,如今之扇出封裝之範圍係自5 um線及空間(5-5um)及以上,其中2-2 um在工作中。在研究及開發中,一些致力於1-1 um及以下之高端扇出技術,包含能夠支援高頻寬記憶體(HBM)之封裝。針對網路連結/伺服器應用,2-2 um之扇出可很快出現,其中1-1 um定於2020年左右。本文中描述之實施例有利地提供用於在此等裝置中有效且高效地偵測缺陷藉此克服此等裝置之成功生產中之一顯著障礙之系統及方法。
因此,本文中描述之實施例具有優於本文中描述之用於偵測樣品上之缺陷之其他方法及系統(其等之一些在上文描述)之數目優點。另外,本文中描述之步驟可將本文中描述之設定樣品之檢測配方當前所需之兩周之資料蒐集減少至八小時之資料蒐集,接著進行約1至2小時之離線處理。在此階段,訓練可運行整個晶圓之一網路。另外,本文中描述之訓練需要最小使用者干預。例如,一使用者可對最大100個事件分類或塗漆最大100個缺陷。網路將經超調諧以捕獲核心缺陷且抑制真實雜訊。當真實DOI由此網路偵測時,其等可比本文中描述之人工缺陷加權更重且用於微調低解析度神經網路用於DOI偵測及擾亂點率抑制兩者。此微調可在一主動學習方法或方案中執行,其可如本文中進一步描述般執行。
可如在共用擁有之以下美國專利申請公開案中描述般進一步組態本文中描述之實施例:由Karsenti等人在2017年5月18日發表之美國專利申請公開案第2017/0140524號、由Zhang等人在2017年5月25日發表之美國專利申請公開案第2017/0148226號、由Bhaskar等人在2017年7月6日發表之美國專利申請公開案第2017/0193400號、由Zhang等人在2017年7月6日發表之美國專利申請公開案第2017/0193680號、由Bhaskar等人在2017年7月6日發表之美國專利申請公開案第2017/0194126號、由Bhaskar等人在2017年7月13日發表之美國專利申請公開案第2017/0200260號、由Park等人在2017年7月13日發表之美國專利申請公開案第2017/0200264號;由Bhaskar等人在2017年7月13日發表之美國專利申請公開案第2017/0200265號;由Zhang等人在2017年11月30日發表之美國專利申請公開案第2017/0345140號;由Brauer在2019年3月7日發表之美國專利申請公開案第2019/0073566號;及由He等人在2019年3月7日發表之美國專利申請公開案第2019/0073568號,該等案以宛如全文陳述引用之方式併入本文中。可如此等公開案中描述般進一步組態本文中描述之實施例。另外,本文中描述之實施例可經組態以執行此等公開案中描述之任何步驟。
本文中描述之全部實施例可包含將實施例之一或多個步驟之結果儲存於一電腦可讀儲存媒體中。結果可包含本文中描述之任何結果且可以此項技術中已知之任何方式儲存。儲存媒體可包含本文中描述之任何儲存媒體或此項技術中已知之任何其他適合儲存媒體。在已儲存結果之後,結果可在儲存媒體中存取且由本文中描述之任何方法或系統實施例使用,經格式化以顯示給一使用者,由另一軟體模組、方法或系統使用等以執行樣品或另一樣品之一或多個功能。此等功能包含(但不限於):更改諸如已或將以一回饋或前饋方式對樣品(在其上偵測缺陷)執行之一製造程序或步驟之一程序等。
可將上文描述之各系統之各實施例一起組合為一項單一實施例。
另一實施例係關於一種用於訓練用於低解析度影像中之缺陷偵測之一神經網路之電腦實施方法。方法包含使用一檢測工具之高解析度成像子系統及低解析度成像子系統產生一樣品之影像。如本文中進一步描述般組態成像子系統及檢測工具。一或多個組件由一或多個電腦系統執行,且一或多個組件包含一高解析度神經網路及一低解析度神經網路。如本文中進一步描述般組態一或多個組件、一或多個電腦系統以及高解析度神經網路及低解析度神經網路。方法包含本文中進一步描述之產生缺陷影像之訓練集、訓練低解析度神經網路及偵測缺陷步驟。此等步驟由一或多個電腦系統執行。
可如本文中進一步描述般執行方法之各步驟。方法亦可包含可由本文中描述之系統、(若干)電腦系統及/或神經網路執行之(若干)任何其他步驟。可根據本文中描述之任何實施例組態(若干)電腦系統(例如,(若干)電腦子系統102)。另外,上文描述之方法可由本文中描述之任何系統實施例執行。
一額外實施例係關於一種儲存程式指令之非暫時性電腦可讀媒體,該等程式指令可在一或多個電腦系統上執行以執行用於訓練用於低解析度影像中之缺陷偵測之一神經網路之一電腦實施方法。在圖3中展示一項此實施例。特定言之,如圖3中展示,非暫時性電腦可讀媒體300包含可在(若干)電腦系統304上執行之程式指令302。電腦實施方法可包含本文中描述之(若干)任何方法之(若干)任何步驟。
實施諸如本文中描述之方法之方法之程式指令302可儲存於電腦可讀媒體300上。電腦可讀媒體可係一儲存媒體,諸如一磁碟或光碟、一磁帶或此項技術中已知之任何其他適合非暫時性電腦可讀媒體。
可以各種方式(包含基於程序之技術、基於組件之技術及/或物件導向技術等等)之任何者實施程式指令。例如,可視需要使用ActiveX控制項、C++物件、JavaBeans、微軟基礎類別(「MFC」)、SSE (串流SIMD延伸)或其他技術或方法論實施程式指令。
可根據本文中描述之任何實施例組態(若干)電腦系統304。
鑑於此描述,熟習此項技術者將明白本發明之各種態樣之進一步修改及替代實施例。例如,提供用於訓練用於低解析度影像中之缺陷偵測之一神經網路之方法及系統。因此,此描述應僅解釋為闡釋性且係出於教示熟習此項技術者實行本發明之一般方式之目的。應理解,本文中展示及描述之本發明之形式將視為當前較佳實施例。如熟習此項技術者在獲益於本發明之此描述之後將明白,元件及材料可取代本文中繪示及描述之元件及材料,部分及程序可顛倒,且可獨立利用本發明之特定特徵。在不脫離如在以下發明申請專利範圍中描述之本發明之精神及範疇之情況下可對本文中描述之元件做出改變。
10‧‧‧檢測工具 12‧‧‧樣品 14‧‧‧光源 16‧‧‧光學元件 18‧‧‧光束分離器 20‧‧‧透鏡 22‧‧‧載物台 24‧‧‧光束分離器 26‧‧‧光學元件 28‧‧‧偵測器 30‧‧‧光學元件 32‧‧‧偵測器 36‧‧‧電腦子系統 38‧‧‧光源 40‧‧‧光學元件 42‧‧‧光束分類器 44‧‧‧透鏡 46‧‧‧光學元件 48‧‧‧偵測器 100‧‧‧組件 102‧‧‧電腦子系統 104‧‧‧高解析度神經網路 106‧‧‧低解析度神經網路 108‧‧‧半導體製造系統 122‧‧‧電子柱 124‧‧‧電腦子系統 126‧‧‧電子束源 128‧‧‧樣品 130‧‧‧元件 132‧‧‧元件 134‧‧‧偵測器 200‧‧‧高解析度神經網路 202‧‧‧設計資料 204‧‧‧實際晶圓資料 206‧‧‧低解析度神經網路 208‧‧‧經注入缺陷 210‧‧‧經塗漆缺陷 300‧‧‧非暫時性電腦可讀媒體 302‧‧‧程式指令 304‧‧‧電腦系統
在受益於較佳實施例之以下詳細描述的情況下且在參考隨附圖式之後,熟習此項技術者將明白本發明之進一步優點,其中:
圖1及圖1a係繪示如本文中描述般組態之一系統之實施例之側視圖之示意圖;
圖2係繪示可由本文中描述之實施例執行之步驟之一流程圖;及
圖3係繪示儲存用於引起(若干)電腦系統執行本文中描述之一電腦實施方法之程式指令之一非暫時性電腦可讀媒體之一項實施例之一方塊圖。
雖然本發明易於以各種修改及替代形式呈現,但本發明之特定實施例藉由圖式中之實例展示且在本文中加以詳細描述。圖式可不按比例繪製。然而,應理解,圖式及其詳細描述不旨在將本發明限於所揭示之特定形式,而相反,本發明欲涵蓋落於如由隨附發明申請專利範圍界定之本發明之精神及範疇內之全部修改、等效物及替代物。
10‧‧‧檢測工具
12‧‧‧樣品
14‧‧‧光源
16‧‧‧光學元件
18‧‧‧光束分離器
20‧‧‧透鏡
22‧‧‧載物台
24‧‧‧光束分離器
26‧‧‧光學元件
28‧‧‧偵測器
30‧‧‧光學元件
32‧‧‧偵測器
36‧‧‧電腦子系統
38‧‧‧光源
40‧‧‧光學元件
42‧‧‧光束分類器
44‧‧‧透鏡
46‧‧‧光學元件
48‧‧‧偵測器
100‧‧‧組件
102‧‧‧電腦子系統
104‧‧‧高解析度神經網路
106‧‧‧低解析度神經網路
108‧‧‧半導體製造系統

Claims (31)

  1. 一種經組態以訓練用於低解析度影像中之缺陷偵測之一神經網路之系統,其包括: 一檢測工具,其包括一高解析度成像子系統及一低解析度成像子系統,其中該高解析度成像子系統及該低解析度成像子系統包括至少一能量源及一偵測器,其中該能量源經組態以產生經引導至一樣品之能量,且其中該偵測器經組態以偵測來自該樣品之能量且回應於該經偵測能量而產生影像; 一或多個電腦子系統,其等經組態用於獲取由該高解析度成像子系統及該低解析度成像子系統產生之該樣品之該等影像;及 一或多個組件,其等由該一或多個電腦子系統執行,其中該一或多個組件包括一高解析度神經網路及一低解析度神經網路;及 其中該一或多個電腦子系統進一步經組態用於: 產生缺陷影像之一訓練集,其中該等缺陷影像之至少一者由該高解析度神經網路使用由該高解析度成像子系統產生之該等影像之至少一者合成地產生; 使用缺陷影像之該訓練集作為輸入訓練該低解析度神經網路;及 藉由將由該低解析度成像子系統針對另一樣品產生之該等影像輸入至該經訓練低解析度神經網路而偵測該另一樣品上之缺陷。
  2. 如請求項1之系統,其中缺陷影像之該訓練集包括由該低解析度成像子系統之一個以上模式產生之該樣品之影像。
  3. 如請求項2之系統,其中該低解析度成像子系統之該一個以上模式包括該低解析度成像子系統之該等模式之全部。
  4. 如請求項2之系統,其中該一或多個電腦子系統進一步經組態用於基於使用由該低解析度成像子系統之該一個以上模式產生之該等影像訓練該低解析度神經網路之結果選擇用於偵測該另一樣品上之該等缺陷之該低解析度成像子系統之該一個以上模式之一或多者。
  5. 如請求項1之系統,其中該檢測工具經組態為一巨觀檢測工具。
  6. 如請求項1之系統,其中在該另一樣品上偵測之該等缺陷係該另一樣品之一後端層之缺陷。
  7. 如請求項1之系統,其中在該另一樣品上偵測之該等缺陷係該另一樣品之一重佈層之缺陷。
  8. 如請求項1之系統,其中在該另一樣品上偵測之該等缺陷係該另一樣品之一高雜訊層之缺陷。
  9. 如請求項1之系統,其中在該另一樣品上偵測之該等缺陷係包括該另一樣品之金屬線之一層之缺陷。
  10. 如請求項1之系統,其中其上偵測該等缺陷之該另一樣品係一切割後樣品。
  11. 如請求項1之系統,其中該高解析度神經網路及該低解析度神經網路經組態用於單一影像缺陷偵測。
  12. 如請求項1之系統,其中缺陷影像之該訓練集包括該樣品上之一或多個程式化缺陷之一或多個影像,其中該一或多個電腦子系統進一步經組態用於藉由更改該樣品之一設計以在該設計中產生該一或多個程式化缺陷而產生該一或多個程式化缺陷,且其中該經更改設計經印刷於該樣品上以在該樣品上產生該一或多個程式化缺陷。
  13. 如請求項1之系統,其中缺陷之該訓練集包括一或多個合成缺陷之一或多個影像,且其中該一或多個電腦子系統進一步經組態用於:藉由更改該樣品之一設計以在該設計中產生該一或多個合成缺陷而產生該一或多個合成缺陷;基於該設計中之該一或多個合成缺陷而產生該一或多個合成缺陷之經模擬高解析度影像;及將該等經模擬高解析度影像添加至該訓練集。
  14. 如請求項13之系統,其中該一或多個電腦子系統進一步經組態用於使用該高解析度神經網路產生該等經模擬高解析度影像,且其中該高解析度神經網路經組態為一深度生成模型。
  15. 如請求項1之系統,其中缺陷之該訓練集包括一或多個合成缺陷之一或多個影像,其中該一或多個電腦子系統經組態用於藉由更改該樣品之一設計以在該設計中產生該一或多個合成缺陷而產生該一或多個合成缺陷之該一或多個影像,且其中該一或多個電腦子系統進一步經組態用於基於該設計中之該一或多個合成缺陷而產生該一或多個合成缺陷之經模擬低解析度影像。
  16. 如請求項15之系統,其中該一或多個電腦子系統進一步經組態用於使用一深度生成模型產生該等經模擬低解析度影像。
  17. 如請求項15之系統,其中產生該等經模擬低解析度影像係使用一生成對抗網路或一變異性貝氏方法執行。
  18. 如請求項1之系統,其中缺陷之該訓練集包括一或多個合成缺陷,且其中該一或多個電腦子系統進一步經組態用於藉由以下各者產生該一或多個合成缺陷:更改由該高解析度成像子系統產生之該等影像之一或多者及由該低解析度成像子系統產生之該等影像之一或多者以產生一分段影像;基於該分段影像更改由該高解析度成像子系統產生之該等影像之該一或多者;及基於該經更改之一或多個影像產生該一或多個合成缺陷之經模擬低解析度影像。
  19. 如請求項18之系統,其中產生該等經模擬低解析度影像係使用一生成對抗網路或一變異性貝氏方法執行。
  20. 如請求項1之系統,其中該一或多個電腦子系統進一步經組態用於藉由更改由該高解析度成像子系統針對該樣品產生之該等影像之該至少一者以產生已知所關注缺陷之高解析度影像而合成地產生該等缺陷影像之該至少一者。
  21. 如請求項1之系統,其中缺陷影像之該訓練集包括藉由對該樣品執行已知引起該樣品上之一或多個人工缺陷之一程序而產生之該樣品上之該一或多個人工缺陷之一或多個影像。
  22. 如請求項1之系統,其中缺陷影像之該訓練集包括在由該高解析度成像子系統產生之該等影像之一或多者中在該樣品上偵測之一或多個缺陷。
  23. 如請求項22之系統,其中該一或多個電腦子系統進一步經組態用於藉由單一影像偵測在由該高解析度成像子系統產生之該等影像中偵測該樣品上之該等缺陷。
  24. 如請求項22之系統,其中該一或多個電腦子系統進一步經組態用於藉由晶粒對資料庫偵測在由該高解析度成像子系統產生之該等影像中偵測該樣品上之該等缺陷。
  25. 如請求項1之系統,其中該檢測工具經組態用於掃描該樣品上之掃描帶同時偵測來自該樣品之能量,且其中該一或多個電腦子系統進一步經組態用於擷取且儲存由該高解析度成像子系統產生之該等影像之該等掃描帶之至少三者使得該等掃描帶之該至少三者可用於產生缺陷影像之該訓練集。
  26. 如請求項1之系統,其中該一或多個電腦子系統進一步經組態用於訓練該高解析度神經網路,且其中訓練該高解析度神經網路及訓練該低解析度神經網路係使用一生成對抗網路或一變異性貝氏方法執行。
  27. 如請求項1之系統,其中該高解析度神經網路經組態為一半監督式深度學習架構。
  28. 如請求項1之系統,其中該低解析度神經網路經組態為一半監督式深度學習架構。
  29. 如請求項1之系統,其中由該低解析度成像子系統產生且由該一或多個電腦子系統擷取之該等影像包括透過聚焦獲取之影像,其中該一或多個電腦子系統進一步經組態用於將透過聚焦獲取之該等影像映射至由該高解析度成像子系統產生之該等影像,且其中訓練該低解析度神經網路係基於訓練該高解析度神經網路之結果及該映射之結果而進一步執行。
  30. 一種儲存程式指令之非暫時性電腦可讀媒體,該等程式指令可在一或多個電腦系統上執行以執行用於訓練用於低解析度影像中之缺陷偵測之一神經網路之一電腦實施方法,其中該電腦實施方法包括: 使用一檢測工具之高解析度成像子系統及低解析度成像子系統產生一樣品之影像,其中該高解析度成像子系統及該低解析度成像子系統包括至少一能量源及一偵測器,其中該能量源經組態以產生經引導至該樣品之能量,且其中該偵測器經組態以偵測來自該樣品之能量且回應於該經偵測能量而產生影像; 其中一或多個組件由該一或多個電腦系統執行,且其中該一或多個組件包括一高解析度神經網路及一低解析度神經網路; 產生缺陷影像之一訓練集,其中該等缺陷影像之至少一者由該高解析度神經網路使用由該高解析度成像子系統產生之該等影像之至少一者合成地產生; 使用缺陷影像之該訓練集作為輸入而訓練該低解析度神經網路;及 藉由將由該低解析度成像子系統針對另一樣品產生之該等影像輸入至該經訓練低解析度神經網路中而偵測該另一樣品上之缺陷,其中產生該訓練集、訓練該低解析度神經網路及偵測該等缺陷由該一或多個電腦系統執行。
  31. 一種用於訓練用於低解析度影像中之缺陷偵測之一神經網路之電腦實施方法,其包括: 使用一檢測工具之高解析度成像子系統及低解析度成像子系統產生一樣品之影像,其中該高解析度成像子系統及該低解析度成像子系統包括至少一能量源及一偵測器,其中該能量源經組態以產生經引導至該樣品之能量,且其中該偵測器經組態以偵測來自該樣品之能量且回應於該經偵測能量而產生影像; 其中一或多個組件由一或多個電腦系統執行,且其中該一或多個組件包括一高解析度神經網路及一低解析度神經網路; 產生缺陷影像之一訓練集,其中該等缺陷影像之至少一者由該高解析度神經網路使用由該高解析度成像子系統產生之該等影像之至少一者合成地產生; 使用缺陷影像之該訓練集作為輸入而訓練該低解析度神經網路;及 藉由將由該低解析度成像子系統針對另一樣品產生之該等影像輸入至該經訓練低解析度神經網路中而偵測該另一樣品上之缺陷,其中產生該訓練集、訓練該低解析度神經網路及偵測該等缺陷由該一或多個電腦系統執行。
TW108111060A 2018-03-28 2019-03-28 訓練用於低解析度影像中之缺陷偵測之神經網路 TWI773888B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862649443P 2018-03-28 2018-03-28
US62/649,443 2018-03-28
US16/364,140 US10599951B2 (en) 2018-03-28 2019-03-25 Training a neural network for defect detection in low resolution images
US16/364,140 2019-03-25

Publications (2)

Publication Number Publication Date
TW201945861A TW201945861A (zh) 2019-12-01
TWI773888B true TWI773888B (zh) 2022-08-11

Family

ID=68056375

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108111060A TWI773888B (zh) 2018-03-28 2019-03-28 訓練用於低解析度影像中之缺陷偵測之神經網路

Country Status (7)

Country Link
US (1) US10599951B2 (zh)
EP (1) EP3762961A4 (zh)
JP (1) JP7122386B2 (zh)
KR (1) KR102390313B1 (zh)
CN (1) CN111819676B (zh)
TW (1) TWI773888B (zh)
WO (1) WO2019191346A1 (zh)

Families Citing this family (73)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11113807B2 (en) * 2017-01-12 2021-09-07 Eos Gmbh Electro Optical Systems Method of detecting process irregularities by means of volume image data of the manufactured object
TWI653605B (zh) * 2017-12-25 2019-03-11 由田新技股份有限公司 利用深度學習的自動光學檢測方法、設備、電腦程式、電腦可讀取之記錄媒體及其深度學習系統
US10846845B2 (en) * 2018-07-25 2020-11-24 Fei Company Training an artificial neural network using simulated specimen images
US10867108B2 (en) * 2018-09-18 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Optical mode optimization for wafer inspection
US10964006B2 (en) * 2018-11-16 2021-03-30 Artifical Intelligence Foundation, Inc Identification of neural-network-generated fake images
US10964015B2 (en) 2019-01-15 2021-03-30 International Business Machines Corporation Product defect detection
JP2020160616A (ja) * 2019-03-25 2020-10-01 ブラザー工業株式会社 生成装置、コンピュータプログラム、生成方法
US11551348B2 (en) 2019-04-09 2023-01-10 KLA Corp. Learnable defect detection for semiconductor applications
US11521309B2 (en) 2019-05-30 2022-12-06 Bruker Nano, Inc. Method and apparatus for rapid inspection of subcomponents of manufactured component
US11636584B2 (en) * 2019-06-14 2023-04-25 Zhejiang University Real-time traceability method of width of defect based on divide-and-conquer
US11948292B2 (en) * 2019-07-02 2024-04-02 MakinaRocks Co., Ltd. Systems and methods for detecting flaws on panels using images of the panels
CN113906451A (zh) * 2019-08-19 2022-01-07 Lg电子株式会社 基于ai的预训练模型决定***及利用该***的用于产品生产线的基于ai的视觉检查管理***
CN112686831B (zh) * 2019-10-17 2024-06-14 神讯电脑(昆山)有限公司 基于人工神经网络的物件表面型态的检测方法
CN110880176B (zh) * 2019-11-19 2022-04-26 浙江大学 一种基于对抗生成网络的半监督工业图像缺陷分割方法
CN110991299B (zh) * 2019-11-27 2023-03-14 中新国际联合研究院 一种物理域上针对人脸识别***的对抗样本生成方法
CN111104967B (zh) * 2019-12-02 2023-12-22 精锐视觉智能科技(上海)有限公司 图像识别网络训练方法、图像识别方法、装置及终端设备
TWI732370B (zh) 2019-12-04 2021-07-01 財團法人工業技術研究院 神經網路模型的訓練裝置和訓練方法
CN111198815B (zh) * 2019-12-24 2023-11-03 中移(杭州)信息技术有限公司 用户界面的兼容性测试方法及装置
CN113112442A (zh) * 2019-12-25 2021-07-13 精锐视觉智能科技(深圳)有限公司 缺陷检测方法、装置及终端设备
CN111145162B (zh) * 2019-12-30 2023-04-18 南京诚芯集成电路技术研究院有限公司 一种基于迁移学习确定euv掩膜版缺陷尺寸的方法
US11449711B2 (en) * 2020-01-02 2022-09-20 Applied Materials Isreal Ltd. Machine learning-based defect detection of a specimen
CN111260621B (zh) * 2020-01-14 2023-05-09 湖南大学 一种印制电路板表面缺陷定位与识别方法
US11256967B2 (en) * 2020-01-27 2022-02-22 Kla Corporation Characterization system and method with guided defect discovery
JP2021124379A (ja) * 2020-02-05 2021-08-30 大石測器株式会社 表面検査装置及び表面検査方法
CN115104067A (zh) * 2020-02-14 2022-09-23 Asml荷兰有限公司 确定光刻匹配性能
US11961219B2 (en) * 2020-02-27 2024-04-16 KLA Corp. Generative adversarial networks (GANs) for simulating specimen images
US11675340B2 (en) * 2020-04-08 2023-06-13 Nanya Technology Corporation System and method for controlling semiconductor manufacturing apparatus
US11379972B2 (en) * 2020-06-03 2022-07-05 Applied Materials Israel Ltd. Detecting defects in semiconductor specimens using weak labeling
WO2021250884A1 (ja) * 2020-06-12 2021-12-16 株式会社日立ハイテク 欠陥検査のための方法、システム、及びコンピューター可読媒体
EP3923193B1 (de) 2020-06-12 2024-03-27 Robert Bosch GmbH Messung der empfindlichkeit von bildklassifikatoren gegen veränderungen des eingabebildes
TWI758762B (zh) * 2020-06-24 2022-03-21 萬里雲互聯網路有限公司 兼顧不平衡資料集與高召回率要求的對抗樣本生成方法、系統及電腦可讀取記錄媒體
US11232550B2 (en) * 2020-06-29 2022-01-25 Applied Materials Israel Ltd. Generating a training set usable for examination of a semiconductor specimen
CN111783338B (zh) * 2020-06-30 2023-04-21 平安国际智慧城市科技股份有限公司 基于人工智能的微观组织金属强度分布预测方法及装置
CN111768404A (zh) * 2020-07-08 2020-10-13 北京滴普科技有限公司 口罩外观缺陷检测***、方法、装置以及存储介质
CN111915622B (zh) * 2020-07-09 2024-01-23 沈阳先进医疗设备技术孵化中心有限公司 一种图像分割网络模型的训练及图像分割方法、装置
US11328410B2 (en) * 2020-08-03 2022-05-10 KLA Corp. Deep generative models for optical or other mode selection
US11776108B2 (en) 2020-08-05 2023-10-03 KLA Corp. Deep learning based defect detection
CN112116560B (zh) * 2020-08-20 2023-09-26 华南理工大学 一种焊接图像缺陷识别方法、装置、存储介质及设备
US11727052B2 (en) 2020-09-03 2023-08-15 General Electric Company Inspection systems and methods including image retrieval module
US11507616B2 (en) 2020-09-03 2022-11-22 General Electric Company Inspection systems and methods including image retrieval module
US11900581B2 (en) 2020-09-22 2024-02-13 Future Dial, Inc. Cosmetic inspection system
US11836912B2 (en) * 2020-09-22 2023-12-05 Future Dial, Inc. Grading cosmetic appearance of a test object based on multi-region determination of cosmetic defects
US20220114438A1 (en) * 2020-10-09 2022-04-14 Kla Corporation Dynamic Control Of Machine Learning Based Measurement Recipe Optimization
CN112200797B (zh) * 2020-10-28 2024-04-05 佛山市南海区广工大数控装备协同创新研究院 一种基于pcb噪声标注数据的有效训练方法
CN112557399B (zh) * 2020-11-30 2022-11-22 河北白沙烟草有限责任公司 一种烟机设备质量检测***点检方法及装置
AU2020281143B1 (en) * 2020-12-04 2021-03-25 Commonwealth Scientific And Industrial Research Organisation Creating super-resolution images
US12019032B2 (en) 2020-12-07 2024-06-25 Nanya Technology Corporation Electronic system and method of specimen qualification
CN112685504B (zh) * 2021-01-06 2021-10-08 广东工业大学 一种面向生产过程的分布式迁移图学习方法
CN114764774A (zh) * 2021-01-12 2022-07-19 富泰华工业(深圳)有限公司 瑕疵检测方法、装置、电子设备及计算机可读存储介质
CN112818764B (zh) * 2021-01-15 2023-05-02 西安交通大学 一种基于特征重建模型的低分辨率图像人脸表情识别方法
CN114862740A (zh) * 2021-01-18 2022-08-05 富泰华工业(深圳)有限公司 瑕疵检测方法、装置、电子设备及计算机可读存储介质
TW202238110A (zh) * 2021-02-23 2022-10-01 以色列商奧寶科技有限公司 使用混合成像系統之自動光學檢測
KR102588298B1 (ko) 2021-03-16 2023-10-12 한국과학기술원 조작 종류 판별 장치 및 방법
CN112967267B (zh) * 2021-03-23 2024-01-23 湖南珞佳智能科技有限公司 一种全卷积神经网络的激光定向能量沉积溅射计数方法
CN113052865B (zh) * 2021-04-16 2023-12-19 南通大学 一种基于图像相似度的输电线路小样本温度图像扩增方法
CN113095438B (zh) * 2021-04-30 2024-03-15 上海众壹云计算科技有限公司 晶圆缺陷分类方法及其装置、***、电子设备和存储介质
CN113450307B (zh) * 2021-05-12 2023-07-25 西安电子科技大学 一种产品边缘缺陷检测方法
US20220374720A1 (en) * 2021-05-18 2022-11-24 Samsung Display Co., Ltd. Systems and methods for sample generation for identifying manufacturing defects
TWI782539B (zh) * 2021-05-21 2022-11-01 聯策科技股份有限公司 智慧化加工之方法與系統
EP4102318A1 (en) * 2021-06-10 2022-12-14 Siemens Aktiengesellschaft A method of virtually inspecting a quality of a product
CN113344886A (zh) * 2021-06-11 2021-09-03 长江存储科技有限责任公司 晶圆表面缺陷检测方法和设备
CN114119557B (zh) * 2021-11-29 2024-04-26 哈尔滨工业大学 一种基于卷积神经网络的光学元件快速暗场检测方法
US20230196732A1 (en) * 2021-12-20 2023-06-22 Kla Corporation Machine learning using a global texture characteristic for semiconductor-based applications
KR102428326B1 (ko) * 2021-12-21 2022-08-02 서울시립대학교 산학협력단 인공지능 기반의 결함 탐지 방법 및 시스템
WO2023127081A1 (ja) * 2021-12-28 2023-07-06 株式会社日立ハイテク 画像検査装置、画像処理方法
TWI795153B (zh) * 2021-12-29 2023-03-01 國立成功大學 網路惡意流量分析之平衡式資料集生成與處理系統及其方法
CN114399790B (zh) * 2021-12-31 2022-11-18 中国科学院大学 一种基于非配准多模态数据的微小人物检测方法、介质和设备
DE102022205835A1 (de) 2022-06-08 2023-12-14 Robert Bosch Gesellschaft mit beschränkter Haftung Verfahren zum Zuordnen von wenigstens einem Algorithmus des maschinellen Lernens eines Ensemble-Algorithmus des maschinellen Lernens zu einem von wenigstens zwei Rechenknoten zur Ausführung
CN115345782A (zh) * 2022-08-12 2022-11-15 腾讯科技(深圳)有限公司 图像处理方法、装置、计算机、可读存储介质及程序产品
WO2024088665A1 (en) * 2022-10-23 2024-05-02 Asml Netherlands B.V. Training a machine learning model to predict images representative of defects on a substrate
CN115631178B (zh) * 2022-11-03 2023-11-10 昆山润石智能科技有限公司 自动晶圆缺陷检测方法、***、设备及存储介质
CN115713653B (zh) * 2022-11-10 2023-10-10 中国铁塔股份有限公司黑龙江省分公司 塔桅结构破损位置图像识别方法
CN117689966B (zh) * 2024-02-04 2024-05-24 中国科学院深圳先进技术研究院 一种基于量子贝叶斯神经网络的磁共振图像分类方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8144943B2 (en) * 2006-07-26 2012-03-27 Canon Kabushiki Kaisha Apparatus and method for detecting specific subject in image
US20170161884A1 (en) * 2015-12-03 2017-06-08 International Business Machines Corporation Work-piece defect inspection via optical images and ct images
TW201734895A (zh) * 2016-01-04 2017-10-01 克萊譚克公司 針對半導體應用由低解析度影像產生高解析度影像

Family Cites Families (49)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6466314B1 (en) * 1998-09-17 2002-10-15 Applied Materials, Inc. Reticle design inspection system
US6891627B1 (en) 2000-09-20 2005-05-10 Kla-Tencor Technologies Corp. Methods and systems for determining a critical dimension and overlay of a specimen
US6902855B2 (en) 2002-07-15 2005-06-07 Kla-Tencor Technologies Qualifying patterns, patterning processes, or patterning apparatus in the fabrication of microlithographic patterns
KR100979484B1 (ko) 2002-07-15 2010-09-02 케이엘에이-텐코 코포레이션 다른 리소그래픽 과정 변수들을 위한 레티클의 가상 이미지를 얻는 것을 포함하는 결점 조사 방법
JP2004354251A (ja) 2003-05-29 2004-12-16 Nidek Co Ltd 欠陥検査装置
US7409372B2 (en) * 2003-06-20 2008-08-05 Hewlett-Packard Development Company, L.P. Neural network trained with spatial errors
US7729529B2 (en) 2004-12-07 2010-06-01 Kla-Tencor Technologies Corp. Computer-implemented methods for detecting and/or sorting defects in a design pattern of a reticle
WO2006137253A1 (ja) * 2005-06-22 2006-12-28 Matsushita Electric Industrial Co., Ltd. 画像生成装置および画像生成方法
US7769225B2 (en) 2005-08-02 2010-08-03 Kla-Tencor Technologies Corp. Methods and systems for detecting defects in a reticle design pattern
US7570796B2 (en) * 2005-11-18 2009-08-04 Kla-Tencor Technologies Corp. Methods and systems for utilizing design data in combination with inspection data
US7676077B2 (en) 2005-11-18 2010-03-09 Kla-Tencor Technologies Corp. Methods and systems for utilizing design data in combination with inspection data
US8698093B1 (en) 2007-01-19 2014-04-15 Kla-Tencor Corporation Objective lens with deflector plates immersed in electrostatic lens field
US8213704B2 (en) 2007-05-09 2012-07-03 Kla-Tencor Corp. Methods and systems for detecting defects in a reticle design pattern
US7782452B2 (en) 2007-08-31 2010-08-24 Kla-Tencor Technologies Corp. Systems and method for simultaneously inspecting a specimen with two distinct channels
US8126255B2 (en) * 2007-09-20 2012-02-28 Kla-Tencor Corp. Systems and methods for creating persistent data for a wafer and for using persistent data for inspection-related functions
US8041106B2 (en) 2008-12-05 2011-10-18 Kla-Tencor Corp. Methods and systems for detecting defects on a reticle
JP5297261B2 (ja) * 2009-04-28 2013-09-25 株式会社日立ハイテクノロジーズ 観察欠陥選択処理方法、欠陥観察方法、観察欠陥選択処理装置、欠陥観察装置
US8577820B2 (en) * 2011-03-04 2013-11-05 Tokyo Electron Limited Accurate and fast neural network training for library-based critical dimension (CD) metrology
US8664594B1 (en) 2011-04-18 2014-03-04 Kla-Tencor Corporation Electron-optical system for high-speed and high-sensitivity inspections
US8692204B2 (en) 2011-04-26 2014-04-08 Kla-Tencor Corporation Apparatus and methods for electron beam detection
US8716662B1 (en) * 2012-07-16 2014-05-06 Kla-Tencor Corporation Methods and apparatus to review defects using scanning electron microscope with multiple electron beam configurations
US9222895B2 (en) * 2013-02-25 2015-12-29 Kla-Tencor Corp. Generalized virtual inspector
CN103196915B (zh) * 2013-02-26 2015-05-27 无锡微焦科技有限公司 一种物体探测***
US9613411B2 (en) 2014-03-17 2017-04-04 Kla-Tencor Corp. Creating defect classifiers and nuisance filters
US9816940B2 (en) 2015-01-21 2017-11-14 Kla-Tencor Corporation Wafer inspection with focus volumetric method
US10012599B2 (en) * 2015-04-03 2018-07-03 Kla-Tencor Corp. Optical die to database inspection
CN106248684B (zh) * 2015-06-03 2019-12-17 法国圣戈班玻璃公司 用于检测透明基底的内部瑕疵的光学装置及方法
US10062543B2 (en) * 2015-06-23 2018-08-28 Kla-Tencor Corp. Determining multi-patterning step overlay error
US10186026B2 (en) 2015-11-17 2019-01-22 Kla-Tencor Corp. Single image detection
US9965901B2 (en) 2015-11-19 2018-05-08 KLA—Tencor Corp. Generating simulated images from design information
TWI797699B (zh) * 2015-12-22 2023-04-01 以色列商應用材料以色列公司 半導體試樣的基於深度學習之檢查的方法及其系統
US9916965B2 (en) 2015-12-31 2018-03-13 Kla-Tencor Corp. Hybrid inspectors
US11580375B2 (en) 2015-12-31 2023-02-14 Kla-Tencor Corp. Accelerated training of a machine learning based model for semiconductor applications
US10416087B2 (en) * 2016-01-01 2019-09-17 Kla-Tencor Corporation Systems and methods for defect detection using image reconstruction
US10360477B2 (en) 2016-01-11 2019-07-23 Kla-Tencor Corp. Accelerating semiconductor-related computations using learning based models
US10181185B2 (en) 2016-01-11 2019-01-15 Kla-Tencor Corp. Image based specimen process control
US10043261B2 (en) 2016-01-11 2018-08-07 Kla-Tencor Corp. Generating simulated output for a specimen
US10210613B2 (en) * 2016-05-12 2019-02-19 Siemens Healthcare Gmbh Multiple landmark detection in medical images based on hierarchical feature learning and end-to-end training
US10395356B2 (en) 2016-05-25 2019-08-27 Kla-Tencor Corp. Generating simulated images from input images for semiconductor applications
US10346740B2 (en) * 2016-06-01 2019-07-09 Kla-Tencor Corp. Systems and methods incorporating a neural network and a forward physical model for semiconductor applications
US10319076B2 (en) * 2016-06-16 2019-06-11 Facebook, Inc. Producing higher-quality samples of natural images
CN106127684B (zh) * 2016-06-22 2019-03-15 中国科学院自动化研究所 基于双向递归卷积神经网络的图像超分辨率增强方法
US10115040B2 (en) 2016-09-14 2018-10-30 Kla-Tencor Corporation Convolutional neural network-based mode selection and defect classification for image fusion
US10482639B2 (en) * 2017-02-21 2019-11-19 Adobe Inc. Deep high-resolution style synthesis
US10713534B2 (en) 2017-09-01 2020-07-14 Kla-Tencor Corp. Training a learning based defect classifier
US10607119B2 (en) * 2017-09-06 2020-03-31 Kla-Tencor Corp. Unified neural network for defect detection and classification
CN107767343B (zh) * 2017-11-09 2021-08-31 京东方科技集团股份有限公司 图像处理方法、处理装置和处理设备
US10169852B1 (en) * 2018-07-03 2019-01-01 Nanotronics Imaging, Inc. Systems, devices, and methods for providing feedback on and improving the accuracy of super-resolution imaging
US10460169B1 (en) * 2019-01-14 2019-10-29 Sourcewater, Inc. Image processing of aerial imagery for energy infrastructure analysis using joint image identification

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8144943B2 (en) * 2006-07-26 2012-03-27 Canon Kabushiki Kaisha Apparatus and method for detecting specific subject in image
US20170161884A1 (en) * 2015-12-03 2017-06-08 International Business Machines Corporation Work-piece defect inspection via optical images and ct images
US9721334B2 (en) * 2015-12-03 2017-08-01 International Business Machines Corporation Work-piece defect inspection via optical images and CT images
TW201734895A (zh) * 2016-01-04 2017-10-01 克萊譚克公司 針對半導體應用由低解析度影像產生高解析度影像

Also Published As

Publication number Publication date
TW201945861A (zh) 2019-12-01
KR102390313B1 (ko) 2022-04-25
US10599951B2 (en) 2020-03-24
EP3762961A4 (en) 2021-12-08
KR20200128162A (ko) 2020-11-11
CN111819676A (zh) 2020-10-23
US20190303717A1 (en) 2019-10-03
EP3762961A1 (en) 2021-01-13
JP2021518597A (ja) 2021-08-02
CN111819676B (zh) 2022-01-14
WO2019191346A1 (en) 2019-10-03
JP7122386B2 (ja) 2022-08-19

Similar Documents

Publication Publication Date Title
TWI773888B (zh) 訓練用於低解析度影像中之缺陷偵測之神經網路
JP6893514B2 (ja) ハイブリッドインスペクタ
CN108475351B (zh) 用于训练基于机器学习的模型的***和计算机实施方法
TWI731016B (zh) 用於半導體應用之以機器學習之模型為基礎之加速訓練
EP3465174B1 (en) Generating simulated images from input images for semiconductor applications
TWI734724B (zh) 針對半導體應用由低解析度影像產生高解析度影像之系統、方法及非暫時性電腦可讀媒體
US10186026B2 (en) Single image detection
US9965901B2 (en) Generating simulated images from design information
TWI713672B (zh) 為樣品產生模擬輸出之系統,非暫時性電腦可讀媒體及電腦實施方法
JP2019537839A (ja) 半導体用途向けに構成された深層学習モデルのための診断システムおよび方法
KR20180091944A (ko) 광학 다이 대 데이터베이스 검사