JP2019094566A - フッ素プラズマに対する保護に適した保護コーティングを有するチャンバ構成要素 - Google Patents

フッ素プラズマに対する保護に適した保護コーティングを有するチャンバ構成要素 Download PDF

Info

Publication number
JP2019094566A
JP2019094566A JP2018238045A JP2018238045A JP2019094566A JP 2019094566 A JP2019094566 A JP 2019094566A JP 2018238045 A JP2018238045 A JP 2018238045A JP 2018238045 A JP2018238045 A JP 2018238045A JP 2019094566 A JP2019094566 A JP 2019094566A
Authority
JP
Japan
Prior art keywords
coating
chamber
plasma
lanthanum
fluorine
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2018238045A
Other languages
English (en)
Inventor
ソン ティー グエン
T Nguyen Son
ソン ティー グエン
マイケル フォン
Fong Michael
マイケル フォン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2019094566A publication Critical patent/JP2019094566A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Physics & Mathematics (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Plasma Technology (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)

Abstract

【課題】チャンバ構成要素を、腐食性環境内で腐食または劣化から保護する保護コーティングを備えた、プラズマ処理チャンバで用いる装置の提供。【解決手段】窒化アルミニウムを含む表面を含むチャンバ構成要素の表面上に、マグネシウム含有前駆体、ランタン含有前駆体及び酸素前駆体、フッ素前駆体を供給し、CVDプロセスを実施して酸化マグネシウム、酸化ランタン、またはフッ化ランタンを含むコーティングを備えた装置。【選択図】図3

Description

本明細書に記載する実施形態は、下にあるチャンバ構成要素(すなわち、上にコーティングを堆積させている物体)または構成要素部分を腐食性環境内で腐食または劣化から保護する、保護コーティングに関する。
半導体チャンバ構成要素処理システムでは、処理チャンバの内部がしばしば、さまざまな腐食性環境または反応性環境に晒される。こうした反応性環境は、Cl2などの安定した腐食性ガス、またはプロセス反応から発生するラジカルもしくは副生成物を含む他の反応性化学種のいずれかから生じ得る。エッチングや化学気相堆積(CVD:chemical vapor deposition)などのプラズマ処理応用例では、反応性化学種はまた、それ自体は腐食性または反応性であることもそうでないこともある、他の分子の解離を通じて発生する。プロセス性能、および処理チャンバまたはチャンバ内の構成要素の耐久性を確保するために、保護および耐食の措置が必要とされている。
チャンバまたはチャンバ内の構成要素の腐食を低減させると、チャンバ内の望ましくない粒子の存在も低減する。例えば、ニッケルめっきした構成要素がしばしば、Cl2による腐食を防止するために処理チャンバ内で使用される。とりわけNF3やCHF3などのフッ素含有ガスは、原子状フッ素(F)を生じさせ、これは高反応性である。高温CVDプロセスではしばしば、フッ素ベースの洗浄ガスなどの元素から攻撃を受けやすいAlNヒータを使用する。例えば、AlN製のセラミックヒータは、ある特定のチャンバ構成要素処理システム内で洗浄ガスとしてしばしば使用されるNF3により攻撃される。AlNヒータは一般に高価であり、したがって、ヒータ表面の有効寿命を延ばし、チャンバ内の望ましくない粒子の存在を低減することが望ましい。
したがって、フッ素などの元素に対する耐性が改善されたセラミックヒータなどの半導体処理構成要素が、当技術分野で必要とされている。
本明細書に記載する実施形態は一般に、半導体チャンバ構成要素のための保護コーティングに関する。より詳細には、本明細書に記載する実施形態は、フッ素プラズマに対する耐性を高めるのに適した、窒化アルミニウム(AlN)ヒータの保護コーティングに関する。
一実施形態では、半導体処理チャンバで用いるチャンバ構成要素が提供される。チャンバ構成要素は、窒化アルミニウムからなる表面を有する。表面はコーティングを有し、コーティングは、フッ化マグネシウムまたはフッ化ランタンのいずれかを含む。
別の実施形態では、半導体処理チャンバで用いるチャンバ構成要素上にコーティングを形成する方法が提供される。方法は、チャンバ構成要素の表面上にコーティングを堆積させることを含む。コーティングは、酸化マグネシウム、酸化ランタン、またはフッ化ランタンのうちの少なくとも1つを含む。コーティングは、フッ素含有プラズマに晒してもよい。
本発明の上で列挙した特徴を詳細に理解することができるように、上で簡潔に要約した本発明のより詳細な説明を、添付の図面にそのいくつかが示されている実施形態を参照して行うことができる。しかし、添付の図面は、本発明の典型的な実施形態を示すにすぎず、したがって、本発明の範囲を限定するものとは、本発明が他の等しく効果的な実施形態を許容できるので、見なすべきでないことに留意されたい。
全体的に腐食性または反応性の環境に晒される、従来型の処理チャンバの部分断面図である。 保護コーティングを有した状態で腐食性環境に晒されている処理チャンバ構成要素の部分断面図である。 プラズマ処理チャンバ内で保護コーティングを配設した、処理チャンバ構成要素を有する、本発明のいくつかの実施形態を示す図である。 チャンバ構成要素上に保護コーティングを形成するための方法ステップを示す図である。
理解しやすくする目的で、これらの図に共通の同一要素を示すために、可能な限り同一の参照番号が使用されている。ある実施形態の要素および特徴を、さらに列挙しなくても他の実施形態に有利に組み込めることが企図されている。
本明細書に記載する実施形態は、下にあるチャンバ構成要素(すなわち、上にコーティングを堆積させている物体)または構成要素部分を腐食性環境内で腐食または劣化から保護する、保護コーティングに関する。
図1A〜Bは、チャンバ構成要素に対するコーティングの保護効果を概略的に示す。図1Aは、全体的に腐食性または反応性の環境110に晒される、従来型の処理チャンバ構成要素100の部分断面図を示す。例えば、チャンバ構成要素100は、周囲環境110に含まれる化学種による攻撃を受けることがあり、その結果、穴102または他の欠陥104が、チャンバ構成要素100の表面101上に形成されるおそれがある。反応性環境110に応じて、チャンバ構成要素100の劣化は、化学的攻撃によって引き起こされることも、物理的攻撃によって引き起こされることもあり、必ずしも図1Aに示すような容易に目に見える欠陥をもたらすとは限らない可能性がある。例えば、チャンバ構成要素100の化学的特性または物理的特性が、環境110に含まれるフッ素(F)などの化学種、または他の反応性化学種(全体的に「X」と表記される)と、チャンバ構成要素100との間の化学反応によって変更されることも、高エネルギー化学種(すなわち+イオンおよび−イオン)の物理的衝撃によって変更されることもある。
図1Bは、チャンバ構成要素190上にコーティング150が形成された後に腐食性環境110に晒されている、チャンバ構成要素190の断面図を示す。本発明のコーティング150は、反応性環境または腐食性環境110による攻撃に耐性があり、下にあるチャンバ構成要素190の劣化を低減させる、または回避することができる。チャンバ構成要素190は、ペデスタル、サセプタ、リフトピン、ライナ、ヒータ、静電チャック、シールド、エッジリング、シャワーヘッド、ドーム、チャンバ本体、または他のチャンバ構成要素であってよい。
一実施形態では、コーティング150は、酸化マグネシウム(MgO)または酸化ランタン(La23)のいずれかを含む。別の実施形態では、コーティングは、フッ化ランタン(LaF3)を含む。コーティング150を使用して、プラズマ環境に晒されることのあるチャンバ構成要素190の内部表面をコーティングすることができる。例えば、コーティング150を、CVDチャンバ内で使用されるセラミックヒータなどの、アルミニウム(Al)チャンバ構成要素または窒化アルミニウム(AlN)チャンバ構成要素に施すことができる。AlおよびAlNは典型的に、高温CVDプロセス環境に繰り返し晒されると、経時的に腐食および劣化する。コーティング150は、ヒータ表面が腐食性環境110に晒されたときにその腐食を防止する。腐食性環境110の例には、400℃を上回る温度でフッ素含有プラズマが存在する状態があり得る。
MgO、La23、またはLaF3のいずれかからなるコーティング150は、チャンバ構成要素上にさまざまなプロセスによって形成することができる。コーティングプロセスには一般に、例えば、物理的気相堆積(PVD)、CVD、プラズマCVD(PECVD)、ハイブリッドCVD、原子層堆積(ALD)、電子ビーム蒸着、またはチャンバ構成要素上にコーティングを堆積させるのに適した他のプロセスなどの、高温蒸発およびスパッタリングがある。しかし、コーティングプロセスを特定することは、望ましい腐食防止特性を有する高品質のコーティングをプロセスがもたらす限り、本明細書に記載する実施形態の実施にとって決定的に重要ではない。
一実施形態では、コーティングプロセスをCVDプロセスによって実施して、チャンバまたはチャンバ構成要素部分をコーティングすることができる。CVD堆積は、有利には、チャンバまたはチャンバ構成要素部分が、CVD堆積プロセスが共形性である結果として非平面トポグラフィを呈する場合に、実施することができる。CVD堆積は、実質的に平面の表面上に堆積させるのにも適している。CVDコーティングプロセスを用いる一実施形態では、MgOを含むコーティング150をチャンバ構成要素190上に、マグネシウム含有前駆体および酸素含有前駆体を供給することによって形成することができる。CVDコーティングプロセスを用いる一実施形態では、La23を含むコーティング150をチャンバ構成要素190上に、ランタン含有前駆体および酸素含有前駆体を供給することによって形成することができる。
CVDコーティングプロセスを用いる一実施形態では、LaF3を含むコーティング150をチャンバ構成要素190上に、ランタン含有前駆体およびフッ素含有前駆体を供給することによって形成することができる。上記の実施形態すべてにおいて、前駆体は、所望のコーティングをもたらすのに適したどんな前駆体でもよい。さらに、不活性ガスなどのキャリアガスを、堆積前駆体ガスと一緒に供給することもできる。
図2は、コーティング150がチャンバ構成要素190上に形成される、本発明のいくつかの実施形態を示す。図示の実施形態では、保護コーティング150は、基板支持体210の形をとるチャンバ構成要素190上に配設される。より詳細には、基板支持体210は、AlN製であり、かつ埋込み型ヒータを有する、セラミック本体を備えることができる。基板支持体210をCVDチャンバなどのプラズマ処理チャンバ250で使用して、チャンバ構成要素を高い処理温度まで加熱することができる。基板支持体210のどんな露出表面も、プロセスガスに含まれる成分や、NF3などのチャンバ洗浄ガスを含有するプラズマなどの腐食性環境110に晒されるとすぐに、攻撃を受ける。
酸化物(すなわちSiO2)堆積用に構成されたCVDチャンバでは、チャンバ250の内側で、チャンバ構成要素の表面上と、チャンバ250の内部表面252、および基板支持体210などの他のチャンバ構成要素上の両方に、酸化物が堆積する。効率的なプロセスおよびチャンバ動作を維持するために、内部チャンバ表面252およびチャンバ構成要素から酸化物堆積物を除去しなければならない。酸化物除去は典型的に、NF3などのフッ素含有ガスを使用して酸化物堆積物をエッチング除去する、洗浄ステップによって達成される。
いくつかの実施形態では、フッ素がチャンバ250に遠隔プラズマ源(RPS:remote plasma source)によって供給される。RPSは、前駆体ガスからプラズマを形成し、それにより、前駆体ガスの解離が生じて洗浄ラジカルを形成する。一実施形態では、洗浄ラジカルは、NF3やCxyなどの前駆体ガスから得られたF原子またはF+イオンである。あるいは、前駆体ガスは、どんな液体でも、ガスでも、固体でもよく、それを反応させて洗浄ラジカルを形成することができる。RPS洗浄を、当技術分野で一般に知られている条件下で実施して、CVD処理チャンバ250を洗浄することができる。そのような洗浄プロセスは一般に、約400℃を上回る温度でフッ素プラズマを使用する。
フッ素プラズマがチャンバ250を洗浄するとき、フッ素原子またはフッ素ラジカルが、チャンバ250内に存在する他の化合物と反応する。一実施形態では、フッ素プラズマが、基板支持体210の表面上にコーティングされたMgOまたはLa23と反応する。それらの化学的性質の結果として、フッ素原子が、マグネシウムまたはランタンと結合し、コーティング150内にもともと存在する酸素と置換する。したがって、基板支持体210上のコーティング150は、フッ化マグネシウム(MgF2)またはフッ化ランタン(LaF3)のいずれかに変化する。基板支持体210がもともとLaF3でコーティングされていた一実施形態では、LaF3が保護コーティングとして働き、LaF3は一般に、フッ素プラズマ中で不活性かつ非反応性であり、したがって、AlNヒータを腐食性洗浄環境110から保護する。
図3は、チャンバ構成要素上に保護コーティングを形成するための方法ステップを示す。方法300は、ステップ310でチャンバ構成要素を提供することから始まる。一実施形態では、チャンバ構成要素は、AlNを含むセラミックヒータである。ステップ320で、コーティングプロセスを実施して、チャンバ構成要素の表面上にコーティングを配設する。コーティングは、上で論じたように、MgO、La23、またはLaF3のいずれかでも、それらの任意の組合せでもよい。一実施形態では、CVDプロセスなどの堆積プロセスを使用して、AlNヒータをコーティングすることができる。
次いで、ステップ330で、コーティングをフッ素含有プラズマに晒してもよい。フッ素含有プラズマは、先に堆積させたMgOコーティングまたはLa23コーティングと反応して、フッ化マグネシウムコーティングまたはフッ化ランタンコーティングを形成する。フッ素含有プラズマは、約400℃を上回る温度で実施されるチャンバ洗浄プロセスの一部であってよい。一例示的実施形態では、フッ化マグネシウムまたはフッ化ランタンの耐食保護コーティングが、AlNヒータの表面上に配設される。
フッ化マグネシウムコーティングおよびフッ化ランタンコーティングは、CVDチャンバ処理環境内の反応性化学種による(化学的または物理的)攻撃に耐性がある。したがって、フッ化マグネシウムまたはフッ化ランタンは、フッ素含有プラズマの存在下でAlNヒータの劣化および腐食を大幅に低減させる、改善された保護を可能にしている。さらに、この保護コーティングは、400℃を上回る処理環境において効果的である。
高温条件下のCVDチャンバで使用する、開示された特定の実施形態は、単に例示を意図したものである。本明細書に記載する実施形態は一般に、エッチングプロセス、プラズマプロセス、または反応性プロセスにおいて通常遭遇するような、他の腐食性環境に適用可能である。
上述の内容は、本発明の実施形態を対象としているが、本発明の他の更なる実施形態を、本発明の基本的な範囲から逸脱することなく考案することができ、本発明の範囲は、添付の特許請求の範囲によって定められる。

Claims (15)

  1. プラズマ処理チャンバで用いる装置であって、
    セラミック材料を含む表面を有する、チャンバ構成要素と、
    前記チャンバ構成要素の前記表面上に配設された、酸化マグネシウム、酸化ランタン、またはフッ化ランタンを含むコーティングと
    を備える装置。
  2. 前記セラミック表面が窒化アルミニウムを含む、請求項1に記載の装置。
  3. 前記コーティングが、フッ素含有プラズマに晒すとそれに反応して、フッ化マグネシウムまたはフッ化ランタンを形成する、請求項1に記載の装置。
  4. 前記チャンバ構成要素が、ペデスタル、サセプタ、リフトピン、ライナ、ヒータ、静電チャック、シールド、エッジリング、シャワーヘッド、ドーム、およびチャンバ本体からなる群から選択される、請求項1に記載の装置。
  5. プラズマ処理チャンバで用いるチャンバ構成要素上にコーティングを形成する方法であって、
    プラズマ処理チャンバ構成要素の表面上に、酸化マグネシウム、酸化ランタン、またはフッ化ランタンのうちの少なくとも1つを含むコーティングを堆積させるステップ
    を含む方法。
  6. 前記コーティングをフッ素含有プラズマに晒すステップをさらに含む、請求項5に記載の方法。
  7. 前記コーティングをフッ素含有プラズマに前記晒すステップが、約400℃を上回る温度で実施される、請求項5に記載の方法。
  8. 前記チャンバ構成要素が窒化アルミニウムを含む、請求項5に記載の方法。
  9. 前記コーティングを前記堆積させるステップが、マグネシウム含有前駆体および酸素含有前駆体を供給するステップをさらに含む、請求項5に記載の方法。
  10. 前記コーティングを前記堆積させるステップが、ランタン含有前駆体および酸素含有前駆体を供給するステップをさらに含む、請求項5に記載の方法。
  11. 前記コーティングを前記堆積させるステップが、ランタン含有前駆体およびフッ素含有前駆体を供給するステップをさらに含む、請求項5に記載の方法。
  12. 前記堆積させるステップが、
    CVDプロセスを実施して前記コーティングを堆積させるステップ
    をさらに含む、請求項5に記載の方法。
  13. 前記コーティングをフッ素含有プラズマに晒すステップが、前記フッ素含有プラズマを遠隔プラズマ源内で発生させるステップを含む、請求項6に記載の方法。
  14. 前記コーティングの少なくとも一部を、フッ素含有プラズマに晒すことによってフッ化マグネシウムに変換するステップ
    をさらに含む、請求項5に記載の方法。
  15. 前記コーティングの少なくとも一部を、フッ素含有プラズマに晒すことによってフッ化ランタンに変換するステップ
    をさらに含む、請求項5に記載の方法。
JP2018238045A 2013-03-08 2018-12-20 フッ素プラズマに対する保護に適した保護コーティングを有するチャンバ構成要素 Pending JP2019094566A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201361775044P 2013-03-08 2013-03-08
US61/775,044 2013-03-08

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2015561354A Division JP2016520707A (ja) 2013-03-08 2014-02-06 フッ素プラズマに対する保護に適した保護コーティングを有するチャンバ構成要素

Publications (1)

Publication Number Publication Date
JP2019094566A true JP2019094566A (ja) 2019-06-20

Family

ID=51491763

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2015561354A Pending JP2016520707A (ja) 2013-03-08 2014-02-06 フッ素プラズマに対する保護に適した保護コーティングを有するチャンバ構成要素
JP2018238045A Pending JP2019094566A (ja) 2013-03-08 2018-12-20 フッ素プラズマに対する保護に適した保護コーティングを有するチャンバ構成要素

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2015561354A Pending JP2016520707A (ja) 2013-03-08 2014-02-06 フッ素プラズマに対する保護に適した保護コーティングを有するチャンバ構成要素

Country Status (6)

Country Link
US (1) US10633738B2 (ja)
JP (2) JP2016520707A (ja)
KR (1) KR102177738B1 (ja)
CN (1) CN105190847A (ja)
TW (1) TWI617694B (ja)
WO (1) WO2014137532A1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
PL243414B1 (pl) * 2019-11-22 2023-08-21 Can Pack Spolka Akcyjna Sposób i urządzenie do powlekania pojemnika metalowego

Families Citing this family (265)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
KR102177738B1 (ko) 2013-03-08 2020-11-11 어플라이드 머티어리얼스, 인코포레이티드 불소 플라즈마에 대한 보호에 적합한 보호 코팅을 갖는 챔버 컴포넌트
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
CN105986245A (zh) * 2015-02-16 2016-10-05 中微半导体设备(上海)有限公司 改善mocvd反应工艺的部件及改善方法
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9828672B2 (en) 2015-03-26 2017-11-28 Lam Research Corporation Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
TWM563652U (zh) 2016-10-13 2018-07-11 美商應用材料股份有限公司 用於電漿處理裝置的腔室部件及包含其之裝置
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20180071695A (ko) * 2016-12-20 2018-06-28 주식회사 티씨케이 층간 경계를 덮는 증착층을 포함하는 반도체 제조용 부품 및 그 제조방법
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
JP2021506126A (ja) 2017-12-07 2021-02-18 ラム リサーチ コーポレーションLam Research Corporation チャンバ調整における耐酸化保護層
US10760158B2 (en) * 2017-12-15 2020-09-01 Lam Research Corporation Ex situ coating of chamber components for semiconductor processing
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US20210082696A1 (en) * 2018-03-01 2021-03-18 Applied Materials, Inc. Systems and methods of formation of a metal hardmask in device fabrication
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) * 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
TW202405221A (zh) 2018-06-27 2024-02-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11239058B2 (en) 2018-07-11 2022-02-01 Applied Materials, Inc. Protective layers for processing chamber components
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
WO2020102085A1 (en) 2018-11-14 2020-05-22 Lam Research Corporation Methods for making hard masks useful in next-generation lithography
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
WO2020236240A1 (en) 2019-05-22 2020-11-26 Applied Materials, Inc. Substrate support cover for high-temperature corrosive environment
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US20210032750A1 (en) * 2019-07-31 2021-02-04 Taiwan Semiconductor Manufacturing Co., Ltd. Deposition apparatus and method of forming metal oxide layer using the same
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
KR20220044338A (ko) * 2019-08-09 2022-04-07 어플라이드 머티어리얼스, 인코포레이티드 프로세싱 챔버 부품들을 위한 보호 다층 코팅
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
KR20210089079A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 채널형 리프트 핀
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
CN116705595A (zh) 2020-01-15 2023-09-05 朗姆研究公司 用于光刻胶粘附和剂量减少的底层
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
WO2022231190A1 (ko) * 2021-04-26 2022-11-03 디이티 주식회사 코팅 타입 고온 정전척
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH104083A (ja) 1996-06-17 1998-01-06 Kyocera Corp 半導体製造用耐食性部材
US6447937B1 (en) * 1997-02-26 2002-09-10 Kyocera Corporation Ceramic materials resistant to halogen plasma and components using the same
US6125859A (en) 1997-03-05 2000-10-03 Applied Materials, Inc. Method for improved cleaning of substrate processing systems
JP3362113B2 (ja) * 1997-07-15 2003-01-07 日本碍子株式会社 耐蝕性部材、ウエハー設置部材および耐蝕性部材の製造方法
US6379492B2 (en) 1998-10-31 2002-04-30 Applied Materials, Inc. Corrosion resistant coating
EP1144722B1 (en) * 1998-10-31 2003-05-14 Applied Materials, Inc. Improved corrosion resistant coating
EP1026796B1 (en) 1999-02-01 2005-11-16 Tadahiro Ohmi Laser oscillating apparatus, exposure apparatus using the same, and device fabrication method
US6868856B2 (en) 2001-07-13 2005-03-22 Applied Materials, Inc. Enhanced remote plasma cleaning
JP4119211B2 (ja) * 2002-09-13 2008-07-16 日本碍子株式会社 加熱装置
JP2004241203A (ja) * 2003-02-04 2004-08-26 Hitachi High-Technologies Corp プラズマ処理室壁処理方法
US20050112289A1 (en) * 2003-03-03 2005-05-26 Trickett Douglas M. Method for coating internal surface of plasma processing chamber
KR100726668B1 (ko) 2005-01-21 2007-06-12 엘지전자 주식회사 플라즈마 디스플레이 패널의 제조방법
KR100862948B1 (ko) * 2006-09-12 2008-10-15 (주)인텍 이온빔을 이용한 PDP용 MgO 박막 증착 장치 및 증착방법
JP5057834B2 (ja) 2007-04-25 2012-10-24 株式会社東芝 ランタノイドアルミネート膜の製造方法
US7667944B2 (en) * 2007-06-29 2010-02-23 Praxair Technology, Inc. Polyceramic e-chuck
KR20110088549A (ko) * 2008-11-04 2011-08-03 프랙스에어 테크놀로지, 인코포레이티드 반도체 응용을 위한 열 분무 코팅
US20110005922A1 (en) * 2009-07-08 2011-01-13 Mks Instruments, Inc. Methods and Apparatus for Protecting Plasma Chamber Surfaces
US8888982B2 (en) * 2010-06-04 2014-11-18 Mks Instruments Inc. Reduction of copper or trace metal contaminants in plasma electrolytic oxidation coatings
KR102177738B1 (ko) 2013-03-08 2020-11-11 어플라이드 머티어리얼스, 인코포레이티드 불소 플라즈마에 대한 보호에 적합한 보호 코팅을 갖는 챔버 컴포넌트

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
PL243414B1 (pl) * 2019-11-22 2023-08-21 Can Pack Spolka Akcyjna Sposób i urządzenie do powlekania pojemnika metalowego

Also Published As

Publication number Publication date
US20170204516A1 (en) 2017-07-20
KR102177738B1 (ko) 2020-11-11
TWI617694B (zh) 2018-03-11
TW201447022A (zh) 2014-12-16
CN105190847A (zh) 2015-12-23
WO2014137532A1 (en) 2014-09-12
US10633738B2 (en) 2020-04-28
JP2016520707A (ja) 2016-07-14
KR20150127145A (ko) 2015-11-16

Similar Documents

Publication Publication Date Title
JP2019094566A (ja) フッ素プラズマに対する保護に適した保護コーティングを有するチャンバ構成要素
US20150218700A1 (en) Chamber component with protective coating suitable for protection against flourine plasma
TWI835892B (zh) 用於預清除及蝕刻設備之高溫塗層及相關方法
JP7134283B2 (ja) エルビウム系プラズマ耐性セラミックコーティングの見通し外堆積
US10704141B2 (en) In-situ CVD and ALD coating of chamber to control metal contamination
TW201812846A (zh) 用於半導體處理腔室部件之保護塗佈之原子層沉積
US11401599B2 (en) Erosion resistant metal silicate coatings
KR101322783B1 (ko) 고밀도 플라즈마 에칭에 대한 저항성이 우수한 세라믹 보호 피막 및 그 코팅 방법
JP6797816B2 (ja) 成膜装置の洗浄方法
US20060254613A1 (en) Method and process for reactive gas cleaning of tool parts
TW201927996A (zh) 抗濕塗層
KR20230027298A (ko) 내침식성 금속 플루오르화 코팅된 물건들, 이들의 제조 방법 및 사용 방법
KR20170006807A (ko) 공정 챔버의 부품파트 및 화학기상증착에 의해 이트리아를 부품파트에 증착하는 방법
US20220028662A1 (en) Multilayer coatings of component parts for a work piece processing chamber
JP2022535145A (ja) 耐薬品性を有する表面を備えたrf構成要素
KR101279455B1 (ko) 알루미늄 함유 기판으로부터 비-금속성 침착물의 비파괴 선택적 침착 제거
TW202113118A (zh) 腔室部件上之抗腐蝕膜及其沉積方法
US11118263B2 (en) Method for forming a protective coating film for halide plasma resistance
KR102688002B1 (ko) 금속 오염을 제어하기 위한 챔버의 인-시튜 cvd 및 ald 코팅
TWI845570B (zh) 用於工件處理腔室之組成零件的多層塗膜
TW201602387A (zh) Mocvd半導體處理裝置及製作方法
JP2015073036A (ja) 防着治具の使用方法及び防着治具

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20190121

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20190121

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20200217

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20201001