TWI835892B - 用於預清除及蝕刻設備之高溫塗層及相關方法 - Google Patents

用於預清除及蝕刻設備之高溫塗層及相關方法 Download PDF

Info

Publication number
TWI835892B
TWI835892B TW108137620A TW108137620A TWI835892B TW I835892 B TWI835892 B TW I835892B TW 108137620 A TW108137620 A TW 108137620A TW 108137620 A TW108137620 A TW 108137620A TW I835892 B TWI835892 B TW I835892B
Authority
TW
Taiwan
Prior art keywords
coating
layer
oxide
gas
thickness
Prior art date
Application number
TW108137620A
Other languages
English (en)
Other versions
TW202020209A (zh
Inventor
高培培
林興
亞歷山德羅斯 迪摩斯
魏創
王文濤
馬明陽
普賴瓦爾 納加拉吉
Original Assignee
荷蘭商Asm Ip私人控股有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 荷蘭商Asm Ip私人控股有限公司 filed Critical 荷蘭商Asm Ip私人控股有限公司
Publication of TW202020209A publication Critical patent/TW202020209A/zh
Application granted granted Critical
Publication of TWI835892B publication Critical patent/TWI835892B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/04Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D only coatings of inorganic non-metallic material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • H01J37/32495Means for protecting the vessel against plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • C23C16/0245Pretreatment of the material to be coated by cleaning or etching by etching with a plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/403Oxides of aluminium, magnesium or beryllium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45529Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making a layer stack of alternating different compositions or gradient compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/04Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D only coatings of inorganic non-metallic material
    • C23C28/042Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D only coatings of inorganic non-metallic material including a refractory ceramic layer, e.g. refractory metal oxides, ZrO2, rare earth oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67023Apparatus for fluid treatment for general liquid treatment, e.g. etching followed by cleaning
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3321CVD [Chemical Vapor Deposition]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3341Reactive etching

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Ceramic Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

提出一種用於半導體膜預清潔及蝕刻設備之塗層及形成該塗層之方法。該塗層可用於其中難以使用傳統塗層或塗佈方法的環境中。塗層提供包括以下之優點:能夠有效地在一個設備中或個別地在兩個設備中將氫自由基及氟自由基遞送至晶圓表面處;覆蓋處於關鍵組件上之高縱橫比特徵;在超出150℃之高溫中可操作;及保護該塗層下方的具有高縱橫比特徵之部件,藉此防止在所處理之晶圓上出現金屬及粒子。

Description

用於預清除及蝕刻設備之高溫塗層及相關方法
本揭示案大體上係關於一種用於對半導體晶圓執行預清潔或蝕刻製程的設備及相關方法。更特定言之,本發明係關於經由原子層沉積(ALD)製程所形成用於該設備內之部件的塗層。塗層提供以下優點:能夠有效地在一個設備中或個別地在兩個設備中將由遠端電漿單元形成之氫自由基及氟自由基遞送至晶圓表面處,覆蓋處於關鍵組件上之高縱橫比特徵及在高溫條件下操作而表現出無金屬且無粒子。
塗層被使用在半導體膜製造設備內之部件上以便避免可能對半導體晶圓上形成之膜具有不利影響的粒子生成。此等塗層尤其出現於進行預清潔或蝕刻製程之設備上。舉例而言,塗層可包括金屬及陶瓷,諸如鎳、氧化鋁、氧化釔、氧化鋯、氧化鎂或氧化鈣。預清潔或蝕刻製程可涉及可能與塗層下方之部件反應的化學物質或電漿。因此,塗層充當保護性阻擋層用於部件。
然而,使用此等傳統塗層有一些問題。首先,氫自由基展示出在諸如鎳之一些塗層上極其快速重組,鎳常用作氟自由基環境中之保護性阻擋層。在此設備中不能達成碳移除功能,此係因為遞送至晶圓處之氫自由基的量有限。
第二,在一個設備中適應分別使用反應性F及H來進行之氧化物移除及碳移除兩者對於傳統塗層為巨大挑戰。在不同化學過程之間,氟、氫及塗層材料可能反應且生成粒子。可能需要季化(seasoning)步驟或虛設晶圓以限制此問題,但其導致低處理量。
第三,某些塗層可能不能承受其中溫度超出150oC 之環境。許多預清潔/蝕刻製程可能超出150oC 之溫度,因此可證明此等塗層因該等塗層在該等製程期間之物理及化學分解而不利於在彼等較高溫度下使用。舉例而言,電漿噴射Y2 O3 塗層在加熱至約150oC 時開裂,導致粒子生成。在具有陽極化PEO及ALD Al2 O3 塗層之晶圓上,在高於150oC 之反應性氟及反應性氫化學環境中出現鋁金屬問題。
第四,可能難以將塗層均勻地施用在具有高縱橫比特徵之部件上。舉例而言,經由電漿噴射製程施用之塗層可能不能覆蓋處於噴淋頭及氣體分配隧道上之高縱橫比孔洞。未經覆蓋之基板可能引起生成可能對半導體晶圓上形成之膜具有不利影響的粒子。
因此,在遞送反應性氟及反應性氫物種兩者之設備中需要能夠將氫自由基高效地遞送至晶圓處,同時承受較高溫度及嚴苛化學環境的異位或原位塗層。亦需要塗層以均勻厚度進行施用且當經受較高溫度及嚴苛化學環境時不生成金屬/粒子。
提供本發明內容來以簡化形式來介紹一系列之概念。此等概念會在下文本發明示例具體例之詳細描述中進行進一步詳述。本發明內容沒有意欲要確認所主張之標的的關鍵特徵或必要特徵,亦沒有意欲用來限制所主張之標的的範圍。
根據至少一個具體例,半導體膜預清潔/蝕刻設備包含:反應腔室;處於該反應腔室內之晶圓固持器,該晶圓固持器經配置以固持半導體晶圓;氣體輸送路徑,該氣體輸送路徑經配置以確保進入該反應腔室之氣體遞送及至少兩種氣體之均勻混合;氣體分配裝置,該氣體分配裝置用於在整個該半導體晶圓上分散氣體;氣體歧管,該氣體歧管用以幫助將氫自由基遞送至晶圓邊緣處;遠端電漿單元,該遠端電漿單元將由第一氣體源提供之第一氣體轉化為自由基氣體;其中該晶圓固持器、該反應腔室、該氣體輸送路徑、該氣體分配裝置、該氣體歧管或該遠端電漿單元中之至少一者包含具有第一層及第二層之塗層;其中該塗層之該第一層或該第二層中的至少一者係藉由原子層沉積(ALD)形成;且其中該第一層及該第二層包含不同材料。
根據至少一個具體例,一種用於半導體膜預清潔/蝕刻設備之塗層的形成方法包含:準備待塗佈之第一表面;清潔該第一表面;用原子層沉積(ALD)技術將第一塗層沉積在該第一表面上;將第二塗層沉積在該第一塗層上以形成多層塗層;視需要重複形成該第一塗層及形成該第二塗層之步驟;及在複合塗層上執行塗佈後處理;其中該第一塗層包含與該第二塗層之材料不同的材料;其中該半導體膜沉積設備包含:晶圓固持器;反應腔室;氣體輸送路徑;氣體分配裝置;氣體歧管;及遠端電漿單元;且其中該複合塗層安置於以下中之至少一者上:該晶圓固持器;該反應腔室;該氣體輸送路徑;該氣體分配裝置;該氣體歧管;及該遠端電漿單元。
雖然在下文中揭示特定具體例及實例,但是熟悉本技藝者可理解,本發明延伸超出本發明所具體揭示之具體例及/或用途及其明顯修改及其等效物。因此,其目的在於所揭示之本發明的範疇不應受下文所描述的特定揭示之具體例的限制。
本文呈現之圖解說明並非意謂任何特定材料、結構或裝置的實際視圖,而僅為用於描述本揭示案之具體例的理想表示。
如本文所使用,術語「原子層沉積(ALD)」可指在製程腔室中進行沉積循環(較佳地,複數個連續沉積循環)的氣相沉積製程。通常,在每個循環期間,前驅物被化學吸附至沉積表面(例如,基板表面或例如來自前一個ALD循環之材料的先前所沉積之底層表面),從而形成不容易與其它前驅物反應之單層或次單層(亦即,自限性反應(self-limiting reaction))。之後,若需要,則可隨後將反應物(例如,另一種前驅物或反應氣體)引入至製程腔室中,以用於在沉積表面上將經化學吸附之前驅物轉化為所要材料。通常,此反應物能夠進一步與前驅物反應。此外,亦可在每個循環期間利用沖洗步驟,自製程腔室移除過量前驅物,及/或在轉化經化學吸附之前驅物之後,自製程腔室移除過量反應物及/或反應副產物。此外,當用前驅物組合物、反應氣體及沖洗(例如,惰性載體)氣體之交替脈衝執行時,如本文所使用之術語「原子層沉積」亦意謂包括由相關術語所表示之製程,例如,「化學氣相原子層沉積」、「原子層磊晶(ALE)」、分子束磊晶(MBE)、氣體源MBE或有機金屬MBE及化學束磊晶。
如本文所使用,術語「化學氣相沉積(CVD)」可指其中基板依序暴露於一種或多種揮發性前驅物中之任何製程,該等前驅物可在基板上反應及/或分解以產生所需沉積物。
圖1圖解說明根據本發明之至少一個具體例的具有異位塗層之半導體膜預清潔設備100。半導體膜預清潔設備100包含:反應腔室殼體110;晶圓固持器或基座120,該晶圓固持器或基座經配置以固持半導體晶圓130;氣體分配系統或噴淋頭140;氣體歧管150;氣體輸送路徑160;遠端電漿單元170;第一氣體源180;第二氣體源190A;第三氣體源190B;及第四氣體源190C。
遠端電漿單元170將由第一氣體源180提供之氣體轉化為自由基氣體。自由基氣體之實例可包括用於自半導體晶圓130移除膜之氟自由基氣體,該膜諸如矽氧化物(SiOx )或矽鍺氧化物(SiGeOx )。第二氣體源190A可將稀釋氣體或反應物氣體與來自第一氣體源180之氣體一起提供至半導體晶圓130處以便移除膜,諸如矽氧化物或矽鍺氧化物。第三氣體源190B可提供由遠端電漿單元170活化之氣體以在碳移除製程期間形成氫自由基氣體。第四氣體源190C可提供惰性氣體以幫助點燃遠端電漿單元170,且可亦將自由基遞送至晶圓130處。氣體輸送路徑160確保待遞送至反應腔室110處的來自第一氣體源180及第二氣體源190A之氣體均勻混合。氣體分配系統或噴淋頭140將氣體均勻分配在半導體晶圓130之表面上。氣體歧管150可有助於將由第三氣體源190B生成之自由基氣體高效地遞送至晶圓邊緣處且改良碳移除均勻性。
圖2圖解說明根據本發明之具體例的具有原位塗層之半導體膜預清潔設備200。半導體膜預清潔設備200包含:反應腔室殼體110;晶圓固持器或基座120,該晶圓固持器或基座經配置以固持半導體晶圓130;氣體分配系統或噴淋頭140;氣體歧管150;氣體輸送路徑160;遠端電漿單元170;第一氣體源180;第二氣體源190A;第三氣體源190B;第四氣體源190C;多個前驅物源195A-195D;及第五氣體源195E。
類似於異位塗層裝置100,原位塗層裝置200亦可添加前驅物及沖洗氣體源以允許原位塗佈功能。以具有ALD沉積之氧化鋁(Al2 O3 )及ALD沉積之氧化釔(Y2 O3 )的複合塗層或雙層塗層為例,原位塗層裝置200可包括用於原位ALD沉積之氧化鋁塗層的三甲基鋁(TMA)源195A及水(H2 O)源195B。原位塗層裝置200可包括用於原位ALD沉積之氧化釔的釔氣體源195C (諸如Y(thd)、CP3 Y或(CpCH3 )3 Y)及氧氣源195D (諸如氧氣、臭氧、臭氧及氧氣之混合物、或H2 O) (如美國專利第7,351,658號中所描述,其以引用之方式併入本文中)。此外,沖洗氣體源195E可與前體氣體源(195A-195D)之上游連接以在轉化經化學吸附之前驅物之後移除過量前驅物或前驅物副產物。
若干類型之部件結構可受益於該塗佈方法。一種類型之結構為具有封閉空間之部件,諸如遠端電漿單元,其中最初生成自由基氣體。遠程電漿單元可能需要塗層,此係因為電漿轟擊及腐蝕性化學環境。然而,常見塗佈方法展示出問題或不可行。舉例而言,在常見RPU塗層,諸如陽極化及PEO塗層上生成之粒子可在碳移除與氧化物移除製程之間轉變。電漿噴射因所封閉空間及較小氣體入口/出口而不能塗佈。圖3A及3B圖解說明代表性遠端電漿單元300。遠端電漿單元300包含主體310、氣體入口320、RF生成器330、氣體出口340及塗層350。塗層350覆蓋主體310之內壁。遠端電漿單元300之其他部分可由塗層350覆蓋。塗層350可包含陶瓷塗層,諸如氧化鋁(Al2 O3 )、氧化釔(Y2 O3 )、氟化釔(YF3 )、釔氟氧化物(YOx Fy )、氟化鋁(AlF3 )、氧化鈧(Sc2 O3 )、氧化鉿(HfO2 )、氧化鑭(La2 O3 )、氧化釤(Sm2 O3 )、氧化釓(Gd2 O3 )、氧化鉺(Er2 O3 )、氧化鋯(ZrO2 )、氧化鈰(CeO2 ),或上文之任何組合。
氣體分配系統或噴淋頭140、氣體遞送路徑135及氣體歧管190為亦可經塗佈之部件,此係由於此類部件暴露於由遠端電漿單元160產生之自由基氣體中。圖4A圖解說明根據本發明之至少一個具體例的另一類型的具有高縱橫比孔洞之部件,諸如氣體分配系統或噴淋頭140。氣體分配系統或噴淋頭140包含噴淋頭體410及複數個孔洞420。氣體通過複數個孔洞420流動至半導體晶圓130上。複數個孔洞420可包含具有高縱橫比之特徵,且可亦包含不同形狀,諸如斜面及曲面。該等特徵可提供較大區域表面,在該等表面上,粒子可由噴淋頭體410整體產生。
圖4B圖解說明併有防止形成粒子之塗層的氣體分配系統或噴淋頭140。將複數個塗層430施用於複數個孔洞420處。複數個塗層430可包含陶瓷塗層,諸如氧化鋁(Al2 O3 )、氧化釔(Y2 O3 )、釔氧氟化物(YOx Fy )、氟化釔(YF3 )、氟化鋁(AlF3 )、氧化鈧(Sc2 O3 )、氧化鉿(HfO2 )、氧化鑭(La2 O3 )、氧化釤(Sm2 O3 )、氧化釓(Gd2 O3 )、氧化鉺(Er2 O3 )、氧化鋯(ZrO2 )、氧化鈰(CeO2 ),或上文之任何組合。複數個塗層430亦可覆蓋噴淋頭體410之其他部分。雖然圖4A及4B圖解說明部件之塗層的一種幾何形狀,但亦可施用塗層之內部結構仍可能更複雜。此可包括氣體輸送路徑135及氣體歧管150,其可具有處於幾何形狀內之孔洞及彎曲。
部件之塗層可較佳為複合塗層。圖5圖解說明一種塗層佈置500。塗層佈置500包括待塗佈之部件510。部件510可由包含以下中之至少一者的材料製成:鋁合金、鑄鐵、不鏽鋼、赫史特合金(Hastelloy)、英高鎳(Inconel)、鎳合金、陶瓷、陶瓷塗層或金屬塗層。部件510塗佈有一層第一塗層520,繼而為一層第二塗層530,且重複一層該第一塗層520及一層該第二塗層530。第一塗層520或第二塗層530中之至少一者或兩者可經由原子層沉積(ALD)技術來進行施用。使用ALD技術之益處包括形成完全緻密塗層,同時生成各向同性微觀結構。在僅一個塗層藉由ALD來得到之情況下,另一塗層可藉由陽極化、化學氣相沉積(CVD)、電漿氣相沉積(PVD)、電漿噴射塗佈或電漿電解氧化(PEO)來得到。層之重複可視需要或所需來進行。
第一塗層520可包含以下中之一者:氧化鋁(Al2 O3 )、氧化釔(Y2 O3 )、氟化釔(YF3 )、釔氧氟化物(YOx Fy )、氟化鋁(AlF3 )、氧化鈧(Sc2 O3 )、氧化鉿(HfO2 )、氧化鑭(La2 O3 )、氧化釤(Sm2 O3 )、氧化釓(Gd2 O3 )、氧化鉺(Er2 O3 )、氧化鋯(ZrO2 )或氧化鈰(CeO2 )。第二塗層530可包含以下中之一者:氧化鋁(Al2 O3 )、氧化釔(Y2 O3 )、氟化釔(YF3 )、釔氧氟化物(YOx Fy )、氟化鋁(AlF3 )、氧化鈧(Sc2 O3 )、氧化鉿(HfO2 )、氧化鑭(La2 O3 )、氧化釤(Sm2 O3 )、氧化釓(Gd2 O3 )、氧化鉺(Er2 O3 )、氧化鋯(ZrO2 )或氧化鈰(CeO2 )。第一塗層420及第二塗層530在理想情況下不包含相同材料。
圖6圖解說明根據本發明之至少一個具體例的塗層佈置600。塗層佈置600包括待塗佈之部件610。部件610可由包含以下中之至少一者的材料製成:鋁合金、鑄鐵、不鏽鋼、赫史特合金、英高鎳、鎳合金、陶瓷、陶瓷塗層或金屬塗層。部件610塗佈有一層第一塗層620,繼而為一層第二塗層630。第一塗層620或第二塗層630中之至少一者或兩者可經由原子層沉積(ALD)技術來進行施用。在僅一個塗層藉由ALD來得到之情況下,另一塗層可藉由陽極化、化學氣相沉積(CVD)、電漿氣相沉積(PVD)、電漿噴射塗佈或電漿電解氧化(PEO)來得到。塗層可擴展至超過兩層不同ALD塗層(諸如氧化釔及氧化鋁)或可包含僅一層塗層(諸如氧化釔)。層之重複可視需要或所需來進行。
第一塗層620可包含以下中之一者:氧化鋁(Al2 O3 )、氧化釔(Y2 O3 )、氟化釔(YF3 )、釔氧氟化物(YOx Fy )、氟化鋁(AlF3 )、氧化鈧(Sc2 O3 )、氧化鉿(HfO2 )、氧化鑭(La2 O3 )、氧化釤(Sm2 O3 )、氧化釓(Gd2 O3 )、氧化鉺(Er2 O3 )、氧化鋯(ZrO2 )或氧化鈰(CeO2 )。第二塗層630可包含以下中之一者:氧化鋁(Al2 O3 )、氧化釔(Y2 O3 )、釔氧氟化物(YOx Fy )、氟化釔(YF3 )、氟化鋁(AlF3 )、氧化鈧(Sc2 O3 )、氧化鉿(HfO2 )、氧化鑭(La2 O3 )、氧化釤(Sm2 O3 )、氧化釓(Gd2 O3 )、氧化鉺(Er2 O3 )、氧化鋯(ZrO2 )或氧化鈰(CeO2 )。第一塗層620及第二塗層630在理想情況下不包含相同材料。
圖7圖解說明根據本發明之至少一個具體例的塗層佈置700。塗層佈置700包括待塗佈之部件710。部件710可由包含以下中之至少一者的材料製成:鋁合金、鑄鐵、不鏽鋼、赫史特合金、英高鎳、鎳合金、陶瓷、陶瓷塗層或金屬塗層。部件710塗佈有一層第一塗層720,繼而為一層第二塗層730及一層第三塗層740。第一塗層720、第二塗層730及第三塗層740中之至少一者或全部可經由原子層沉積(ALD)技術來進行施用。在僅一個塗層藉由ALD來得到之情況下,另一塗層可藉由陽極化、化學氣相沉積(CVD)、電漿氣相沉積(PVD)、電漿噴射塗佈或電漿電解氧化(PEO)來得到。塗層可擴展至超過兩層不同ALD塗層或可包含僅一層塗層。層之重複可視所需來進行。
第一塗層720可包含以下中之一者:氧化鋁(Al2 O3 )、氧化釔(Y2 O3 )、氟化釔(YF3 )、釔氧氟化物(YOx Fy )、氟化鋁(AlF3 )、氧化鈧(Sc2 O3 )、氧化鉿(HfO2 )、氧化鑭(La2 O3 )、氧化釤(Sm2 O3 )、氧化釓(Gd2 O3 )、氧化鉺(Er2 O3 )、氧化鋯(ZrO2 )或氧化鈰(CeO2 )。第二塗層730可包含以下中之一者:氧化鋁(Al2 O3 )、氧化釔(Y2 O3 )、釔氧氟化物(YOx Fy )、氟化釔(YF3 )、氟化鋁(AlF3 )、氧化鈧(Sc2 O3 )、氧化鉿(HfO2 )、氧化鑭(La2 O3 )、氧化釤(Sm2 O3 )、氧化釓(Gd2 O3 )、氧化鉺(Er2 O3 )、氧化鋯(ZrO2 )或氧化鈰(CeO2 )。第三塗層740可包含以下中之一者:氧化鋁(Al2 O3 )、氧化釔(Y2 O3 )、釔氧氟化物(YOx Fy )、氟化釔(YF3 )、氟化鋁(AlF3 )、氧化鈧(Sc2 O3 )、氧化鉿(HfO2 )、氧化鑭(La2 O3 )、氧化釤(Sm2 O3 )、氧化釓(Gd2 O3 )、氧化鉺(Er2 O3 )、氧化鋯(ZrO2 )或氧化鈰(CeO2 )。第一塗層720、第二塗層730及第三塗層740在理想情況下不包含相同材料,諸如氧化釔、氧化鉿及氧化鋁。
對部件之塗佈可需要若干步驟。圖8圖解說明一種用於執行塗佈之方法800。該方法800包含:表面準備步驟810;清潔步驟820;塗佈步驟830;及塗佈後處理步驟840。基板準備步驟810可包括確保最佳化之塗佈的步驟,包括移除可能在塗層上引起應力之銳邊、收緊間隙上之公差及使較小孔洞設計最佳化以便減少在ALD塗佈期間由所捕獲之前驅物造成的局部不均勻塗層缺陷或牛頓環。為了增加塗層黏附且確保良好塗層品質,部件可藉由紋理化、拋光及/或電解拋光來進行處理。
清潔步驟820可視待塗佈之部件而定。清潔步驟820可自部件移除表面碳、粒子及過量金屬。清潔步驟820可尤其包括鹼處理、酸處理、電清潔處理及/或臭氧處理步驟。藉由執行清潔步驟820,可使塗層之均勻性及表面覆蓋率最佳化。
塗佈步驟830可包含藉由ALD技術形成至少一個塗層。ALD技術可藉由原位或異位方法來進行。例示性方法可為經由ALD方法形成第一層氧化鋁及第二層氧化釔(如美國專利第7,351,658號中所描述,其以引用之方式併入本文中)。氣體分配裝置或噴淋頭上的氧化鋁之厚度可在以下之間的範圍:1-10,000 nm;10-2,500 nm;或100-500 nm。若將氧化釔沉積為用於氣體分配裝置或噴淋頭之塗層,則氧化釔之厚度可在以下之間的範圍:1-10,000 nm;10-2,500 nm;或100-500 nm。遠端電漿單元上的氧化鋁之厚度可在以下之間的範圍:1-50,000 nm;10-25,000 nm;或100-10,000 nm。若將氧化釔沉積在遠端電漿單元上,則氧化釔之厚度可在以下之間的範圍:1-50,000 nm;10-25,000 nm;或100-10,000 nm。
以上文所描述之方式形成的塗層可可靠地用於在高於150oC 之溫度下運行的製程。另外,與氧化釔相比,氧化鋁層可在待塗佈之部件上達成更好的塗層品質。隨後,氧化鋁層可減小由熱膨脹在氧化釔層中產生之應力,藉此降低在氧化釔中開裂之可能性。氧化鋁層亦可高效阻礙金屬遷移至表面,該等金屬諸如鎂及鈉。
塗佈後處理步驟840可包含改良塗層之品質或特性。實例可包括對塗層之表面進行氟化或氯化以更好地適應具有氟或氯之環境。另外,塗佈後處理步驟840可涉及對表面進行退火以移除內應力及缺陷。此外,藉由加熱至某一溫度,可獲得二元或三元陶瓷。舉例而言,Al2 O3 及Y2 O3 之複合塗層在特定的Al2 O3 與Y2 O3 之比率下,可在高溫處理之後轉變為釔鋁石榴石(yttrium aluminum garnet,YAG)或單斜釔鋁(yttrium aluminum monoclinic,YAM)。
所展示及描述之特定實施方案為對本發明及其最佳模式之說明,且並不意欲以任何方式另外限制態樣及實施方案之範疇。實際上,為簡潔起見,系統之習知製造、連接、製備及其他功能性態樣可不加以詳細描述。此外,各種圖中展示之連接線意欲表示各種元件之間的例示性功能性關係及/或實體耦接。許多替代或附加功能關係或實體連接可存在於實際系統中及/或在一些具體例中可不存在。
應理解,本文中所描述之配置及/或方法本質上為例示性的,且此等特定具體例或實例不視為具有限制意義,原因在於可能存在諸多變化。本文中所描述之特定程序或方法可表示任何數目之處理程序策略中的一或多者。因此,所說明之各種動作可於所說明之順序執行,以其他順序執行,或在一些情況下被省略。
本揭示案之標的物包括本文中所揭示之各種製程、系統及配置,以及其他特徵、功能、動作及/或特性,以及其任何和所有等效物的所有新穎但非顯而易見之組合及子組合。
100:具有異位塗層之半導體膜預清潔設備 110:反應腔室殼體 120:晶圓固持器/基座 130:半導體晶圓 140:氣體分配系統/噴淋頭 150:氣體歧管 160:氣體輸送路徑 170:遠端電漿單元 180:第一氣體源 190A:第二氣體源 190B:第三氣體源 190C:第四氣體源 195A:前驅物源/三甲基鋁源 195B:前驅物源/水源 195C:前驅物源/釔氣體源 195D:前驅物源/氧氣源 195E:第五氣體源/沖洗氣體源 200:具有原位塗層之半導體膜預清潔設備 310:主體 320:氣體入口 330:RF生成器 340:氣體出口 350:塗層 410:噴淋頭體 420:孔洞 430:塗層 500:塗層佈置 510:待塗佈之部件 520:第一塗層 530:第二塗層 600:塗層佈置 610:待塗佈之部件 620:第一塗層 630:第二塗層 700:塗層佈置 710:待塗佈之部件 720:第一塗層 730:第二塗層 740:第三塗層 800:方法 810:表面準備步驟 820:清潔步驟 830:塗佈步驟 840:塗佈後處理步驟
本文所揭示的本發明之此等及其他特徵、態樣及優點在下文參考某些具體例之圖式來描述,該等具體例意欲說明而不限制本發明。
圖1為根據本發明之至少一個具體例的具有異位塗層之半導體膜預清潔設備的橫截面圖解說明。
圖2為根據本發明之至少一個具體例的具有原位塗層之半導體膜預清潔設備的橫截面圖解說明。
圖3A及3B為根據本發明之至少一個具體例的具有封閉空間(enclosure space)之部件,即遠端電漿單元之實例的橫截面圖解說明。
圖4A及4B為根據本發明之至少一個具體例的具有高縱橫比特徵之部件,即氣體分配系統或噴淋頭的橫截面圖解說明。
圖5為根據本發明之至少一個具體例的具有一定塗層佈置之部件的橫截面圖解說明。
圖6為根據本發明之至少一個具體例的具有一定塗層佈置之部件的橫截面圖解說明。
圖7為根據本發明之至少一個具體例的具有一定塗層佈置之部件的橫截面圖解說明。
圖8為圖解說明根據本發明之至少一個具體例進行塗佈之方法的流程圖。
應瞭解,附圖中之元件係為了簡單及清楚起見而進行圖解說明且未必按比例繪製。舉例而言,附圖中一些元件之尺寸可相對於其他元件而放大,以幫助提昇對本揭示案所說明之具體例的理解。
100:具有異位塗層之半導體膜預清潔設備
110:反應腔室殼體
120:晶圓固持器/基座
130:半導體晶圓
140:氣體分配系統/噴淋頭
150:氣體歧管
160:氣體輸送路徑
170:遠端電漿單元
180:第一氣體源
190A:第二氣體源
190B:第三氣體源
190C:第四氣體源

Claims (35)

  1. 一種半導體膜預清潔/蝕刻設備,其包含:一反應腔室;處於該反應腔室內之一晶圓固持器,該晶圓固持器經配置以固持一半導體晶圓;一氣體輸送路徑,該氣體輸送路徑經配置以確保進入該反應腔室之氣體遞送及至少兩種氣體之均勻混合;一氣體分配裝置,該氣體分配裝置用於在整個該半導體晶圓上分散氣體;一氣體歧管,該氣體歧管用以幫助將氫自由基遞送至晶圓邊緣處;及一遠端電漿單元,該遠端電漿單元將由一第一氣體源提供之一第一氣體轉化為一自由基氣體;其中該晶圓固持器、該反應腔室、該氣體輸送路徑、該氣體分配裝置、該氣體歧管或該遠端電漿單元中之至少一者包含具有一第一層及一第二層之一塗層;其中該塗層之該第一層或該第二層中的至少一者係藉由原子層沉積(ALD)形成;且其中該第一層及第二層包含不同材料。
  2. 如請求項1之設備,其中,該第一層處於該氣體分配裝置上,且該第一層之厚度在以下之間的範圍:1-10,000nm。
  3. 如請求項1之設備,其中,該第一層處於該氣體分配裝置上,且該第一層之厚度在以下之間的範圍:10-2,500nm。
  4. 如請求項1之設備,其中,該第一層處於該氣體分配裝置上,且該第一層之厚度在以下之間的範圍:100-500nm。
  5. 如請求項1之設備,其中,該第二層處於該氣體分配裝置上,且 該第二層之厚度在以下之間的範圍:1-10,000nm。
  6. 如請求項1之設備,其中,該第二層處於該氣體分配裝置上,且該第二層之厚度在以下之間的範圍:10-2,500nm。
  7. 如請求項1之設備,其中,該第二層處於該氣體分配裝置上,且該第二層之厚度在以下之間的範圍:100-500nm。
  8. 如請求項1之設備,其中,該第一層處於該遠端電漿單元上,且該第一層之厚度在以下之間的範圍:1-50,000nm。
  9. 如請求項1之設備,其中,該第一層處於該遠端電漿單元上,且該第一層之厚度在以下之間的範圍:10-25,000nm。
  10. 如請求項1之設備,其中,該第一層處於該遠端電漿單元上,且該第一層之厚度在以下之間的範圍:100-10,000nm。
  11. 如請求項1之設備,其中,該第二層處於該遠端電漿單元上,且該第二層之厚度在以下之間的範圍:1-50,000nm。
  12. 如請求項1之設備,其中,該第二層處於該遠端電漿單元上,且該第二層之厚度在以下之間的範圍:10-25,000nm。
  13. 如請求項1之設備,其中,該第二層處於該遠端電漿單元上,且該第二層之厚度在以下之間的範圍:100-10,000nm。
  14. 如請求項1之設備,其中,該第一層包含以下中之至少一者:氧化鋁(Al2O3)、氧化釔(Y2O3)、氟化釔(YF3)、釔氧氟化物(YOxFy)、氟化鋁(AlF3)、氧化鈧(Sc2O3)、氧化鉿(HfO2)、氧化鑭(La2O3)、氧化釤(Sm2O3)、氧化釓(Gd2O3)、氧化鉺(Er2O3)、氧化鋯(ZrO2)或氧化鈰(CeO2)。
  15. 如請求項1之設備,其中,該第二層包含以下中之至少一者:氧化鋁(Al2O3)、氧化釔(Y2O3)、氟化釔(YF3)、釔氧氟化物(YOxFy)、氟化鋁(AlF3)、氧化鈧(Sc2O3)、氧化鉿(HfO2)、氧化鑭(La2O3)、氧化釤(Sm2O3)、氧化釓(Gd2O3)、 氧化鉺(Er2O3)、氧化鋯(ZrO2)或氧化鈰(CeO2)。
  16. 如請求項1之設備,其中,該第一層及該第二層兩者係藉由ALD形成。
  17. 如請求項1之設備,其中,該第一層係藉由ALD形成,且該第二層係藉由以下中之至少一者形成:陽極化、化學氣相沉積(CVD)、電漿氣相沉積(PVD)、電漿噴射塗佈或電漿電解氧化(PEO)。
  18. 如請求項1之設備,其中,該第一層及該第二層在以下中之至少一者上形成複合塗層:該晶圓固持器、該反應腔室、該氣體輸送路徑、該氣體分配裝置、該氣體歧管或該遠端電漿單元。
  19. 如請求項18之設備,其中,該複合塗層之形成在以下中之至少一者上重複若干次:該晶圓固持器、該反應腔室、該氣體輸送路徑、該氣體分配裝置、該氣體歧管或該遠端電漿單元。
  20. 一種用於半導體膜預清潔/蝕刻設備之塗層的形成方法,其包含:準備待塗佈之一第一表面;清潔該第一表面;用原子層沉積(ALD)技術將一第一塗層沉積在該第一表面上;將一第二塗層沉積在該第一塗層上以形成一多層塗層;重複該形成該第一塗層及形成該第二塗層之步驟;及在複合塗層上執行塗佈後處理;其中該第一塗層包含與該第二塗層之材料不同的材料;其中該半導體膜沉積設備包含:一晶圓固持器;一反應腔室;一氣體輸送路徑;一氣體分配裝置;一氣體歧管;及一遠端電漿單元;且其中該複合塗層安置於以下中之至少一者上:該晶圓固持器;該反應腔室;該氣體輸送路徑;該氣體分配裝置;該氣體歧管;或該遠端電漿單元。
  21. 如請求項20之方法,其中,沉積該第二層藉由以下中之至少一者來執行:陽極化、化學氣相沉積(CVD)、電漿氣相沉積(PVD)、電漿噴射塗佈或電漿電解氧化(PEO)。
  22. 如請求項20之方法,其中,該第一塗層包含以下中之至少一者:氧化鋁(Al2O3)、氧化釔(Y2O3)、氟化釔(YF3)、釔氧氟化物(YOxFy)、氟化鋁(AlF3)、氧化鈧(Sc2O3)、氧化鉿(HfO2)、氧化鑭(La2O3)、氧化釤(Sm2O3)、氧化釓(Gd2O3)、氧化鉺(Er2O3)、氧化鋯(ZrO2)或氧化鈰(CeO2)。
  23. 如請求項20之方法,其中,該第二塗層包含以下中之至少一者:氧化鋁(Al2O3)、氧化釔(Y2O3)、氟化釔(YF3)、釔氧氟化物(YOxFy)、氟化鋁(AlF3)、氧化鈧(Sc2O3)、氧化鉿(HfO2)、氧化鑭(La2O3)、氧化釤(Sm2O3)、氧化釓(Gd2O3)、氧化鉺(Er2O3)、氧化鋯(ZrO2)或氧化鈰(CeO2)。
  24. 如請求項20之方法,其中,該第一塗層處於該氣體分配裝置上,且該第一塗層之厚度在以下之間的範圍:1-10,000nm。
  25. 如請求項20之方法,其中,該第一塗層處於該氣體分配裝置上,且該第一塗層之厚度在以下之間的範圍:10-2,500nm。
  26. 如請求項20之方法,其中,該第一塗層處於該氣體分配裝置上,且該第一塗層之厚度在以下之間的範圍:100-500nm。
  27. 如請求項20之方法,其中,該第二塗層處於該氣體分配裝置上,且該第二塗層之厚度在以下之間的範圍:1-10,000nm。
  28. 如請求項10之方法,其中,該第二塗層處於該氣體分配裝置上,且該第二塗層之厚度在以下之間的範圍:10-2,500nm。
  29. 如請求項10之方法,其中,該第二塗層處於該氣體分配裝置上,且該第二塗層之厚度在以下之間的範圍:100-500nm。
  30. 如請求項20之方法,其中,該第一塗層處於該遠端電漿單元上, 且該第一塗層之厚度在以下之間的範圍:1-50,000nm。
  31. 如請求項20之方法,其中,該第一塗層處於該遠端電漿單元上,且該第一塗層之厚度在以下之間的範圍:10-25,000nm。
  32. 如請求項20之方法,其中,該第一塗層處於該遠端電漿單元上,且該第一塗層之厚度在以下之間的範圍:100-10,000nm。
  33. 如請求項20之方法,其中,該第二塗層處於該遠端電漿單元上,且該第二塗層之厚度在以下之間的範圍:1-50,000nm。
  34. 如請求項20之方法,其中,該第二塗層處於該遠端電漿單元上,且該第二塗層之厚度在以下之間的範圍:10-25,000nm。
  35. 如請求項20之方法,其中,該第二塗層處於該遠端電漿單元上,且該第二塗層之厚度在以下之間的範圍:100-10,000nm。
TW108137620A 2018-10-26 2019-10-18 用於預清除及蝕刻設備之高溫塗層及相關方法 TWI835892B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/172,535 2018-10-26
US16/172,535 US20200131634A1 (en) 2018-10-26 2018-10-26 High temperature coatings for a preclean and etch apparatus and related methods

Publications (2)

Publication Number Publication Date
TW202020209A TW202020209A (zh) 2020-06-01
TWI835892B true TWI835892B (zh) 2024-03-21

Family

ID=70327958

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108137620A TWI835892B (zh) 2018-10-26 2019-10-18 用於預清除及蝕刻設備之高溫塗層及相關方法

Country Status (5)

Country Link
US (1) US20200131634A1 (zh)
JP (1) JP2020068382A (zh)
KR (1) KR20200049530A (zh)
CN (1) CN111101128A (zh)
TW (1) TWI835892B (zh)

Families Citing this family (248)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
WO2020023302A1 (en) * 2018-07-26 2020-01-30 Lam Research Corporation Surface coating for plasma processing chamber components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
TWI838458B (zh) 2019-02-20 2024-04-11 荷蘭商Asm Ip私人控股有限公司 用於3d nand應用中之插塞填充沉積之設備及方法
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112239858A (zh) * 2019-07-17 2021-01-19 皮考逊公司 制造耐腐蚀涂覆物品的方法,耐腐蚀涂覆物品及其用途
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11976357B2 (en) * 2019-09-09 2024-05-07 Applied Materials, Inc. Methods for forming a protective coating on processing chamber surfaces or components
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021111783A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー チャネル付きリフトピン
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
US20210381107A1 (en) * 2020-06-03 2021-12-09 Micron Technology, Inc. Material deposition systems, and related methods and microelectronic devices
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
CN112259432A (zh) * 2020-09-18 2021-01-22 中国科学院微电子研究所 一种远程等离子体输送管以及远程等离子体处理设备
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
JP2023132206A (ja) * 2022-03-10 2023-09-22 セメス カンパニー,リミテッド 基板処理装置及び基板処理方法
US20240047185A1 (en) * 2022-08-03 2024-02-08 Applied Materials, Inc. Shared rps clean and bypass delivery architecture
US20240043999A1 (en) * 2022-08-03 2024-02-08 Applied Materials, Inc. Single process gas feed line architecture

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200413561A (en) * 2002-10-02 2004-08-01 Genus Inc Passivation method for improved uniformity and repeatability for atomic layer deposition and chemical vapor deposition
TW201531583A (zh) * 2013-11-26 2015-08-16 Asm Ip Holding Bv 藉由原子層沉積法形成順應性的氧化、氮化、或碳化介電膜的方法
CN106133885A (zh) * 2014-04-25 2016-11-16 应用材料公司 用于高温应用的耐等离子体腐蚀的薄膜涂层
TW201641736A (zh) * 2015-03-17 2016-12-01 Asm智慧財產控股私人有限公司 原子層沉積設備
TW201833356A (zh) * 2016-11-29 2018-09-16 荷蘭商Asm Ip控股公司 將薄膜及氧化金屬薄膜沉積於基板表面上之方法

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6432479B2 (en) * 1997-12-02 2002-08-13 Applied Materials, Inc. Method for in-situ, post deposition surface passivation of a chemical vapor deposited film
US6182603B1 (en) * 1998-07-13 2001-02-06 Applied Komatsu Technology, Inc. Surface-treated shower head for use in a substrate processing chamber
US20030059535A1 (en) * 2001-09-25 2003-03-27 Lee Luo Cycling deposition of low temperature films in a cold wall single wafer process chamber
JP2004241203A (ja) * 2003-02-04 2004-08-26 Hitachi High-Technologies Corp プラズマ処理室壁処理方法
US7695567B2 (en) * 2006-02-10 2010-04-13 Applied Materials, Inc. Water vapor passivation of a wall facing a plasma
WO2008156176A1 (ja) * 2007-06-20 2008-12-24 Asahi Glass Company, Limited フッ素化剤による酸化物ガラスの表面処理方法
JP2014229680A (ja) * 2013-05-21 2014-12-08 ピーエスフォー ルクスコ エスエイアールエルPS4 Luxco S.a.r.l. 半導体装置及びその製造方法
US20150176124A1 (en) * 2013-12-19 2015-06-25 Intermolecular, Inc. Methods for Rapid Generation of ALD Saturation Curves Using Segmented Spatial ALD
EP3257070A4 (en) * 2015-02-13 2018-10-17 Entegris, Inc. Coatings for enhancement of properties and performance of substrate articles and apparatus
EP3271494A1 (en) * 2015-03-18 2018-01-24 Entegris, Inc. Articles coated with fluoro-annealed films
KR20160124992A (ko) * 2015-04-20 2016-10-31 삼성전자주식회사 기판 제조 장치, 및 그의 세라믹 박막 코팅 방법
US11326253B2 (en) * 2016-04-27 2022-05-10 Applied Materials, Inc. Atomic layer deposition of protective coatings for semiconductor process chamber components
US10186400B2 (en) * 2017-01-20 2019-01-22 Applied Materials, Inc. Multi-layer plasma resistant coating by atomic layer deposition
US11401599B2 (en) * 2018-06-18 2022-08-02 Applied Materials, Inc. Erosion resistant metal silicate coatings

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200413561A (en) * 2002-10-02 2004-08-01 Genus Inc Passivation method for improved uniformity and repeatability for atomic layer deposition and chemical vapor deposition
TW201531583A (zh) * 2013-11-26 2015-08-16 Asm Ip Holding Bv 藉由原子層沉積法形成順應性的氧化、氮化、或碳化介電膜的方法
CN106133885A (zh) * 2014-04-25 2016-11-16 应用材料公司 用于高温应用的耐等离子体腐蚀的薄膜涂层
TW201641736A (zh) * 2015-03-17 2016-12-01 Asm智慧財產控股私人有限公司 原子層沉積設備
TW201833356A (zh) * 2016-11-29 2018-09-16 荷蘭商Asm Ip控股公司 將薄膜及氧化金屬薄膜沉積於基板表面上之方法

Also Published As

Publication number Publication date
US20200131634A1 (en) 2020-04-30
JP2020068382A (ja) 2020-04-30
CN111101128A (zh) 2020-05-05
KR20200049530A (ko) 2020-05-08
TW202020209A (zh) 2020-06-01

Similar Documents

Publication Publication Date Title
TWI835892B (zh) 用於預清除及蝕刻設備之高溫塗層及相關方法
JP7408273B2 (ja) チャンバコンポーネント用金属オキシフッ化物膜
JP7134283B2 (ja) エルビウム系プラズマ耐性セラミックコーティングの見通し外堆積
JP7026014B2 (ja) 原子層堆積による多層耐プラズマ性コーティング
TWI794238B (zh) 於單一加工腔室中自半導體膜移除氧化物及碳之裝置及方法
CN108878246B (zh) 用于腔室部件的多层等离子体侵蚀保护
JP7125251B2 (ja) 半導体処理チャンバコンポーネント用の保護コーティングの原子層堆積
KR20210005961A (ko) 금속 오염을 제어하기 위한 챔버의 인-시튜 cvd 및 ald 코팅
JP2019094566A (ja) フッ素プラズマに対する保護に適した保護コーティングを有するチャンバ構成要素
JP6861323B2 (ja) チャンバ生産性向上のための希土類系オキシフッ化物aldコーティング
TWI403607B (zh) The Ti-based film deposition method and storage medium
TWI763707B (zh) Cvd反應器及cvd反應器之清潔方法
TWM595646U (zh) 氟化物塗覆的製品
KR20200030629A (ko) 고온 가열기들을 위한 원자 층 증착 코팅들
TW202012168A (zh) 通過原子層沉積來沉積的抗侵蝕金屬氧化物塗層
US20020058108A1 (en) Pre-treatment of reactor parts for chemical vapor deposition reactors
JP3224084U (ja) 原子層堆積法で堆積させた耐浸食性金属フッ化物コーティング
KR102549555B1 (ko) 공정 챔버용 부품 및 보호막 처리 장치
WO2023172362A1 (en) Advanced barrier nickel oxide (bnio) coating development for process chamber components via ozone treatment