JP2015517200A - 薄膜トランジスター機器上にケイ素含有膜を製造する方法 - Google Patents

薄膜トランジスター機器上にケイ素含有膜を製造する方法 Download PDF

Info

Publication number
JP2015517200A
JP2015517200A JP2014561148A JP2014561148A JP2015517200A JP 2015517200 A JP2015517200 A JP 2015517200A JP 2014561148 A JP2014561148 A JP 2014561148A JP 2014561148 A JP2014561148 A JP 2014561148A JP 2015517200 A JP2015517200 A JP 2015517200A
Authority
JP
Japan
Prior art keywords
silicon
silane
film
deposition
chemical vapor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2014561148A
Other languages
English (en)
Other versions
JP6092902B2 (ja
Inventor
マリカージュナン アヌパマ
マリカージュナン アヌパマ
アンドリュー デイビッド ジョンソン
デイビッド ジョンソン アンドリュー
メイリャン ワン
メイリャン ワン
ニコラス ブルティス レイモンド
ニコラス ブルティス レイモンド
ビン ハン
ビン ハン
レイ シンチャン
レイ シンチャン
マーク レオナルド オニール
レオナルド オニール マーク
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Air Products and Chemicals Inc
Original Assignee
Air Products and Chemicals Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Air Products and Chemicals Inc filed Critical Air Products and Chemicals Inc
Publication of JP2015517200A publication Critical patent/JP2015517200A/ja
Application granted granted Critical
Publication of JP6092902B2 publication Critical patent/JP6092902B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/34Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies not provided for in groups H01L21/0405, H01L21/0445, H01L21/06, H01L21/16 and H01L21/18 with or without impurities, e.g. doping materials
    • H01L21/44Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/38 - H01L21/428
    • H01L21/441Deposition of conductive or insulating materials for electrodes
    • H01L21/443Deposition of conductive or insulating materials for electrodes from a gas or vapour, e.g. condensation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4908Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET for thin film semiconductor, e.g. gate of TFT
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78651Silicon transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/7869Thin film transistors, i.e. transistors with a channel being at least partly a thin film having a semiconductor body comprising an oxide semiconductor material, e.g. zinc oxide, copper aluminium oxide, cadmium stannate

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)
  • Thin Film Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

本明細書中に記載されているのは、低温プロセスによる高品質のケイ素含有膜である。また開示されているのは、低温においてケイ素含有膜を生成させる方法である。一つの形態では、約2nm〜約200nmの厚さおよび約2.2g/cm3以上の密度を有するケイ素含有膜が提供され、このケイ素を含有する薄膜は、化学気相堆積(CVD)、プラズマ増強化学気相堆積(PECVD)、サイクリック化学気相堆積(CCVD)、プラズマ増強サイクリック化学気相堆積(PECCVD)、原子層堆積(ALD)、およびプラズマ増強原子層堆積(PEALD)からなる群から選択された堆積工程により堆積され、そしてこの蒸着は、約25℃〜約400℃の範囲の1つまたは2つ以上の温度において、ジエチルシラン、トリエチルシラン、およびそれらの組み合わせからなる群から選択されるアルキルシラン前駆体を用いて行われる。

Description

関連出願の相互参照
本特許出願は、2012年3月9日に出願された米国仮特許出願第61/608,955号明細書に基づく優先権を主張する。
種々の電子的用途で使用されるケイ素含有膜、例えば、特に限定されないが、化学量論的または非化学量論的酸化ケイ素膜または二酸化ケイ素(SiO)を調製するための方法と組成物が本明細書に記載される。
酸化ケイ素の薄膜は一般に、その誘電性のために半導体製造において誘電体として使用される。ケイ素ベースの半導体機器の製造において、酸化ケイ素膜は、ゲート絶縁層、拡散マスク、側壁スペーサー、ハードマスク、反射防止コーティング、不動態化と封入、およびその他のさまざまな用途に使用することができる。酸化ケイ素膜は、他の化合物半導体機器の不動態化のためにますます重要になってきている。
シリカや酸素以外の他の元素が、二酸化ケイ素膜中に存在してもよい。これらの他の元素は、時に、膜または所望の最終生成物の最終用途に依存して、組成混合物および/または堆積プロセス中に、意図的に添加することができる。例えば、窒素元素(N)は酸化ケイ素膜に加えられて、低いリーク電流などのある種の誘電性能を提供できるオキシ窒化ケイ素膜を形成することができる。ゲルマニウム(Ge)元素は酸化ケイ素膜に加えられて、膜の堆積温度を低下させ得るGeドープSiOを形成することができる。さらに、例えばホウ素(B)または炭素(C)などの他の元素は酸化ケイ素膜に加えられて、エッチング耐性を上昇させることができる。他の場合では、堆積プロセス中に不純物として元素を含有させることができる。例えば、有機前駆体が使用される場合、炭素(C)および/または水素(H)は、生じる膜に取り込まれてもよい。
いくつかの用途について、熱CVDよりも低い温度で酸化ケイ素膜を生成するために、ブラズマ増強化学気相堆積(PECVD)が使用される。テトラエトキシシランまたはTEOS(分子式Si(OC)は、PECVDを介して酸化ケイ素膜を堆積するための一般的な前駆体である。これは典型的には、堆積膜中の残留炭素汚染を最小限にするために、高流量の酸素源(例えばOまたはO)と組合せて使用される。TEOSは、安定で不活性な高蒸気圧液体として供給され、シラン(SiH)などの他の前駆体より危険性は小さい。
例えばコスト(例えば、より安価な基材を使用する能力)および熱量(例えば、感熱性高性能膜の組み込みによる)などの種々の理由により、より低い堆積温度、すなわち400℃以下の堆積温度に移行する一般的な動きがある。さらに、PECVDによりTEOSを使用して堆積される膜については、ギャップフィルおよび共形性(conformality)はこれらの低堆積温度で、より良好である。しかし、これらの膜の品質は劣る。この点で、TEOS堆積膜は、化学量論的組成を有さず、水素リッチであり、従って低膜密度および速いエッチング速度を有する。これに対応して、熱的および/または電気的ストレス下でのこれらの膜の性能(バイアス温度ストレス下でのアニールまたはフラットバンド電圧シフト後のリーク電流と絶縁破壊電圧)はより悪い。したがって、より低い堆積温度範囲で堆積するが、TEOSよりも良好な品質の酸化ケイ素膜または二酸化ケイ素膜を提供する代替の前駆体に対するニーズがある。
フラットパネルディスプレイ用の薄膜トランジスター(TFT)は、より低い加工温度の恩恵を受け、従って代替基材(軽く、現在のガラスよりも安価である)を使用することができる。このため、ブラズマ増強化学気相堆積(PECVD)は、TFTに使用される膜を堆積するための好適な方法として浮上している。AOS(アモルファス酸化物半導体)は、TFTの代替として急速に浮上してきており、より高いパフォーマンスを与え、より低いTで加工可能である.インジウムガリウム酸化亜鉛(IGZO)及び変化物が主な候補である。代替AOS材料は、ITZO、AlInOx、ZTO、ZnON、およびMgZnOを含む。IGZO材料は、<350℃の温度限界を有する。新規のゲート金属もまた温度限界を有することがある。さらに、プラスチック基材について処理温度を200℃未満へ低下させることが望ましく、シランまたはTEOSなどの標準的前駆体から堆積されたPECVD膜は、密度、電気的品質、および共形性などのすべての要件のバランスをとることができない。従って、堆積およびプロセス工学の方法と連携して動作して、より低い堆積温度で高品質のTFT膜を製造することができる代替前駆体に対するニーズがある。
酸化ケイ素の場合には、TEOS(テトラエチオルトケイ酸塩)は、PECVDを介して酸化ケイ素膜または二酸化ケイ素膜を形成するための好適な液体前駆体であり、通常、酸素と反応される。しかし、“P−1:The Application of Tetraethoxysilane (TEOS) Oxide to a−Si:H TFTs as the Gate Insulator”と題された文献、J.K.Lee et al., ISSN 0098−0966X/98/2901 (1998) (「Leeら」)は、ゲート絶縁体としてテトラエトキシシラン(TEOS)堆積酸化物を有するボトムゲートa−Si:H薄膜トランジスター(TFT)の使用を記載する。Leeらでは、300℃で堆積された2000ÅのTEOS酸化物膜は高い破壊強度を有し、これは、PECVDにより調製された2000ÅのSiNx膜の2倍である。著者らは、表2において、TEOS1800A/SiNx500Aスタックが、はるかに厚いAl1000A/SiNx4000Aスタックよりも良好なTFT特性を有することを証明している。著者の結論によれば、全ゲート絶縁体の厚さを減少させることにより、製造収率を低下させることなく、生産性(スループットの点で)を上昇させることができる。
“Monte Carlo Simulation of Surface Kinectics During Plasma Enchanced Chemical Vapor Deposition of Si0 2Using Oxygen/T etaethoxysilane Chemistry”と題された文献、P. J. Stout et al., J. Vac. Sci. Techynol. A 11(5), Sept/Oct. 1993, pp. 2562− 71 (「Stoutら」)は、高O/TEOS比を有する膜のPECVD堆積メカニズムの多段階理論モデルを提供する。スタウトらに記載されたモデルでは、Si(OR)(OH)4−n(n=1〜3)およびO種は基材上に入射する。次に、SiOネットワークは、−OH基を除去することによって伝播する。
Si−OR+Si−OH→Si−O−Si+R−OH
Si−OH+Si−OH−→Si−O−Si+H
スタウトらは、例えば低温堆積プロセスのように、最後の反応が完全に起こらない場合、Si(OR)(OH)4−n分子種が発生する可能性があることを示している。したがって、得られた膜は、Si−OH結合を含有することがある。
“Thickness Dependence of Properties of Plasma Deposited Amorphous Si02 Films”,と題された文献、L. N. He et al., Jpn. J. Appl. Phys. Vol. 40 (2001), pp. 4672−4676(「Heら」)は、SiH−Oの混合物を使用して、300℃でPECVDにより調製されたアモルファスSiO膜を調製するための方法を教示している。[O]/[SiH]比は1.5に維持され、化学量論的組成を有する酸化物膜を得ることができた。Si−O−Si伸縮モード、応力、Siダングリング結合の密度、および緩衝化HF(BHF)エッチング速度が、膜厚の関数として検討された。膜厚が0.1μmから1.1μmに上昇すると、ほぼ1050cm−1に中心のあるSi−O−Si伸縮モードのピーク周波数が1050cm−1から1075cm−1に上昇することがわかった。膜/基材システムの多重反射の効果に基づいた計算と比較すると、Si−O−Si伸縮モードの高周波数へのシフトは、多重反射の効果だけでなく、膜成長中の加熱および/またはイオン衝撃の物理的効果(これは、Si−O−Si結合ネットワークの再構成に寄与するであろう)によることがわかった。Heらは、図5aと図5bにおいて、膜厚(μm)が減少すると、緩衝化フッ化水素酸(BHF)のエッチング速度(Å/秒)と(Ns)値で示される膜中のSiダングリング結合の密度が上昇することを示している。より厚いPECVD SiO膜は、結合ネットワークにおけるより低度の無秩序性、より少ない欠陥、およびより遅いBHFのエッチング速度を有することが、文献に記載されている。より遅いBHFのエッチング速度は、微小空隙の減少を示す。従って、上記のすべての特性は、膜密度の上昇の証明である。この理由は、Si−O−Si結合ネットワークの構成に寄与するであろう膜成長中の加熱とイオン衝撃の影響であると予測される。すなわちHeらの知見から、より薄い膜は、より厚い膜より低品質であると推定される。
Heらは、ゲート誘電体層が0.1μm未満の厚さを必要とするのに対し、不動態化層はほぼ0.1μmの厚さが必要であることを教示している。ゲート誘電体層の用途では、より薄いゲート誘電体層は、静電容量を増大させ、従って、必要な閾値電圧を低下させて、トランジスターをオンまたはオフするため、望ましい。しかし、膜のリーク電流、絶縁破壊電圧、およびピンホールのない誘電体または共形誘電体を堆積させる能力などの実際的な考察は、より厚い膜を使用する必要があることを示している。Leeらの文献はこの問題を示し、より薄いゲート誘電体または誘電体スタックが、TFTなどの機器のための重要な利点を持つことができることを示している。したがって、高品質であるが薄い膜を堆積する問題を解決するニーズがある。より低い堆積温度が必要とされる場合、この問題は特に重要である。もしSi−OH反応が完了しないと(例えば、堆積が低温で行われるため)、TEOS堆積SiO膜は残存シラノール(Si−OH)の取り込みをすることができることが、Stoutらから推測できる。
薄膜の品質は、密度、応力、屈折率、透明性、湿潤エッチング速度、乾燥エッチング耐性と選択性、膜組成と化学量論からのずれ、不純物含有量、捕捉された水分、捕捉された水素(異なる結合化学形態および移動性形態で)などのいくつかの特性によって測定することができる。関係するいくつかの電気的特性は、絶縁破壊電圧、リーク電流、絶縁破壊電荷、界面準位、界面電荷、表面電荷、固定電荷、バルクトラップ、移動電荷、界面トラップ、誘電率;および、薄膜が電気的および/または熱的ストレスにさらされた場合の、これらのパラメータの安定性である。構造的、光学的および電気的特性は、通常、相互に関連しており、TFT応用のためのゲート絶縁体または不動態化絶縁体に特に関係がある。例えば、より高密度の膜はより少ない不純物や欠陥を有すると予測され、したがって、より良好なバリア絶縁性能または電気絶縁性能を有すると予測される。
非常に薄い膜(数オングストロームの厚さ)が、厚い膜とは異なる特性を有し得ることが、一般に認識されている。このような効果は、例えば、初期の堆積(例えば、プラズマに基づく堆積)に必要な安定化時間、核生成効果(基材に依存することがある)、基材による応力効果、およびこれらの組み合わせによることができる。膜が厚く成長するにつれて、熱アニーリングと緻密化の効果は、膜がよりバルク状になる特性を改善する。
“Low pressure chemical vapor deposiion of silicon dioxide using ditheylsilane”と題された文献、Chem Mater 5, 1993, p.1710 by Levy, Grow and Chakravarthy、は、ジエチルシランを前駆体として使用するSiO膜の低圧化学気相堆積を教示している。これらの膜は、350℃〜475℃の範囲の温度で堆積され、その成長速度は、見かけの活性化エネルギーが10kcal/moでアレニウス挙動に従うことが観察された。成長速度は、高圧になるとともに上昇し、O流速の平方根とO/DES比の関数として変動することが見られた。400℃で行われた圧力とO/DES比との試験の両方において、堆積中に急な停止の点があった。膜の密度と屈折率は、堆積条件に依存せずに、それぞれ2.25g/cmと1.46であった。25℃のP−エッチング溶液(例えば、15部のHF(49%):10部のHNO(70%):300部のHOの容積比)膜のエッチング速度は、材料の緻密化を反映して、より高い堆積温度またはアニーリング温度とともに減少した。約1.3のアスペクト比について、膜は、55%を超える良好な段差被覆(step coverage)を示した。
“Diethylsilane on silicon surfaces: Adsorption and decomposition kinetics”と題された文献、Coon, P. A. et al., J. Vac. Sci. Technol. B, Vol 10 (1992), pp. 221−227、(「Coonら」)は、ケイ素表面について、レーザー誘起熱脱離(LITD)、昇温脱離、およびフーリエ変換赤外(FTIR)分光法を使用して、ジエチルシラン(DES)(CHCHSiHの吸着および分解速度論を研究した。LITD測定は、Si(111)7×7上のDESの初期の反応性付着係数が、表面温度に対して200KでS≒1.7x10−3から440KでS≒4×10−5に低下していることを確認した。温度依存性付着係数は、前駆体が媒介する吸着メカニズムを示唆した。高表面積多孔質ケイ素表面のFTIR試験は、DESが300℃でSiHとSiCの表面分子種を解離吸着し、生成することを示した。アニーリング試験もまた、SiC表面分子種が分解すると、多孔質ケイ素上の水素被覆率が上昇することを明らかにした。CH=CHおよびHは、Si(111)7x7上のDES吸着後に、それぞれ700Kと810Kで観察された脱着生成物であった。エチル基分解時のエチレン脱着と水素被覆率の増大は、SiC表面分子種のβ−ヒドリド除去メカニズム(すなわち、SiC→SiH+CH=CH)に一致した。等温LITD試験は、Si(111)7x7上のSiCの分解速度を、DES曝露後の時間の関数として追跡した。一次分解速度は、Ed=36kcal/molであり、vd=2.7×109/秒であった。これらの分解速度は、ケイ素表面がβ−ヒドリド除去反応を触媒することを示唆している。
“Study of Plasma−Deposited Amorphous Si0 2films Using Infrared Absorption Techniques”と題された文献、He L. et al., Thin Solid Rims 384(2) (2001), pp. 195− 199、(「Heら」)は、ブラズマ増強化学気相堆積(PECVD)による、SiH−O混合物を使用する300℃でのSiO(a−SiO)膜の調製を教示する。Si−O結合の赤外線(IR)吸収特性は、膜厚(d)の関数として研究されている。Heらは、Si−O曲げモードと伸縮モードから生じる、それぞれ800cm−1と1050cm−1バンドの見かけの吸収αappが、αapp=k×dとしてdに比例することを教示する。800cm−1と1050cm−1バンドの比例定数kは、それぞれ3.2×10および2.9×10cm−1であると推定されている。従って、PECVD a−SiOの膜厚は、IR吸収法を使用して非破壊的に測定することができる。しかし、1050cm−1バンドの積分吸収強度は、膜厚の増加とともに上昇した。これに対して、800cm−1バンドの積分吸収強度は、膜厚に依存しなかった。Si−O伸縮モードと曲げモードの両方の赤外線吸収の特性が考察される。
“The LPCVD of silicon oxide films below 400 DegC from liquid sources”と題された文献、Hochberg, A. K. et al., J. Electrochem. Soc. FIELD Full Journal Title:Journal of the Electrochemical Society 136(6), (1989), pp. 1843−4(「Hochbergら」)は、TEOSおよびテトラ−、メトキシ−、テトラブトキシ−、およびテトラプロポキシ−シランを含む種々のSi化合物を試験した後、ジエチルシランの(DES)が、SiO膜の低温低圧化学気相堆積(LPCVD)のための安全で、簡単に利用できる適切な供給源であることを見いだした。Hochbergらは、DESが、400℃未満(同等の堆積速度について、テトラエトキシシランより300℃低い)で共形膜を合理的に生成すると、教示している。精製されたDESは、非自然発火性および非毒性であり、その酸化物膜は、アルミニウム基材上の段差被覆について、低温酸化物用のシランより優れている。Hochbergらはまた、トリ−Meホスファイトをジエチルシランに添加することにより、PドープSi酸化物膜を堆積させた。
“SiO films by low pressure chemical vapor deposition using diethylsilane: processing and characterization”,と題された文献、Huo, D. T. et al., J. Vac. Sci. Technol., Journal of Vacuum Science & Technology, Vol. 9(5) (1991), pp. 2602−2606、(「Huoら」)は、ジエチルシランを使用して、低温(≦400℃)で低圧化学気相堆積法により、Siウェーハー上にSiO膜を調製した。堆積された膜は、良好な共形性(85%)、低残存炭素濃度(<1原子%)および低残存応力(<10ダイン/cm)を有し、これは、他の方法により調製された膜と好適に比較される。成長速度は加工パラメータと相関し、堆積プロセスが、不均一な2分子反応速度論に従うことを示している。いくつかの加工条件下で調製されたSiO膜中のHSi−O曲げバンド(880cm−1)の存在を検出するために、IR分光法が使用された。反応速度論モデルに基づいて、SiO膜中のSiH取り込みと移動性電荷キャリアー濃度を低下させるために、加工条件は最適化された。
“A Comparative Study of Plasma Enhanced Chemically Vapor Deposited Si−O−H and Si−N−C−H films Using the Environmentally Benign Precursor Diethylsilane”と題された文献、Levy, R. A. et al., Materials Letters, Vol. 54(2−3) (2002), pp. 102−107(Levy Iら)は、ブラズマ増強化学気相堆積(PECVD)により、S−O−HまたはSi−N−C−H膜を合成するために、NOまたはNHとともに前駆体としてジエチルシラン(DES)を使用した。成長速度は温度の上昇とともに低下するが、総圧力の上昇とともに上昇することが観察された。最適な特性を有する酸化物膜は、堆積温度300℃、総圧力0.3Torr、DES流速15sccm、およびNO/DES流速比16で合成された。屈折率、応力、硬度、およびヤング率の比較値は、加工変数の関数として提示されており、膜密度と得られる膜組成に相関する。
“Plasma enhanced chemical vapor depositbn of Si−N−C− H films from environmentally benign organosilanes”と題された文献、Levy, R. A et al., Mater. Lett. FIELD Full Journal Title: Materials Letters, Vol. 24(1,2,3) (1995), pp. 47−52、(Levy IIIら)は、ブラズマ増強化学気相堆積により、水素化された炭窒化ケイ素膜を合成するために、NHとともに前駆体ジエチルシラン(DES)とジ−t−ブチルシランとを使用した。成長速度および膜特性は、堆積温度、圧力、およびNH/有機シラン比の関数として調べられた。
“Low Pressure Chemical Vapor Deposition of Silicon Dioxide below 500℃ by the Pyrolysis of Diethylsilane in Oxygen”と題された文献、Patterson, J. D. et al., Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures Vol. 10(2) (1992), pp. 625−632(「Pattersonら」)は、液体ジエチルシランと酸素を用いる、水平LPCVD炉中のSiOの低圧化学気相堆積(LPCVD)を教示している。425℃〜500℃までの範囲の温度堆積ウィンドウが観察され、最大堆積速度は275Å/分となった。堆積速度の圧力依存性は、450℃の堆積温度で、気相反応について閾値950mTorrを明らかにした。ラザフォード後方散乱分光法による膜の分析は、堆積されたままの膜が堆積温度≦450℃について化学量論的SiOであることを示した。ウェーハー均一性にわたって最適なケースは、ケージボートについて±5%であった。湿式化学反応性イオンエッチング速度は、アニーリングの熱酸化物と同等であることが見出された。深さ2μm幅1μmでケイ素トレンチ上に堆積されたSiO膜の断面の走査型電子顕微鏡画像は、80%の共形性を明らかにした。450℃で堆積された膜の電気的特性が研究された。膜の電気的特性は、堆積されたままで、およびコールドウォール急速熱アニーリング(RTA)で膜をアニーリング後に、試験された。RTAは、950〜1100℃の範囲の温度で、Ar、N、またはO環境で行われた。電気的解析のために、電流−電圧、電流−温度、および静電容量−電圧測定が行われた。壊滅的な破壊電界測定は、堆積したままの500Å膜について9.5MV/cmの電界強度示した。リーク電流伝導メカニズムの試験は、堆積したままの膜が、高電界および高温でトラップ伝導メカニズムを示すことを、示した。しかし、堆積の後にArまたはO中でRTAを行うと、リーク電流は、厳密にファウラーノルドハイムメカニズムに従い、熱酸化物と同等のリーク電流の電界依存性を与える。結果は、酸化物堆積の後にArまたはO中でRTAを行うと、固定電荷密度について6×1010/cmという低い値が得られることを示した。
“Enhancement of mechanical properties of organosilicon thin films deposited from diethylsilane”と題された文献、Ross, A. D. et al., Journal of Vacuum Science and Technology A: Vacuum, Surfaces and Films Vol. 23(3) (2005), pp. 465−469(Rossら)は、パルス化ブラズマ増強化学気相堆積を使用して、ジエチルシランと酸素から有機ケイ素薄膜を堆積させた。フーリエ変換赤外分光(FTIR)分析は、堆積されたままの材料で、多量の有機物含有量、並びにヒドロキシルおよびシラノール部分を示した。FTIRは、400℃で1時間アニーリング後、ヒドロキシル基の完全な除去を示した。この除去は、追加のSi−O−Si結合の形成に至る近くのヒドロキシル基間の縮合反応を示し、これは、膜の硬度と弾性率との両方を上昇させるであろう。硬度および弾性率の両方とも、アニーリング後に50%超上昇したため、機械的特性の測定はこの仮説に従った。膜の構造と特性は、前駆体供給比に強く依存した。
“Mechanisms of silicon dioxide deposition from the low pressure chemical vapor deposition of diethylsilane/oxygen mixtures”と題された文献、Martin, J. G. et. al., Journal of the Electrochemical Society 142(11) (1995), pp. 3873−80(「Martinら」)は、低圧化学気相堆積(LPCVD)下、および充填攪拌流反応器内と非充填攪拌流反応器内での条件下で、ジエチルシラン/酸素の気相生成物、二酸化ケイ素膜特性および動力学の研究を行った。流量、反応物組成、圧力、および各種添加剤(例えば、エテン、ヘリウム、トルエン、塩化メチル、および各種過酸化物)の、反応生成物、生成物の収率、反応速度への影響は、反応のフリーラジカル性を確認し、時に膜堆積プロセスで遭遇するカットオフと起動時の問題のためのメカニズムの解釈を提供する。フリーラジカル源分子の添加は、反応を促進する。すなわち、約400℃±20℃の処理温度は,膜質や膜堆積速度に影響を与えることなく、LPCVDプロセスで使用することができる。この処理温度の低下が、試験の主な目的であった。膜の品質および均一性によって判断すると、最良の結果は、DES/O/プロモーター反応混合物のLPCVD反応器滞留時間をプロモーターの分解寿命と一致させることによって達成された。効果的な処理温度は、t−BuOOHの添加で315℃、n−BUNOの添加で270℃、および(t−BuO)の添加で250℃であった。
米国特許第4,981、724号明細書(「’724特許」)は、二酸化ケイ素を堆積するための化学気相堆積法であって、堆積が望まれる基材を、約0.1Torr〜約1.5Torrの圧力を有する真空中で約325℃〜約700℃の温度に加熱する工程と、アルキルシラン、アリールシランおよびアラルキルシラン(アルキル−、アリール−またはアラルキル−部分は、2〜6個の炭素を含む)からなる群から選択されるシランおよび酸素または二酸化炭素を真空中に導入する工程とを含む方法を教示する。’724特許のある態様において、ケイ素含有原料は、基本的にジエチルシランからなる。
米国特許第5,040,046号明細書(「’446特許」)は、選択された基材上に、二酸化ケイ素SiOまたは窒化ケイ素Si層を形成する方法であって、ブラズマ増強化学気相堆積(PECVD)で、ジエチルシランC12Siに、選択された酸素含有化合物または窒素含有化合物を反応させることを含む方法を記載する。形成されるコーティングの共形性は、85%〜98%の範囲である。関連するガス流処理システムのジエチルシラン液体源は、室温のような低いソース温度で維持し操作することができる。
米国特許出願公開第2009/0104790号明細書(「’790公報」)は、Si前駆体と原子OまたはN前駆体とを、約150℃以下の処理温度で反応させて、基材上にSi酸化物またはSi−N含有層を形成することにより、半導体構造を形成するための方法を教示する。Si酸化物またはSi−N含有層は、O含有環境内でUV硬化される。
上記のように、表示機器におけるゲート絶縁層のためのある種の用途には、薄い膜が望ましい。この点において、ゲート絶縁層は静電容量を増加させる(したがって、トランジスターをオンまたはオフするために必要な閾値電圧を低下させる)ことが望ましい。より薄い膜はまた、より少ない化学物質の使用のために、安価であり環境に優しい。機器のサイズも減少させることができて、小型化のメリットを助ける。いくつかの例において、より薄い膜はより高いスループットを有し、製造工程のサイクルタイムを減少させる。しかし、膜のリーク電流やピンホールのない誘電体を堆積させる能力などの実際的な考慮が、より厚い膜が使用される必要があることを示す。したがって、最適な特性を有する高品質の薄膜を堆積する問題を解決する必要がある。上記の参考文献は、より低い堆積温度で高品質の膜を得ることが、難しいことを示している。
ケイ素含有膜を堆積させる方法、およびケイ素含有膜を含む機器が、本明細書に記載される。
ある形態において、基材の少なくとも1つの表面上にケイ素含有膜を堆積させる方法であって、反応チャンバー中に前記基材の前記少なくとも1つの表面を提供する工程と、式RSiH(式中、Rは、C〜C10の直鎖または分枝アルキル基、C〜C10の環状アルキル基、C〜C12のアルケニル基、C〜C12のアルキニル基、およびC〜C10のアリール基からなる群から選択され、RおよびRは、水素、C〜C10の直鎖または分枝アルキル基、C〜C10の環状アルキル基、C〜C12のアルケニル基、C〜C12のアルキニル基、およびC〜C10のアリール基から独立して選択され、そしてRおよびRが水素でない場合、RおよびRのいずれか1つとRとは結合して環を形成できる。)を有するアルキルシラン前駆体を前記反応チャンバー中に導入する工程と、前記反応チャンバー中に酸素源を導入する工程と、25℃〜400℃の範囲の1つまたは2つ以上の反応温度において前記基材の前記少なくとも1つの表面上に前記ケイ素含有膜を堆積プロセスにより堆積させる工程と、を含み、前記ケイ素含有膜が、2nm〜200nmの範囲の厚さおよび約2.2g/cm以上の密度を含み、前記堆積ブロセスが、化学気相堆積(CVD)、ブラズマ増強化学気相堆積(PECVD)、サイクリック化学気相堆積(CCVD)、プラズマ増強サイクリック化学気相堆積(PECCVD)、原子層堆積(ALD)、およびプラズマ増強原子層堆積(PEALD)からなる群から選択される、方法が提供される。
別の形態において、約2nm〜約200nmの厚さおよび約2.2g/cm以上の密度を含むケイ素含有膜であって、このケイ素を含有する薄膜が、化学気相堆積(CVD)、プラズマ増強化学気相堆積(PECVD)、サイクリック化学気相堆積(CCVD)、プラズマ増強サイクリック化学気相堆積(PECCVD)、原子層堆積(ALD)、およびプラズマ増強原子層堆積(PEALD)からなる群から選択された堆積プロセスによって堆積され、そして前記気相堆積がジエチルシラン、トリエチルシラン、およびそれらの組み合わせからなる群から選択されるアルキルシラン前駆体を使用して、約25℃〜約400℃の範囲の1つまたは2つ以上の温度において行われる、ケイ素含有膜が提供される。
さらなる形態において、薄膜トランジスター機器中の少なくとも1つの表面上にケイ素含有膜を堆積させる方法であって、反応チャンバー中に前記薄膜トランジスターの前記少なくとも1つの表面を提供する工程と、式RSiH(式中、Rは、C〜C10の直鎖または分枝アルキル基、C〜C10の環状アルキル基、C〜C12のアルケニル基、C〜C12のアルキニル基、およびC〜C10のアリール基からなる群から選択され、RおよびRは、水素、C〜C10の直鎖または分枝アルキル基、C〜C10の環状アルキル基、C〜C12のアルケニル基、C〜C12のアルキニル基、およびC〜C10のアリール基から独立して選択され、そしてRおよびRが水素でない場合、RおよびRのいずれか1つとRとは結合して環を形成できる。)を有するアルキルシラン前駆体を前記反応チャンバー中に導入する工程と、前記反応チャンバー中に酸素源を導入する工程と、25℃〜400℃の範囲の1つまたは2つ以上の反応温度において前記薄膜トランジスター機器の前記少なくとも1つの表面上に前記ケイ素含有膜を堆積プロセスにより堆積させる工程と、を含み、前記ケイ素含有膜が、2nm〜200nmの範囲の厚さおよび2.2g/cm以上の密度を含み、前記堆積ブロセスが、化学気相堆積(CVD)、ブラズマ増強化学気相堆積(PECVD)、サイクリック化学気相堆積(CCVD)、プラズマ増強サイクリック化学気相堆積(PECCVD)、原子層堆積(ALD)、およびプラズマ増強原子層堆積(PEALD)からなる群から選択される、方法が提供される。
さらに別の形態において、本明細書に記載の低温ケイ素含有膜は、約2nm〜約200nmの厚さと、約2.2g/cm以上の密度を有する。このまたは他の態様において、ケイ素含有膜の密度は2.25g/cm以上である。本明細書に記載の前記態様において、膜の厚さが減少すると、ケイ素含有膜の密度は上昇した。
図1Aは、実施例の表1に記載されたBL−2プロセス条件を使用して、3種の異なる温度(400℃、300℃、および200℃)で堆積されたジエチルシラン(2ES)膜について、測定された密度への膜厚の影響を示す。
図1Bは、実施例の表1に記載されたBL−3プロセス条件を使用して、3種の異なる温度(400℃、300℃、および200℃)で堆積されたジエチルシラン(2ES)膜について、測定された密度への膜厚の影響を示す。
図1Cは、実施例の表1に記載されたBL−2プロセス条件を使用して、3種の異なる温度(400℃、300℃、および200℃)で堆積されたテトラエトキシシラン(TEOS)膜について、測定された密度への膜厚の影響を示す。
図1Dは、実施例の表1に記載されたBL−3プロセス条件を使用して、3種の異なる温度(400℃、300℃、および200℃)で堆積されたTEOS膜について、測定された密度への膜厚の影響を示す。
図2Aは、実施例の表1に記載されたBL−2プロセス条件を使用して、3種の異なる温度(400℃、300℃、および200℃)で堆積されたトリエチルシラン(3ES)膜について、測定された密度への膜厚の影響を示す。
図2Bは、実施例の表1に記載されたBL−3プロセス条件を使用して、3種の異なる温度(400℃、300℃、および200℃)で堆積されたトリエチルシラン(3ES)膜について、測定された密度への膜厚の影響を示す。
図3は、実施例の表1に記載されたBL−2プロセス条件を使用して、400℃で堆積されたジエチルシラン(2ES)膜の、薄い(例えば76nm)膜と厚い(例えば678nm)膜のFTIRスペクトルを示す。
図4Aは、表1に記載されたBL−1プロセス条件を使用して、3種の異なる温度(400℃、300℃、および200℃)で堆積されたTEO堆積膜と2ES堆積膜の誘電率(「K」)値の比較を示す。
図4Bは、表1に記載されたBL−1プロセス条件を使用して、3種の異なる温度(400℃、300℃、および200℃)で堆積された、TEO堆積膜と2ES堆積膜の湿潤エッチング速度(WER)の比較を示す。
図5は、表1に記載されたBL−3プロセス条件を使用して、300℃で堆積された、TEOS堆積膜と2ES堆積膜のリーク電流対電界の比較を示す。
図6は、表1の条件を使用して,2ESおよびTEOS SiO堆積された膜について測定された、フラットバンド電圧(Vfb)対厚さ(Åで測定)の比較を示す。
図7aは、本明細書に記載の装置の種々の態様の例を提供する。 図7bは、本明細書に記載の装置の種々の態様の例を提供する。 図7cは、本明細書に記載の装置の種々の態様の例を提供する。 図7dは、本明細書に記載の装置の種々の態様の例を提供する。
図8は、表2のプロセス条件を使用して,以下の温度(100℃、125℃、および150℃)で堆積された3ES膜について、リーク電流(アンペアで測定)と電界(MV/cmで測定)との関係を提供する。
図9は、表2のプロセス条件を使用して,以下の温度(100℃、125℃、および150℃)で堆積された3ES膜について、湿潤エッチング速度を提供する。
図10は、表3のプロセス条件を使用して,100℃で堆積された2ES膜について、リーク電流(アンペアで測定)と電界(MV/cmで測定)との関係を提供する。
図11は、例6で堆積された低温酸化物膜について、誘電率(K)の変化パーセントと密度との関係を提供する。
金属酸化物または透明の金属酸化物(例えば、IGZOベースのTFT)を含む装置は、特に限定されないが、モバイルディスプレイなどの表示機器に実装されている。透明な金属酸化物の組成がIGZOを含む1つの具体的態様において、装置に供することができる処理温度の上限に関連する熱量は、1つまたは2つ以上のゲート絶縁膜が300℃以下の温度で堆積されることを必要とする。このまたは他の態様において、前記1つまたは2つ以上のゲート絶縁層は、約2.2g/cm以上の密度と約2nm〜約200nmの範囲の厚さとを有する化学量論的または非化学量論的酸化ケイ素膜または二酸化ケイ素膜を含む。この点で、表示機器において金属酸化物層に1つまたは2つ以上のゲート絶縁層として使用することができるケイ素含有膜のための所望の特性は、以下の1つ以上を含む:約400℃以下の堆積温度;約2.2g/cmまたは2.2g/cc以上の密度;約50%以上の共形性;約1.9〜約2.1(X線分光法XPSにより測定される)の範囲のO/Si比;約1×10A/cm以下で最大7MV/cmのリーク電流密度;およびそれらの組み合わせ。上記に加えて、特定の態様では、ケイ素含有膜またはケイ素含有層は、ラザフォード後方散乱、水素前方散乱(HFS)または他の方法などの分析技術を用いて測定した場合、約5原子パーセント(%)以下の水素含有量を有する。また、ゲート絶縁層として使用するために、400℃以下の温度でこれらのケイ素含有膜を形成するための方法が、本明細書に開示される。本発明は、加工温度を低下させ、他の選択肢の中から代替基材を可能にすることにより、最終ユーザーが高品位の機器(より速いIGZOベースのTFTおよび/またはより安価な製造)を得ることを可能にする。
少なくとも1つのケイ素含有層と少なくとも1つの透明の金属酸化物層を有する表示機器のための1つまたは2つ以上のゲート絶縁層として使用できるケイ素含有膜を堆積させる方法が、本明細書に記載される。ゲート絶縁層という用語は、特に限定されないが、表示機器、例えばTFT機器、OLED機器、LED機器または他の表示機器などにおける、不動態化層、ゲート誘電体層、エッチング停止層、または他の適切な層を意味することができる。本明細書で使用されるケイ素含有膜は、ケイ素膜、アモルファスケイ素膜、結晶ケイ素膜、微結晶ケイ素膜、多結晶ケイ素膜、化学量論的または非化学量論的酸化ケイ素膜、化学量論的または非化学量論的二酸化ケイ素膜、炭素ドープ酸化ケイ素膜、炭窒化ケイ素膜、オキシ窒化ケイ素膜を意味することができる。上記のうち、1つまたは2つ以上のケイ素含有膜は、酸化ケイ素または二酸化ケイ素から構成されている。用語「金属酸化物」または「透明金属酸化物」は、表示機器での使用に適している機器内の1つまたは2つ以上の層を意味する。この点で、金属酸化物層は、1つ以上の以下の性質を示す:表示機器で使用するための必要な透明性を有し、高い電子移動度を示し、低い処理温度(例えば、300℃以下)で製造することができる。金属酸化物の例は、特に限定されないが、インジウムガリウム酸化亜鉛(IGZO)、a−IGZO(アモルファスインジウムガリウム酸化亜鉛)、インジウムスズ酸化亜鉛(ITZO)、アルミニウムインジウム酸化物(AlInOx)、亜鉛スズ酸化物(ZTO)、オキシ窒化亜鉛(ZnON)、マグネシウム酸化亜鉛、酸化亜鉛(ZnO)、InGaZnON、ZnON、ZnSnO、CdSnO、GaSnO、TiSnO、CuAlO、SrCuO、LaCuOS、GaN、InGaN、AlGaNまたはInGaAIN、およびそれらの組み合わせを含む。1つまたは2つ以上のゲート絶縁層と金属酸化物層に加えて、表示機器は、さらに、特に限定されないが、ゲート電極層(1つまたは2つ以上)、ソースドレイン層(1つまたは2つ以上)、および他の層を含んでよい。本明細書中に記載された装置および方法は、基材の少なくとも一部の上に少なくとも1つのケイ素含有金属酸化物層を堆積するために使用することができる。適切な基材の例は、ガラス、プラスチック、ステンレス鋼、有機またはポリマー膜、ケイ素、SiO、Si、OSG、FSG、炭化ケイ素、水素化炭化ケイ素、窒化ケイ素、水素化窒化ケイ素、炭窒化ケイ素、水素化炭窒化ケイ素、窒化ホウ素、反射防止コーティング、フォトレジスト、有機ポリマー、多孔質有機および無機材料、金属、例えば銅、アルミニウム、クロム、モリブデン、およびゲート電極、例えば特に限定されないが、TiN、Ti(C)N、TaN、Ta(C)N、Ta、W、WN、ケイ素、ITOまたは他のゲート電極を含む。ケイ素含有膜は、例えば、化学機械平坦化(CMP)および異方性エッチングプロセスなどの種々の後の処理ステップに適応できる。具体的態様において、本明細書に記載のケイ素含有層は、約4.0〜約5.5または約4.0〜4.5の範囲の誘電率を有する。
図7a〜図7dは、本明細書に記載された装置の態様の種々の例を提供する。本明細書に記載され図7aに示される装置のある態様10において、ケイ素含有膜は、単一のゲート絶縁層30としてゲート電極の少なくとも一部の上に堆積され、透明の金属酸化物20はゲート絶縁層30上に堆積され、例えば表示機器で使用できる。本明細書に記載され図7bに示される装置のある代替態様100において、ケイ素含有膜は、金属酸化物層120の下の1つまたは2つ以上のケイ素含有膜上に堆積されて、これは、図7bでゲート絶縁層2または140として示され、および図7bでゲート絶縁層1または130として示されて、2重ゲート絶縁層構造または多層ゲート絶縁層構造を提供する。ある態様において、2重ゲート絶縁層または多層中のケイ素含有膜は、異なるタイプのケイ素含有膜である。あるいは、2重構造または多層構造中のケイ素含有膜は同じタイプであるが、種々の方法で交互に配置されてよく、例えば特に限定されないが、SixOy、SiwNz、SixOy、およびSiwNz、SixOy、SixOy、およびSiwNz、SixOy、SiwNz、およびSiwNz;およびそれらのさまざまな組み合わせでもよい。図7a〜図7dに示される例示的構造は、ゲート電極の少なくとも1種の上に堆積された1つまたは2つ以上のゲート絶縁層を示し、次に、透明金属酸化物膜が前記ゲート絶縁層(1つまたは2つ以上)上に堆積されることを示すが、1つまたは2つ以上の層は、図7a〜図7dに記載された層の配置に限定されず、金属酸化物層の上または下でもよく、1つまたは2つ以上のゲート絶縁層は、サンドイッチされ、埋め込まれ、囲まれて、ケイ素を含有しない介在層を有してもよく、または互いに任意の他の空間的関係であってもよく、従ってこれらに限定されるものではない。
ある具体的態様において、表示機器は、ゲート電極上に堆積された1つのゲート絶縁層を含み、次に、図7aに示されるように、ゲート絶縁層の上に金属酸化物層が堆積され、ここで、ゲート絶縁層1は、好ましくは密度が約2.2g/cm以上で厚さが約2nm〜約200nmの範囲の酸化ケイ素、シリコンカルボキシド(silicon carboxide)を含む。別の具体的態様において、表示機器は、ゲート電極上に堆積された少なくとも2つのゲート絶縁層を含み、次に、図7bに示されるように、金属酸化物層がゲート絶縁層の上に堆積され、ここで、ゲート絶縁層は、ゲート絶縁層1または130として、窒化ケイ素および窒化炭素ケイ素からなる群から選択されるケイ素含有層と、ゲート絶縁層2または140として、炭化ケイ素、酸化ケイ素、シリコンカルボキシド、および窒化炭素ケイ素からなる群から選択されるケイ素含有層、好ましくは密度が約2.2g/cm以上で厚さが約2nm〜約200nmの範囲の酸化ケイ素を含む。7bに示される装置100のある具体的態様において、透明の金属酸化物層120はIGZOを含み、少なくとも2つのゲート絶縁層は、二重層ゲート誘電体として働く。さらに別の具体的態様において、表示機器は、図7cおよび7dに示されるように金属酸化物層上に、すなわちそれぞれ装置200および300の上に堆積された、少なくとも1つのゲート絶縁層を含む。図7cのある具体的態様において、装置200は、透明の金属酸化物220とその上に堆積されたゲート絶縁層とを含み、ここで、ゲート絶縁層1または230は、好ましくは密度が約2.2g/cm以上で厚さが約2nm〜約200nmの範囲の、酸化ケイ素、シリコンカルボキシドを含む。7dに示される装置300のある具体的態様において、金属酸化物層320はIGZOを含み、少なくとも2つのゲート絶縁層はまたバリアとして働き、大気の不純物の拡散からIGZO膜を防御し(例えば密封)、一方、処理後のIGZO膜の耐性に大きな影響を与えない。この具体的態様において、装置は、ゲート絶縁層1または330として高密度窒化ケイ素膜(2.4g/cm以上の密度を有する)を含み、80℃〜400℃の範囲の1つまたは2つ以上の温度で、前駆体トリシリルアミン(TSA)とアンモニア(NH)により堆積される。この機器はさらに、ゲート絶縁層2または340として酸化ケイ素膜を含み、窒化ケイ素中に含有される活性水素の、酸化物の下に位置するIGZOへの拡散を防止する。酸化ケイ素膜は、80〜400℃の範囲の1つまたは2つ以上の温度で堆積することができる。選択される前駆体および堆積処理条件は、水素、ヒドロキシル基、または炭素、炭化水素などの他の部分、または例えばIGZOなどの金属酸化物と反応することができる他の官能基を最小量を与えることが望ましい。選択される前駆体および堆積処理条件は、水素、ヒドロキシル基、または炭素、炭化水素などの他の部分、または例えばIGZOなどの透明金属酸化物と反応してもよい他の官能基を最小量与えることが望ましい。この点で、ゲート絶縁層2は、例えば、シランより少ないSi−H基を有するケイ素含有前駆体であるジエチルシラン(2ES)またはトリエチルシラン(3ES)から堆積されるが、これは、Si−Hが透明金属酸化物と反応し、こうして透明の金属酸化物層の電気的性質を損傷させることがあることが知られているためである。理論に拘束されないが、酸化ケイ素層および窒化ケイ素層を含む少なくとも2つのゲート絶縁層を有する装置について、1つまたは2つ以上のゲート絶縁層の性質が、透明の金属酸化物層の反応性に悪影響を与えないことを確保するためには、酸化ケイ素前駆体とその堆積パラメータおよび窒化ケイ素とその堆積パラメータの選択が重要であると、本出願人は考えている。
1つまたは2つ以上のケイ素含有膜または層および金属酸化物層を形成するために使用される方法は、本明細書において堆積プロセスと呼ばれる。本明細書に開示されるこの方法のための適切な堆積プロセスの例は、特に限定されないが、化学気相堆積(CVD)、サイクリックCVD(CCVD)、MOCVD(有機金属CVD)、熱化学気相堆積、ブラズマ増強化学気相堆積(「PECVD」)、高密度PECVD、光子支援CVD、プラズマ−光子支援(「PPECVD」)、低温化学気相堆積、化学支援気相堆積、熱フィラメント気相堆積、液体ポリマー前駆体のCVD、超臨界流体からの堆積、および低エネルギーCVD(LECVD)を含む。いくつかの態様において、膜は、原子層堆積(ALD)、プラズマ増強ALD(PEALD)またはプラズマ増強サイクリックCVD(PECCVD)プロセスによって堆積される。本明細書において、用語「化学気相堆積プロセス」は、基材が1種または2種以上の揮発性前駆体に曝露され、これが基材表面上で反応および/または分解して所望の堆積を生成する任意のプロセスをいう。本明細書において用語「原子層堆積プロセス」は、変動する組成の基材上に材料の膜を堆積させる自己制限性(例えば、各反応サイクルで堆積される膜材料の量が一定である)の逐次的な表面化学をいう。本明細書で使用される前駆体、試薬および供給源は、しばしば「ガス状」として記載され得るが、その前駆体は、気化、バブリングまたは昇華を介して、不活性ガス有りまたは不活性ガス無しで反応器内に輸送される液体または固体でもあり得ることが理解される。ある場合には、気化された前駆体はプラズマ発生器を通過することができる。ある態様において、1つまたは複数の膜は、ALDプロセスを用いて堆積される。別の態様において、1種または2種以上の膜はCCVDプロセスを用いて堆積される。さらなる態様において、1種または2種以上の膜は、熱CVDプロセスを用いて堆積される。本明細書で使用される用語「反応器」は、特に限定されないが、反応チャンバーまたは堆積チャンバーを含む。
いくつかの態様において、本明細書に開示される方法は、反応器への導入前および/または導入中に、前駆体を分離するALDまたはCCVD法を使用して、前駆体の予備反応を回避する。この関連で、ALDまたはCCVDプロセスなどの堆積技術が、膜を堆積するために使用される。ある態様において、あるいは膜は、ALDプロセスによって、基材表面を、1種または2種以上のケイ素含有前駆体、酸素源、窒素含有源、または他の前駆体もしくは試薬に曝露することにより堆積される。膜の成長は、表面反応、各前駆体または試薬のパルス長、および堆積温度の自己制限的制御によって進行する。しかし、いったん基材の表面が飽和すると、膜の成長は停止する。
堆積のための前駆体材料の選択は、結果として得られる所望の誘電体材料または膜に依存する。例えば、前駆体材料は、化学元素の含量、化学元素の化学量論比、その堆積速度の制御、および/またはCVDで形成される生じる誘電体膜またはコーティングについて選択することができる。前駆体材料はまた、コスト、非毒性、取り扱い特性、液相を室温に維持する能力、揮発性、分子量などのさまざまな他の特性について選択することができる。本明細書に開示される薄い(例えば約2nm〜約200nm)ケイ素含有膜は、例えば特に限定されないが、以下の式RSiH(式中、Rは、C〜C10の直鎖または分枝アルキル基、C〜C10の環状アルキル基、C〜C12のアルケニル基、C〜C12のアルキニル基、およびC〜C10のアリール基からなる群から選択され、RおよびRは、水素、C〜C10の直鎖または分枝アルキル基、C〜C10の環状アルキル基、C〜C12のアルケニル基、C〜C12のアルキニル基、およびC〜C10のアリール基から独立して選択され、そしてRおよびRが水素でない場合、RおよびRのいずれか1つとRとは結合して環を形成できる。)を有するアルキルシランなどのケイ素含有前駆体を使用して堆積される。
本明細書に記載の方法で、または本明細書に記載の装置で1種または2種以上のケイ素含有層を堆積するための方法で、使用することができるアルキルシランの例は、特に限定されないが、ジエチルシラン(2ES)、ジ(tert−ブチル)シラン、ジ(イソ−プロピル)シラン、ジ(sec−ブチル)シラン、ジ(イソ−ブチル)シラン、ジ(tert−アミル)シラン、トリエチルシラン(3ES)、トリ(tert−ブチル)シラン、トリ(イソ−プロピル)シラン、トリ(sec−ブチル)シラン、トリ(イソ−ブチル)シラン、トリ(tert−アミル)シラン、tert−ブチルジエチルシラン、tert−ブチルジプロピルシラン、ジエチルイソプロピルシラン、シクロペンチルシラン、およびフェニルシランを含む。
上記式および説明を通して、用語「アルキル」は、1〜10個または1〜4個の炭素原子を有する直鎖または分枝した官能基を示す。アルキル基の例は、特に限定されないが、メチル、エチル、n−プロピル、イソプロピル、n−ブチル、イソブチル、sec−ブチル、tert−ブチル、n−ペンチル、イソ−ペンチル、tert−ペンチル、ヘキシル、イソヘキシル、およびネオヘキシルを含む。いくつかの態様において、アルキル基は、そこに結合した1種または2種以上の官能基、例えば、特に限定されないが、アルコキシ基、ジアルキルアミノ基、またはそれらの組合せを有することができる。他の態様において、アルキル基は、そこに結合した1種または2種以上の官能基を有さない。
上記式および説明を通して、用語「環状アルキル」は、3〜12個または4〜10個の炭素原子を有する環状官能基を意味する。環状アルキル基の例は、特に限定されないが、シクロブチル、シクロペンチル、シクロヘキシル、およびシクロオクチル基を含む。
上記式および説明を通して、用語「アリール」は、6〜12個の炭素原子を有する芳香族環状官能基を意味する。アリール基の例は、特に限定されないが、フェニル、ベンジル、クロロベンジル、トリル、およびo−キシリルを含む。
上記式および説明を通して、用語「アルケニル基」は、1つまたは2つ以上の炭素−炭素二重結合を有し、かつ2〜12個または2〜6個の炭素原子を有する基を意味する。アルケニル基の例は、特に限定されないが、ビニル基またはアリル基を含む。
上記式および説明を通して、用語「アルキニル基」は、1つまたは2つ以上の炭素−炭素三重結合を有し、かつ2〜12個または2〜6個の炭素原子を有する基を意味する。
上記式および説明を通して、用語「アルコキシ」は、酸素原子(例えば、R−O)に結合しており、かつ1〜12個または1〜6個の炭素原子を有していてもよいアルキル基を意味する。アルコキシ基の例は、特に限定されないが、メトキシ(−OCH)、エトキシ(−OCHCH)、n−プロポキシ(−OCHCHCH)、イソ−プロポキシ(−OCHMe)を含む。
いくつかの態様において、上記式中のアルキル基、アルケニル基、アルキニル基、アルコキシ基、および/またはアリール基の1種または2種以上は、置換されるか、または、例えば水素原子の代わりに置換された1種または2種以上の原子または原子群を有することができる。置換基の例は、特に限定されないが、酸素、硫黄、ハロゲン原子(例えば、F、Cl、I、またはBr)、窒素、およびリンを含む。他の態様において、前記式中のアルキル基、アルケニル基、アルキニル基、アルコキシ基、および/またはアリール基の1種または2種以上は、置換されていなくてもよい。
いくつかの態様において、置換基RおよびRまたは置換基RおよびRは、RおよびRが水素ではない場合、上記式中で結合して環構造を形成する。当業者が理解するように、RおよびRまたはRおよびRが共に結合して環を形成する場合、Rは、RまたはRに結合するための結合(水素置換基の代わりに)を含み、その逆もあるであろう。すなわち、上記例においてRは、C〜C10アルキレン部分、C〜C12アルケニレン部分、C〜C12アルキニレン部分、C〜C10環状アルキル部分、およびC〜C10アリーレン部分から選択してもよい。これらの態様において、環構造は、不飽和の、例えば環状アルキル環であるか、または飽和した、例えばアリール環でもよい。さらに、これらの態様において、環構造はまた、置換されても非置換でもよい。他の態様において、置換基RおよびRまたは置換基RおよびRは結合していない。
いくつかの態様において、ゲート絶縁層として使用される膜を含む薄いケイ素酸化物含有膜は、酸素の存在下で、酸素源、酸素を含有する試薬または前駆体を用いて形成される、適切な酸素源ガスは、特に限定されないが、例えば、水(HO)(例えば、脱イオン水、精製水、および/または蒸留水)、酸素(O)、酸素プラズマ、オゾン(O)、NO、NO、一酸化炭素(CO)、二酸化炭素(CO)、およびこれらの組み合わせを含む。本明細書に開示された堆積方法は、プラズマをパージ、制御するための、またはキャリアガスとして、1種または2種以上の不活性ガスを含んでもよい。いくつかの態様において、ケイ素含有前駆体は、酸素原子を含む1種または2種以上の置換基を有していてもよい。これらの態様において、堆積プロセス中の酸素源の必要性を最小化することができる。他の態様において、ケイ素含有前駆体は、酸素原子を含む1種または2種以上の置換基の一つを有し、また酸素源を使用する。
いくつかの態様において、酸素源は、約1〜約2000平方立方センチメートル(sccm)または約1〜約1000sccmの範囲の流速で反応器に導入される酸素源ガスを含む。酸素源は、約0.1〜約100秒の範囲の時間で、導入することができる。ある具体的態様において、酸素源は、10℃以上の温度を有する水を含む。膜がALDまたは環状CVDプロセスにより堆積される態様において、前駆体パルスは、0.01秒超のパルス持続時間を有することができ、酸素源は、0.01秒未満のパルス持続時間を有することができるが、水パルス持続時間は、0.01秒未満のパルス持続時間を有することができる。さらに別の態様において、0秒と小さくてもよいパルス間のパージ持続時間は、途中にパージ無しで連続的にパルスされる。酸素源または試薬は、ケイ素前駆体に対して1:1未満の比の分子の量で提供され、従って、少なくとも一部の炭素は、堆積されたままの誘電膜中に保持される。
いくつかの態様において、ケイ素含有層はさらに窒素を含む。これらの態様では、本明細書に記載の方法を使用して堆積されたケイ素含有層は、窒素含有源の存在下で形成される。例えば図7bに記載されるようなある具体的態様において、ケイ素含有膜140またはゲート絶縁層1は窒化ケイ素を含み、上記の方法を使用して堆積され、窒素の存在下で、窒素、窒素を含む試薬または前駆体を使用して形成される。窒素含有源は、少なくとも1つの窒素源の形で反応器に導入され、および/または堆積プロセスで使用される他の前駆体中に付随して存在してもよい。適切な窒素含有源ガスは、例えば、アンモニア、ヒドラジン、モノアルキルヒドラジン、ジアルキルヒドラジン、窒素、窒素/水素、アンモニアプラズマ、窒素プラズマ、窒素/水素プラズマ、NF、およびそれらの混合物を含んでもよい。ある具体的態様において、水素は金属酸化物と反応し、それによって表示機器の性能に悪影響を与える場合があるため、生じる膜中の水素含量を低下させるためにNFが使用される。いくつかの態様において、窒素含有源は、約1〜約2000平方立方センチメートル(sccm)または約1〜約1000sccmの範囲の流速で反応器に導入される、アンモニアプラズマまたは水素/窒素プラズマ源ガスを含む。窒素含有源は、約0.1〜約100秒の範囲の時間で導入することができる。
本明細書に開示された堆積法は、1種または2種以上のパージガスを伴ってもよい。未消費反応物および/または反応副生成物をパージするために使用されるパージガスは、前駆体と反応しない不活性ガスである。パージガスの例は、特に限定されないが、アルゴン(Ar)、窒素(N)、ヘリウム(He)、キセノン(Xe)、ネオン、水素(H)、およびそれらの混合物を含む。いくつかの態様において、Arのようなパージガスは、約10〜約2000sccmの範囲の流速で約0.1〜1000秒の時間で反応器に供給され、こうして、未反応物質および反応器に残っている可能性のある副生成物をパージする。
前駆体、酸素源、窒素含有源、および/または他の前駆体、原料ガス、および/または試薬を供給するそれぞれの工程は、生じる誘電膜の化学量論的組成を変更するために、それらを供給するための時間を変更することによって、実行することができる。
反応を誘発し、基材上にケイ素含有膜またはコーティングを形成するために、エネルギーが、ケイ素含有前駆体、酸素含有源、窒素含有源、還元剤、他の前駆体、および/またはそれらの組み合わせの少なくとも1つに、適用される。このようなエネルギーは、特に限定されないが、熱、プラズマ、パルスプラズマ、ヘリコンプラズマ、高密度プラズマ、誘導結合プラズマ、X線、電子線、光子、リモートプラズマ法、およびそれらの組み合わせにより提供することができる。いくつかの態様において、基材表面でプラズマ特性を変更するために2次RF周波数源を使用することができる。堆積がプラズマを伴う態様では、プラズマ発生プロセスは、プラズマが反応器中で直接発生される直接プラズマ発生型プロセスを含むか、または代替的に、プラズマがプラズマ反応器の外部で生成され反応器に供給される、リモートプラズマ発生プロセスを含むことができる。
ケイ素含有前駆体は、さまざまな方法でCVDまたはALD反応器などの反応チャンバーに送達することができる。ある態様において、液体供給システムを利用することができる。代替態様では、例えばMSP Corporation of Shoreview, MN製のターボ気化器などの、組み合わせた液体供給ユニットとフラッシュ気化プロセスユニットが使用されて、正確な容積の低揮発性材料を送達することを可能にし、これは、前駆体の熱分解無しで再現性のある輸送および堆積につながる。液体送達調合物では、本明細書に記載の前駆体は、純粋な液体形態で送達され得るか、あるいはそれを含む溶媒調合物または組成物に使用することができる。このように、いくつかの態様において、前駆体調合物は、基材上に膜を形成するための特定の最終使用用途で望ましく有利であるような適切な特徴の溶媒成分を含むことができる。
いくつかの態様において、前駆体容器から反応チャンバーに接続するガスラインは、プロセス要件に依存して1つまたは2つ以上の温度に加熱され、少なくとも1つのケイ素含有前駆体の容器は、バブリングするために1つまたは2つ以上の温度で維持される。他の態様では、少なくとも1種のケイ素含有前駆体を含む溶液は、直接液体注入のために1つまたは2つ以上の温度に維持された気化器に注入される。
本明細書に記載のケイ素含有膜や酸化ケイ素膜の堆積速度は、0.1nm〜5000nm/分の範囲とすることができる。速度は、以下の非限定的パラメータの任意の1つまたは2つ以上を変えることによって制御することができる:堆積温度、気化器温度、ライン流量コントローラ(LFC)の流れ、Oガスの流量、および/またはCVD反応器の圧力。前駆体の選択もまた、堆積速度を決定することができる。
堆積のための反応器または堆積チャンバーの温度は、次のいずれかのエンドポイントの1つからの範囲であってもよい:周囲温度、25℃、50℃、75℃、100℃、125℃および150℃、175℃、200℃、225℃、250℃、300℃、325℃、およびそれらの組み合わせ。この点で、堆積温度は、約25℃〜約325℃、25℃〜約300℃、100℃〜250℃、150℃〜325℃、または100℃〜300℃、または本明細書に記載の温度エンドポイントの任意の組み合わせからの範囲でもよい。
反応器または堆積チャンバーの圧力は、約0.1Torr〜約1000Torrの範囲であってもよい。前駆体、酸素源、および/または他の前駆体、原料ガス、および/または試薬を供給するそれぞれのステップは、得られる誘電体膜の化学量論的組成を変更するためにそれらの供給時間を変更することによって行われてもよい。
基材は、特に限定されないが、プラズマ処理、化学処理、紫外線曝露、電子線曝露、および/または膜の1つまたは2つ以上の特性に影響を与える他の処理などの堆積前処理に曝露されてもよい。例えば、IGZO膜を、NOまたはOまたはOプラズマ処理、またはIGZOの完全な酸化を確実にするO化学処理に付すことが有利であり得る。これは、膜堆積の前に、半導体特性が維持または強化されることを可能にする。
得られた膜またはコーティングは、特に限定されないが、プラズマ処理、化学処理、紫外線曝露、電子線曝露、および/または、膜の1つまたは2つ以上の特性に影響を与えるための他の処理に曝露されてもよい。
本明細書中に記載の方法において、本明細書に記載の方法のステップは、さまざまな順序で行うか、逐次的に行うか、または同時に(例えば、別の工程の少なくとも一部分中に)行うか、それらの任意の組合せで行うことができる。前駆体および窒素含有原料ガスを供給するそれぞれのステップは、得られる誘電体膜の化学量論的組成を変更するためのそれらの供給時間を変更することによって行われてもよい。
得られた誘電体膜またはコーティングは、特に限定されないが、プラズマ処理、化学処理、紫外線曝露、電子線曝露、および/または、膜の1つまたは2つ以上の特性に影響を与えるための他の処理などの堆積後処理に暴露されてよい。
いくつかの態様において、例えば、1つの層としてのSiCOまたはSiOを含み、他の層としてSiNCまたはSiを含む膜のように、上から下に組成勾配を有する層を堆積させることが有利であり得る。これらの態様において、膜は、ケイ素含有前駆体と酸素含有前駆体とを含む第1の試薬混合物(例えば、2ESとO、オゾン、またはNO)からから堆積され、次に酸素含有ガスの流れを窒素含有ガス(例えば、N、アンモニア、ヒドラジン)で置換することにより堆積される。ケイ素含有前駆体がすでに窒素を含む場合、不活性ガスまたは水素のみを使用して第2のステップが行うことができる。窒素含有ガスまたは不活性ガスへの酸素含有ガスの変更は、徐々にまたは急速であって、漸進層または2層構造が得られてもよい。このような2層または漸進層は、特に限定されないが、ケイ素含有膜への金属酸化物およびIGZOインターフェースの異なる適用ニーズなどの、いくつかの応用のために有利である。
上記に加えて、薄いケイ素含有膜は、特に限定されないが、コンピュータチップ、光学機器、磁気情報記憶装置、支持材料または基材上のコーティング、微小電気機械システム(MEMS)、ナノ電気機械システム、薄膜トランジスター(TFT)、および液晶ディスプレイ(LCD)を含む用途を有する。
以下の実施例は、本明細書に記載のケイ素含有膜を製造するための方法を例示するが、決してこれを限定するものではない。
一般的堆積条件
以下の実施例では、特に別の指定がなければ、特性は、中抵抗(8−12Ωcm)の単結晶シリコンウエハー基材上に堆積されたサンプル膜から得られた。全ての堆積は、Advanced Energy 2000 RF発生器を取り付けた200mmのDXZチャンバー内でApplied Materials Precision 5000システム上で、TEOSプロセスキットを用いて行われた。PECVDチャンバーは、直接液体注入供給能力を備えている。すべての前駆体は、送達温度が前駆体の沸点に依存する液体であった。特に別の指定がなければ、典型的な前駆体流量は、25〜150sccmで、プラズマ電力密度は0.5〜3W/cmで、圧力は0.75〜12torrであった。厚さと648nmでの屈折率(RI)は、反射率計によって測定した。すべての膜測定値(誘電率、絶縁破壊電界、およびリーク電流が提示される)について、水銀プローブが使用された。X線光電子分光法(XPS)およびラザフォード後方散乱法(RBS)/水素前方散乱法(HFS)を行って、膜組成を決定した。水素前方散乱法(HFS)を使用して、膜中の水素含有量を定量した。
エッチング試験は、6:1 BOE溶液で行われる。典型的な誘電体膜を、30秒間HF溶液中に入れ、次に脱イオン水(DI)でリンスし、乾燥させた後、エッチング中の材料の損失を再度測定する。膜が完全にエッチングされるまで、このプロセスが繰り返される。次に、エッチング速度は、エッチング厚さ対エッチング時間の勾配から計算される。
窒素パージされたセル内でThermo Nicolet 750システムを使用して、FTIRデータが採取された。同様の中抵抗ウェーハーについてバックグラウンドスペクトルを採取して、スペクトルからCOとウェーハーを排除した。データは、分解能4cm−1で32回のスキャンを集めて、4000〜400cm−1の範囲で得られた。OMNICソフトウェアパッケージを使用して、データを処理した。
誘電率kは、MDC水銀プローブで測定されたC−V曲線から計算される。次に誘電率は、式k=キャパシタンス×接触面積/膜の厚さ、から計算される。
密度は、X線反射率(XRR)により測定された。公称厚さ<200nmのすべてのサンプルを、低解像度光学系(エラーバー±0.01g/cm)を用いて走査した。公称厚さ>200nmの持つすべてのサンプルは、高解像度の光学系(エラーバーを±0.005g/cm)を用いて走査された。サンプルは、0.2≦2≦1の範囲にわたって、0.001のステップサイズおよび1秒/ステップの計数時間を用いて走査された。データは、基材をSiとし膜をSiOとして定義して、2層モデルを用いて分析された。
表1は、試験された前駆体の堆積性能を比較するために使用された3種の異なるプロセス条件の概要を提供する。ここで、これらはBL−1、BL−2およびBL−3と表示される。
Figure 2015517200
例1:堆積温度200℃、250℃、300℃、350℃、および400℃でのジエチルシラン(2ES)とトリエチルシラン(3ES)の堆積
酸化ケイ素膜は、ケイ素前駆体2ESと3ESから堆積された。SiO膜は、上記の一般的な堆積条件を使用して、異なる温度およびプロセス条件で堆積された。BL−1およびBL−2条件は、前駆体流速を除いて同一である。BL−1のプロセスは、より速い前駆体流速のために最も速い堆積速度を有しているが、これが、ゲート絶縁層のための最も重要な基準ではない。BL−3は低圧条件であり、一般的により貧弱な膜を提供する。真に優れた品質の膜を製造することができるかどうかを理解するために、前駆体間で同量のSi原料が比較された。図1Aおよび2Aに見られるように、BL−2工程では膜>200nmについて一般的により高い密度(>2.2g/cc)が得られ、図1B、2Bに見られるように、BL−3工程ではわずかに低い密度(〜2.2g/cc)が得られた。BL−1プロセス条件では、密度がBL−2とBL−3のプロセス条件の密度との間にあると予想されたため、さらに詳細には調べなかった。
図1Aは、3種類の温度(400℃、300℃、200℃)でBL−2プロセス条件によって堆積させた2ES膜について、測定密度に及ぼす膜厚の影響を示す。図1Aを参照すると、厚さが減少すると、特に300℃の堆積条件では、膜の密度は驚くほど増加した。図1Bは、3種類の温度(400℃、300℃、200℃)でBL−3プロセス条件によって堆積させた2ES膜について、測定密度に及ぼす膜厚の影響を示す。図1Bを参照すると、厚さが減少すると膜の密度は驚くほど上昇し、これは、300℃の堆積条件で特に明らかである。
図2Aは、3種類の温度(400℃、300℃、200℃)でBL−2プロセス条件によって堆積させた3ES膜について、測定密度に及ぼす膜厚の影響を示す。驚くべきことに、厚さが減少すると、特に200℃で、膜の密度は上昇した。
図2Bは、3種類の温度(400℃、300℃、200℃)でBL−3プロセス条件によって堆積させた3ES膜について、測定密度に及ぼす膜厚の影響を示す。驚くべきことに、図2Aと同様に、厚さが減少すると、特に200℃で、膜の密度は上昇した。
図3は、400℃でBL2条件によって堆積された2ES酸化物の薄い膜(76nm)と厚い膜(678nm)のFTIRスペクトルの比較を提供する。スペクトルは、両方の膜がSiOのみであることを示している。2ES堆積膜のFTIRスペクトルにおけるSi−HピークまたはC−Hピークの欠如は、低い温度での堆積プロセス中でも、前駆体分子の分解が良好であることを示す。再度、図3を参照すると、厚さが増加する時の約1050cm−1ピークのショルダー対ピーク比の差は、歪み緩和、幾何学的効果、その場でのアニーリング効果、および酸素欠乏などの異なるメカニズムによって説明されている。この効果は、高品質の熱成長させたSiO膜で観察される。
BL−1プロセス条件で堆積されたDES堆積膜について、350℃と250℃の堆積温度で、RBSにより測定されたH含有量(原子%)は、それぞれ2.0%(密度2.25g/cm)と2.8%(密度2.26g/cm)であった。これは、RBS/HFSによって測定されると、両方のDES堆積膜が非常に低い総水素含有量(<5%)を有することを示している。これはまた、検出可能なSi−Hを示さず非常に小さなSi−OH結合を示したこれらの膜のFTIR分析によっても、確認される。
例2:BL2プロセス条件とテトラエトキシシラン(TEOS)対ジエチルシラン(2ES)を使用して堆積された酸化ケイ素膜の比較
SiO膜は、一般的堆積条件と表1中の上記プロセス条件を使用して堆積された。図1Cと1Dにおいて、異なる厚さを有するTEOS堆積酸化ケイ素膜は、表1で上記の同じBL−2プロセス条件およびBL−3プロセス条件で堆積された。図1Cを参照すると、同じ堆積温度(図1Aと図2Aとを参照)でBL−2を使用して堆積された2ES膜および3ES膜と比較すると、200℃のような低い堆積温度では、TEOS堆積膜は、一般的に2ES膜または3ES膜より低い密度を有した。図1Dのデータを図1Bと2B中の2ES膜および3ES膜についてのデータと比較すると、同じ堆積温度について、同様の効果が観察された。2ES膜および3ES膜は一般に、より薄い膜について同等かまたはより高い密度を示した。一般に、TEOS膜の密度は、両方のプロセス条件で、<200nm膜について<2.2g/ccまで低下する。
図4Aは、上記のBL−1の条件を用いて、3種類の異なる温度(400℃、300℃、200℃)で堆積されたTEOS堆積膜と2ES堆積膜の誘電率(「K」)の比較を示す。良好な品質の熱成長させたSiOまたは通常の化学気相堆積させたSiOの誘電率は4.0である。400℃で堆積されたPECVD酸化物について、K値はプロセス条件の関数として変化する。良好な品質の400℃ PECVD SiO膜について、プロセスを最適化してK値4.1〜4.3を得ることは可能である。しかし、堆積温度がより低くなると、悪化した膜密度と膜が水分を吸収能力の上昇に示されるように、膜の品質が典型的に低下し、これがK値を上昇させる。図4Aは、堆積温度200℃と300℃で、2ES堆積膜がTEOS堆積膜より良好なK値を有することを示す。これは、これらの膜が、同じプロセス条件で堆積されたTEOS膜より、より高密度でより良好な品質であることを示す。BL−2およびBL−3プロセス条件についても、同様の挙動が見られた。
図4Bは、上記のBL−1の条件を用いて、3種類の異なる温度(400℃、300℃、200℃)で堆積されたTEOS堆積膜と2ES堆積膜の湿潤エッチング速度(WER)の比較を示す。図4Bは、2ES堆積膜が、すべての温度でTEOS堆積膜より小さいWERを有したことを示す。これは、いくつかの用途について、2ES膜の優れた品質を確認している。BL−2およびBL−3プロセス条件についても、同様の挙動が見られた。
図5は、BL3プロセス条件で、300℃で堆積させたTEOS膜対DES堆積膜について、リーク電流対電界を示す。DES堆積膜のリーク電流は低いまま維持され、一方、TEOS堆積膜は貧弱なリーク電流を示した。他のすべての堆積温度およびプロセス条件を通して、DESはTEOSよりも明らかに優れていた。
フラットバンド電圧(Vfb)を追跡することにより、2ES SiO膜とTEOS SiO膜のインターフェースとバルク電荷が、図6で比較される。TEOS膜について、膜が厚くなると、フラットバンド電圧はより負になり、膜中のより多くのバルク電荷(例えば、欠陥のある結合)を示している。これとは対照的に、2ES膜は、Vfbをほぼ0Vに維持する能力を示し、インターフェースとバルクの電荷の両方を最小限に抑えている。このプロットでは、その膜厚が異なり、これがVfb値に影響するため、前駆体は同じプロセス条件で比較されていない。
SiOの化学量論をXPSにより測定し、200℃およびBL−1条件で、O/Si比が、TEOS酸化物について2.17で、2ES酸化物について2.1であることをわかった。理論に拘束されないが、O/Si比>2.0はおそらく膜中のSi−OH基によると推定される。2ESが化学量論からの偏差がより少なく、誘電率およびWERデータと一致するように見えることが分かる。
理論に拘束されないが、本明細書に記載の前駆体は、表面移動度および化学反応性の改善のために、より高い品質のより薄い膜(例えば、2nm〜200nm)を堆積する能力を有する。DES膜または3ES膜は良好な密度を有していたため、これは驚くべきことである。
例3:高密度と電気的特性を有する3ESを使用するSiO 薄膜の堆積
以下に要約される実験計画(DOE)法を使用して、3ES酸化ケイ素膜のためのプロセス条件をスクリーニングした:10〜200sccmの前駆体流速;100〜1000sccmのO/He流速、0.75〜10torrの圧力;0〜100Wの低周波(LF)電力;および25〜350℃の範囲の堆積温度。どのプロセスパラメータが、表示機器でゲート絶縁層として使用される最適な膜を製造するかを調べるために、DOE実験を使用した。
より低い堆積温度(例えば、100℃、125℃および150℃)で、前記例に記載されたように、前駆体3ESを使用して、SiO膜が堆積された。プロセスパラメータ(例えば、前駆体流量、チャンバー圧力と電力密度)を最適化することにより、高密度で薄いSiO膜が得られる。表2は、異なる温度(100℃、125℃および150℃)で堆積された3ES膜について使用された3種類のプロセス条件の概略と、並びに、一般的堆積条件で本明細書に記載の方法を使用して測定したいくつかの膜特性(厚さ、k値、および密度)の概略を示す。一般に、3ESを使用して堆積された膜は、200nm未満の厚さ、4〜5のk値、および2.2g/cm超の密度を有した。
図8は、3種類の異なる堆積温度での、3ES堆積膜についてのリーク電流対電界を示す。3ES堆積膜のリーク電流は、熱酸化物リーク電流と同等である。絶縁破壊電圧は、形成された膜が電極間に置かれ、電圧が印加された場合、電流が流れ始める電圧をいう。酸化ケイ素膜などの薄膜は、半導体機器中の電荷の流れを阻止する役割を果たすため、絶縁破壊電圧は、薄膜の電気特性として非常に重要な指標である。酸化ケイ素膜などの膜が、半導体機器中の絶縁材料として使用されるためには、その材料は、一般に約8〜12MV/cmの絶縁破壊電圧を有するべきである(いわゆる、熱酸化された酸化ケイ素膜により示される、固有の破壊領域)。膜中に弱いスポットまたは欠陥がある場合は、絶縁破壊電圧が低下する。図8は、3ES堆積された酸化ケイ素の絶縁破壊電圧が、熱酸化物と同等であるかまたはより優れていることを示す。
0.5%のHFと一般的堆積条件で上記の方法を用いて、これらの膜についても湿潤エッチング速度が得られた。図9は、100℃、125℃、および150℃で、表2に示されるプロセス条件を使用して3ES堆積されたSiO膜のWERを提供する。より低い堆積温度で膜が堆積される場合は、膜のWERは大きな変化が無いようである。これは、低温での3ES膜の優れた品質を確認している。
Figure 2015517200
例4:高い密度と電気的特性を有する2ESを用いるSiO 薄膜の堆積
2ES酸化ケイ素膜のためのプロセス条件を、以下に要約される実験計画(DOE)法を使用してスクリーニングした:典型的な前駆体流速は25〜150sccmであり、プラズマ電力密度は0.5〜3W/cmであり、圧力は0.75〜12torrであった。
SiO膜はまた、2ESを使用して100℃の堆積温度で堆積される。プロセスパラメータ(前駆体流速、チャンバー圧力、および電力密度)および他のプロセス条件を最適化することにより、高密度で薄いSiO膜が得られる。表3は、100℃で堆積された2ES薄膜について使用されたプロセス条件の概略、並びに、本明細書に記載の方法を使用して得られたいくつかの膜特性(厚さ、k値、および密度)の概略を示す。膜は、200nm未満の厚さと2.2g/cc超の密度を有した。
図10は、100℃で、表3のプロセス条件を用いて堆積された2ES膜についてのリーク電流対電界を示す。2ES堆積膜のリーク電流は、熱酸化物リーク電流と同等である。図10は、100℃で堆積された2ES膜についての絶縁破壊電圧が、熱酸化物と同等であるかまたはより優れていることを示す。
Figure 2015517200
例5:100℃で3ESを用いて高密度で薄いSiO 膜の堆積
本例は、3ESを使用する薄い高密度のSiO膜の堆積が広いプロセスウィンドウを提供することを証明するために使用される。表4は、2種類の3ES堆積されたSiO膜のプロセス条件と、異なる前駆体流速(29sccmと68sccm)での膜特性とを提供する。表は広範囲の堆積速度を示すが、高密度膜が得られた。
Figure 2015517200
例6:100℃と150℃で3ESを使用して堆積されたSiO 薄膜の組成データ
膜中の炭素濃度を調べるために、XPSが使用される。表面でおよび50nmスパッタリング後に、相対的原子パーセントが測定される。表5は、100℃と150℃で堆積された2種類の3ES膜のプロセス条件と膜特性を示す。表6は、膜のXPSデータを提供する。バルク膜中に炭素は検出されず、膜のO/Si比は2.0に非常に近く、または化学量論的であった。
Figure 2015517200
Figure 2015517200
例6:3ES安定性を使用して堆積されたSiO 薄膜の安定性分析
最適化された低温酸化物は、表7aと7bおよび図11に示されるように良好な安定性を有する。表7aと7bは、3ESにより堆積したいくつかのSiO膜の空気中で3週間後のk値の変化を示す。表7a中の膜は3週間後も非常に安定(2.5%未満のk値の変化)であるが、表7b中のものはあまり安定ではない(3〜20%のk値の変化)。表7a中の膜の平均密度は、表7b中のものより高く、これは安定性に一致する。従って最適化された膜は、非常に薄くても良好な安定性を有する。一般に、密度とk安定性との間にある傾向が見られ、最大密度(2.28g/cc)の膜はk値の0%変化を示し、<2.23g/cmを有する膜はk値の顕著な変化(>3%)を示す。
破壊電界とリーク電流もまた、3ヶ月後に、3ES SiO膜について測定される。3ES堆積膜のリーク電流と破壊電界は、熱酸化物と同等であり、電界6MV/cmより低い10−7A/cm未満のリーク電流と7MV/cmより高い絶縁破壊電圧を示す。
Figure 2015517200
Figure 2015517200
上記の本発明の実施例および態様は、本発明で可能となる多数の態様の例示である。これは、具体的に開示されたもの以外に多数の材料を製造し得ることが企図される。プロセスの多数の他の構成を用いてもよいし、プロセスにおいて使用される材料は、具体的に開示されたもの以外の多数の材料から選出してもよい。

Claims (26)

  1. 金属酸化物を含む機器の少なくとも1つの表面上にケイ素含有膜を堆積させる方法であって、
    反応チャンバー中に該機器の該少なくとも1つの表面を提供する工程と、
    式RSiH(式中、Rは、C1〜10の直鎖または分枝アルキル基、C〜C10の環状アルキル基、C〜C12のアルケニル基、C〜C12のアルキニル基、およびC〜C10のアリール基からなる群から選択され、RおよびRは、水素、C1〜10の直鎖または分枝アルキル基、C〜C10の環状アルキル基、C〜C12のアルケニル基、C〜C12のアルキニル基、およびC〜C10のアリール基から独立して選択され、そしてRおよびRが水素でない場合、RおよびRのいずれか1つとRとは結合して環を形成できる。)を有するアルキルシラン前駆体を該反応チャンバー中に導入する工程と、
    該反応チャンバー中に酸素源を導入する工程と、
    25℃〜400℃の範囲の1つまたは2つ以上の反応温度において該機器の該少なくとも1つの表面上に該ケイ素含有膜を堆積プロセスにより堆積させる工程と、
    を含み、
    該ケイ素含有膜が、約2nm〜約200nmの範囲の厚さおよび約2.2g/cm以上の密度を含み、
    該堆積プロセスが、化学気相堆積(CVD)、プラズマ増強化学気相堆積(PECVD)、サイクリック化学気相堆積(CCVD)、プラズマ増強サイクリック化学気相堆積(PECCVD)、原子層堆積(ALD)、およびプラズマ増強原子層堆積(PEALD)からなる群から選択される、方法。
  2. 該機器が、ゲート電極をさらに含む、請求項1に記載の方法。
  3. 該アルキルシラン前駆体が、ジエチルシラン、ジ(tert−ブチル)シラン、ジ(イソプロピル)シラン、ジ(sec−ブチル)シラン、ジ(イソブチル)シラン、ジ(tert−アミル)シラン、トリエチルシラン、トリ(tert−ブチル)シラン、トリ(イソプロピル)シラン、トリ(sec−ブチル)シラン、トリ(イソブチル)シラン、トリ(tert−アミル)シラン、tert−ブチルジエチルシラン、tert−ブチルジロピルシラン、ジエチルイソプロピルシラン、シクロペンチルシラン、フェニルシラン、およびそれらの組み合わせからなる群から選択される、請求項1に記載の方法。
  4. 該酸素源が、水(HO)、酸素(O)、酸素プラズマ、オゾン(O)、NO、NO、一酸化炭素(CO)、二酸化炭素(CO)およびそれらの組み合わせからなる群から選択される、請求項1に記載の方法。
  5. 該1つまたは2つ以上の反応温度が、約100℃〜約300℃の範囲である、請求項1に記載の方法。
  6. 該堆積プロセスが、プラズマ増強化学気相堆積(PECVD)である、請求項1に記載の方法。
  7. 該堆積プロセスが、デュアルのRF周波数源を用いたプラズマ増強化学気相堆積(PECVD)である、請求項1に記載の方法。
  8. 約2nm〜約200nmの厚さおよび約2.2g/cm以上の密度を含むケイ素含有膜であって、
    このケイ素を含有する薄膜が、化学気相堆積(CVD)、プラズマ増強化学気相堆積(PECVD)、サイクリック化学気相堆積(CCVD)、プラズマ増強サイクリック化学気相堆積(PECCVD)、原子層堆積(ALD)、およびプラズマ増強原子層堆積(PEALD)からなる群から選択された堆積プロセスによって堆積され、そして
    該堆積プロセスがジエチルシラン、トリエチルシラン、およびそれらの組み合わせからなる群から選択されるアルキルシラン前駆体を使用して、約25℃〜約400℃の範囲の1つまたは2つ以上の温度において行われる、ケイ素含有膜。
  9. 該1つまたは2つ以上の温度が、約150℃〜約325℃の範囲である、請求項8に記載のケイ素含有膜。
  10. 該堆積プロセスが、プラズマ増強化学気相堆積(PECVD)である、請求項8に記載のケイ素含有膜。
  11. 該堆積プロセスが、デュアルのRF周波数源を用いたプラズマ増強化学気相堆積(PECVD)である、請求項8に記載のケイ素含有膜。
  12. 該アルキルシラン前駆体が、ジエチルシランを含む、請求項8に記載のケイ素含有膜。
  13. 該アルキルシラン前駆体が、トリエチルシランを含む、請求項8に記載のケイ素含有膜。
  14. 該密度が、少なくとも2.25g/cm以上である、請求項8に記載のケイ素含有膜。
  15. 約5原子%以下の水素含有量を含む、請求項8に記載のケイ素含有膜。
  16. 該ケイ素含有膜が、約1.9〜約2.1の範囲のO/Si比を有する、請求項8に記載のケイ素含有膜。
  17. 薄膜トランジスター機器中の少なくとも1つの表面上にケイ素含有膜を堆積させる方法であって、
    反応チャンバー中に該薄膜トランジスターの該少なくとも1つの表面を提供する工程と、
    式:RSiH(式中、Rは、C1〜10の直鎖または分枝アルキル基、C〜C10の環状アルキル基、C〜C12のアルケニル基;C〜C12のアルキニル基、およびC〜C10のアリール基からなる群から選択され、RおよびRは、水素、C1〜10の直鎖または分枝アルキル基、C〜C10の環状アルキル基、C〜C12のアルケニル基、C〜C12のアルキニル基およびC〜C10のアリール基から独立して選択され、そしてRおよびRが水素でない場合、RおよびRのいずれか1つとRとは結合して環を形成できる。)を有するアルキルシラン前駆体を該反応チャンバー中に導入する工程と、
    該反応チャンバー中に酸素源を導入する工程と、
    25℃〜400℃の範囲の1つまたは2つ以上の反応温度において、該薄膜トランジスター機器の該少なくとも1つの表面上に該ケイ素含有膜を、蒸着を介して堆積させる工程と、
    を含み、
    該ケイ素含有膜が、約2nm〜約200nmの範囲の厚さおよび約2.2g/cm以上の密度を含み、
    該堆積させる工程が、化学気相堆積(CVD)、プラズマ増強化学気相堆積(PECVD)、サイクリック化学気相堆積(CCVD)、プラズマ増強サイクリック化学気相堆積(PECCVD)、原子層堆積(ALD)、およびプラズマ増強原子層堆積(PEALD)からなる群から選択される、方法。
  18. 該アルキルシラン前駆体が、ジエチルシラン、ジ(tert−ブチル)シラン、ジ(イソプロピル)シラン、ジ(sec−ブチル)シラン、ジ(イソブチル)シラン、ジ(tert−アミル)シラン、トリエチルシラン、トリ(tert−ブチル)シラン、トリ(イソプロピル)シラン、トリ(sec−ブチル)シラン、トリ(イソブチル)シラン、トリ(tert−アミル)シラン、tert−ブチルジエチルシラン、tert−ブチルジロピルシラン、ジエチルイソプロピルシラン、シクロペンチルシラン、フェニルシラン、およびそれらの組み合わせからなる群から選択される、請求項17に記載の方法。
  19. 該酸素源が、水(HO)、酸素(O)、酸素プラズマ、オゾン(O)、NO、NO、一酸化炭素(CO)、二酸化炭素(CO)およびそれらの組み合わせからなる群から選択される、請求項17に記載の方法。
  20. 該1つまたは2つ以上の温度が、約100℃〜325℃の範囲である、請求項17に記載の方法。
  21. 該堆積させる工程が、プラズマ増強化学気相堆積(PECVD)を含む、請求項17に記載の方法。
  22. 該堆積させる工程が、デュアルのRF周波数源を用いたプラズマ増強化学気相堆積(PECVD)を含む、請求項21に記載の方法。
  23. 該アルキルシラン前駆体が、ジエチルシラン、トリエチルシラン、およびそれらの組み合わせからなる群から選択される、請求項17に記載の方法。
  24. 該ケイ素含有層が、薄膜トランジスター機器中のゲート絶縁層である、請求項17に記載の方法。
  25. 該ケイ素含有膜が、6MV/cmより下の電界において10−7A/cm未満のリーク電流を有し、そして7MV/cm超の絶縁破壊電圧を有する、請求項16に記載の方法。
  26. 該ケイ素含有膜が、約1.9〜約2.1の範囲のO/Si比を有する、請求項17に記載の方法。
JP2014561148A 2012-03-09 2013-03-08 薄膜トランジスター機器上にケイ素含有膜を製造する方法 Active JP6092902B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201261608955P 2012-03-09 2012-03-09
US61/608,955 2012-03-09
PCT/US2013/029900 WO2013134653A1 (en) 2012-03-09 2013-03-08 Methods for making silicon containing films on thin film transistor devices

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2017022251A Division JP2017103481A (ja) 2012-03-09 2017-02-09 薄膜トランジスター機器上にケイ素含有膜を製造する方法

Publications (2)

Publication Number Publication Date
JP2015517200A true JP2015517200A (ja) 2015-06-18
JP6092902B2 JP6092902B2 (ja) 2017-03-08

Family

ID=47915349

Family Applications (3)

Application Number Title Priority Date Filing Date
JP2014561148A Active JP6092902B2 (ja) 2012-03-09 2013-03-08 薄膜トランジスター機器上にケイ素含有膜を製造する方法
JP2017022251A Pending JP2017103481A (ja) 2012-03-09 2017-02-09 薄膜トランジスター機器上にケイ素含有膜を製造する方法
JP2019031934A Pending JP2019117932A (ja) 2012-03-09 2019-02-25 薄膜トランジスター機器上にケイ素含有膜を製造する方法

Family Applications After (2)

Application Number Title Priority Date Filing Date
JP2017022251A Pending JP2017103481A (ja) 2012-03-09 2017-02-09 薄膜トランジスター機器上にケイ素含有膜を製造する方法
JP2019031934A Pending JP2019117932A (ja) 2012-03-09 2019-02-25 薄膜トランジスター機器上にケイ素含有膜を製造する方法

Country Status (7)

Country Link
US (1) US11626279B2 (ja)
EP (1) EP2823083B1 (ja)
JP (3) JP6092902B2 (ja)
KR (2) KR101996942B1 (ja)
CN (1) CN104284997B (ja)
TW (1) TWI502645B (ja)
WO (1) WO2013134653A1 (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20200115677A (ko) * 2018-03-09 2020-10-07 어플라이드 머티어리얼스, 인코포레이티드 금속 함유 재료들을 위한 고압 어닐링 프로세스
WO2021166940A1 (ja) * 2020-02-20 2021-08-26 株式会社ニコン トランジスタ、電子デバイス及びトランジスタの製造方法
JP2023507308A (ja) * 2019-12-18 2023-02-22 レール・リキード-ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 高品質Si含有膜を形成するための超低温ALD

Families Citing this family (319)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
FR3023654B1 (fr) * 2014-07-09 2016-08-26 Commissariat Energie Atomique Encapsulation d'un composant optoelectronique organique
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9777025B2 (en) * 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
KR20210024208A (ko) * 2015-05-22 2021-03-04 지앙수 나타 옵토-일렉트로닉 매터리얼스 컴퍼니 리미티드 펜타클로로다이실란
CN104985177B (zh) * 2015-06-18 2017-05-17 南开大学 一种表面钝化的纳米锗颗粒的制备方法
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
KR102482618B1 (ko) * 2016-02-26 2022-12-28 버슘머트리얼즈 유에스, 엘엘씨 규소 함유 막의 증착을 위한 조성물, 및 이를 이용한 방법
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
WO2018035502A1 (en) * 2016-08-19 2018-02-22 Rutgers, The State University Of New Jersey Magnesium zinc oxide-based high voltage thin film transitor
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10176984B2 (en) 2017-02-14 2019-01-08 Lam Research Corporation Selective deposition of silicon oxide
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10242866B2 (en) 2017-03-08 2019-03-26 Lam Research Corporation Selective deposition of silicon nitride on silicon oxide using catalytic control
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10559461B2 (en) 2017-04-19 2020-02-11 Lam Research Corporation Selective deposition with atomic layer etch reset
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
CN114875388A (zh) * 2017-05-05 2022-08-09 Asm Ip 控股有限公司 用于受控形成含氧薄膜的等离子体增强沉积方法
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) * 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10460930B2 (en) 2017-11-22 2019-10-29 Lam Research Corporation Selective growth of SiO2 on dielectric surfaces in the presence of copper
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11404275B2 (en) 2018-03-02 2022-08-02 Lam Research Corporation Selective deposition using hydrolysis
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
TW202405221A (zh) 2018-06-27 2024-02-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US20200098562A1 (en) * 2018-09-26 2020-03-26 Lam Research Corporation Dual frequency silane-based silicon dioxide deposition to minimize film instability
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
CN109461767B (zh) * 2018-10-25 2022-03-29 深圳市金鑫城纸品有限公司 一种超结结构的制作方法
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
KR20200076343A (ko) 2018-12-19 2020-06-29 엘지디스플레이 주식회사 박막 트랜지스터 및 이를 포함하는 표시장치
WO2020127256A1 (en) * 2018-12-21 2020-06-25 Agc Glass Europe Method for coating metal
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20210127183A (ko) * 2019-02-15 2021-10-21 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체 장치의 제작 방법
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
CN109935519B (zh) * 2019-03-26 2020-11-03 深圳市华星光电技术有限公司 提高栅极绝缘层成膜均匀性的方法
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
TW202117058A (zh) * 2019-08-16 2021-05-01 美商慧盛材料美國責任有限公司 矽化合物及使用其沉積膜的方法
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US20240047291A1 (en) * 2019-09-10 2024-02-08 Applied Materials, Inc. High density plasma cvd for display encapsulation application
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210089077A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 가스 공급 어셈블리, 이의 구성 요소, 및 이를 포함하는 반응기 시스템
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
CN111378968A (zh) * 2020-04-16 2020-07-07 深圳先进电子材料国际创新研究院 一种防腐蚀纳米涂层及其等离子体制备方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
US11658026B2 (en) * 2020-10-23 2023-05-23 Applied Materials, Inc. Conformal silicon oxide film deposition
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN114107939B (zh) * 2022-01-27 2022-05-17 上海陛通半导体能源科技股份有限公司 金属薄膜沉积方法
CN116497340B (zh) * 2023-06-21 2023-09-12 上海陛通半导体能源科技股份有限公司 一种形成低温碳氧化硅薄膜的方法

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05145074A (ja) * 1991-11-20 1993-06-11 Toshiba Corp 薄膜トランジスタおよびその製造方法
JPH0697158A (ja) * 1991-09-12 1994-04-08 Semiconductor Energy Lab Co Ltd 光気相反応方法
JPH1092815A (ja) * 1996-09-18 1998-04-10 Toshiba Corp 半導体装置の製造方法
JP2005191482A (ja) * 2003-12-26 2005-07-14 Semiconductor Leading Edge Technologies Inc 半導体装置及びその製造方法
JP2006190872A (ja) * 2005-01-07 2006-07-20 Fujitsu Ltd 半導体装置の製造方法
JP2007048811A (ja) * 2005-08-08 2007-02-22 Asm Japan Kk 半導体装置の配線層間絶縁膜及びその製造方法
JP2011014858A (ja) * 2009-07-01 2011-01-20 National Chiao Tung Univ 自己整合薄膜トランジスタの製造方法とその構造体

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4981724A (en) * 1988-10-27 1991-01-01 Hochberg Arthur K Deposition of silicon oxide films using alkylsilane liquid sources
US5040046A (en) 1990-10-09 1991-08-13 Micron Technology, Inc. Process for forming highly conformal dielectric coatings in the manufacture of integrated circuits and product produced thereby
JP3149223B2 (ja) * 1991-10-07 2001-03-26 東京エレクトロン株式会社 成膜方法
AU8757198A (en) * 1997-07-07 1999-02-08 Penn State Research Foundation, The Low temperature, high quality silicon dioxide thin films deposited using tetramethylsilane (tms)
US6207590B1 (en) * 1999-11-19 2001-03-27 Wafertech, Inc. Method for deposition of high stress silicon dioxide using silane based dual frequency PECVD process
US6902960B2 (en) * 2002-11-14 2005-06-07 Sharp Laboratories Of America, Inc. Oxide interface and a method for fabricating oxide thin films
US7488683B2 (en) 2003-03-28 2009-02-10 Toyo Seikan Kaisha, Ltd. Chemical vapor deposited film based on a plasma CVD method and method of forming the film
JP4613587B2 (ja) 2004-08-11 2011-01-19 株式会社明電舎 酸化膜形成方法とその装置
JP2007235093A (ja) * 2006-01-31 2007-09-13 Toshiba Corp 半導体装置の製造方法
US7582574B2 (en) * 2006-05-30 2009-09-01 Air Products And Chemicals, Inc. Diethylsilane as a silicon source in the deposition of metal silicate films
US20080139003A1 (en) * 2006-10-26 2008-06-12 Shahid Pirzada Barrier coating deposition for thin film devices using plasma enhanced chemical vapor deposition process
JP2010519773A (ja) * 2007-02-27 2010-06-03 シクストロン アドヴァンスド マテリアルズ、インコーポレイテッド 基板上に膜を形成するための方法
JP5200436B2 (ja) * 2007-07-18 2013-06-05 富士通セミコンダクター株式会社 半導体装置の製造方法
US7803722B2 (en) 2007-10-22 2010-09-28 Applied Materials, Inc Methods for forming a dielectric layer within trenches
JP5213422B2 (ja) * 2007-12-04 2013-06-19 キヤノン株式会社 絶縁層を有する酸化物半導体素子およびそれを用いた表示装置
TWI490363B (zh) 2009-02-06 2015-07-01 Nat Inst For Materials Science 絕緣膜材料、使用該絕緣膜材料的成膜方法及絕緣膜
CN101819363B (zh) * 2009-02-27 2011-12-28 北京京东方光电科技有限公司 Tft-lcd阵列基板及其制造方法
JP5763876B2 (ja) 2009-05-08 2015-08-12 コニカミノルタ株式会社 薄膜トランジスタ、及びその製造方法
JP5727204B2 (ja) * 2009-12-11 2015-06-03 株式会社半導体エネルギー研究所 半導体装置の作製方法
US8912353B2 (en) 2010-06-02 2014-12-16 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for depositing films comprising same
JP7076426B2 (ja) * 2016-03-22 2022-05-27 サイエンティフィック アングラーズ リミテッド ライアビリティ カンパニー フライフィッシングラインおよびフライフィッシングラインの製造方法

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0697158A (ja) * 1991-09-12 1994-04-08 Semiconductor Energy Lab Co Ltd 光気相反応方法
JPH05145074A (ja) * 1991-11-20 1993-06-11 Toshiba Corp 薄膜トランジスタおよびその製造方法
JPH1092815A (ja) * 1996-09-18 1998-04-10 Toshiba Corp 半導体装置の製造方法
JP2005191482A (ja) * 2003-12-26 2005-07-14 Semiconductor Leading Edge Technologies Inc 半導体装置及びその製造方法
JP2006190872A (ja) * 2005-01-07 2006-07-20 Fujitsu Ltd 半導体装置の製造方法
JP2007048811A (ja) * 2005-08-08 2007-02-22 Asm Japan Kk 半導体装置の配線層間絶縁膜及びその製造方法
JP2011014858A (ja) * 2009-07-01 2011-01-20 National Chiao Tung Univ 自己整合薄膜トランジスタの製造方法とその構造体

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20200115677A (ko) * 2018-03-09 2020-10-07 어플라이드 머티어리얼스, 인코포레이티드 금속 함유 재료들을 위한 고압 어닐링 프로세스
JP2021515412A (ja) * 2018-03-09 2021-06-17 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 金属含有材料の高圧アニーリングプロセス
JP7239598B2 (ja) 2018-03-09 2023-03-14 アプライド マテリアルズ インコーポレイテッド 金属含有材料の高圧アニーリングプロセス
KR102536820B1 (ko) * 2018-03-09 2023-05-24 어플라이드 머티어리얼스, 인코포레이티드 금속 함유 재료들을 위한 고압 어닐링 프로세스
US11881411B2 (en) 2018-03-09 2024-01-23 Applied Materials, Inc. High pressure annealing process for metal containing materials
JP2023507308A (ja) * 2019-12-18 2023-02-22 レール・リキード-ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 高品質Si含有膜を形成するための超低温ALD
JP7357794B2 (ja) 2019-12-18 2023-10-06 レール・リキード-ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 高品質Si含有膜を形成するための超低温ALD
WO2021166940A1 (ja) * 2020-02-20 2021-08-26 株式会社ニコン トランジスタ、電子デバイス及びトランジスタの製造方法

Also Published As

Publication number Publication date
JP6092902B2 (ja) 2017-03-08
EP2823083A1 (en) 2015-01-14
JP2019117932A (ja) 2019-07-18
US11626279B2 (en) 2023-04-11
JP2017103481A (ja) 2017-06-08
TWI502645B (zh) 2015-10-01
EP2823083B1 (en) 2023-10-04
KR20140138276A (ko) 2014-12-03
WO2013134653A1 (en) 2013-09-12
KR101996942B1 (ko) 2019-07-05
CN104284997B (zh) 2016-08-17
US20150014823A1 (en) 2015-01-15
KR20170102369A (ko) 2017-09-08
CN104284997A (zh) 2015-01-14
TW201338040A (zh) 2013-09-16

Similar Documents

Publication Publication Date Title
JP6092902B2 (ja) 薄膜トランジスター機器上にケイ素含有膜を製造する方法
KR101950952B1 (ko) 실리콘 함유 막을 제조하는 방법
KR102140719B1 (ko) 디스플레이 디바이스를 위한 배리어 물질
KR101070953B1 (ko) 실리콘을 포함하는 유전 필름 및 이를 제조하는 방법
US20160049293A1 (en) Method and composition for providing pore sealing layer on porous low dielectric constant films
EP2363512A1 (en) Methods to prepare silicon-containing films

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20160112

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20160209

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20160506

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20160809

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20170110

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20170209

R150 Certificate of patent or registration of utility model

Ref document number: 6092902

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250