JP2015159335A - 酸化ケイ素及び酸窒化ケイ素膜、それらの形成方法、並びに化学気相成長用組成物 - Google Patents

酸化ケイ素及び酸窒化ケイ素膜、それらの形成方法、並びに化学気相成長用組成物 Download PDF

Info

Publication number
JP2015159335A
JP2015159335A JP2015106450A JP2015106450A JP2015159335A JP 2015159335 A JP2015159335 A JP 2015159335A JP 2015106450 A JP2015106450 A JP 2015106450A JP 2015106450 A JP2015106450 A JP 2015106450A JP 2015159335 A JP2015159335 A JP 2015159335A
Authority
JP
Japan
Prior art keywords
group
formula
silicon oxide
chemical vapor
vapor deposition
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2015106450A
Other languages
English (en)
Other versions
JP5996722B2 (ja
Inventor
スリダンダム ハーレッシュ
Hareesh Thridandam
スリダンダム ハーレッシュ
マンチャオ シャオ
Manchao Xiao
シャオ マンチャオ
レイ シンジャン
Xinjian Lei
レイ シンジャン
リチャード ガフネイ トーマス
Thomas Richard Gaffney
リチャード ガフネイ トーマス
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Air Products and Chemicals Inc
Original Assignee
Air Products and Chemicals Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=38426484&utm_source=***_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=JP2015159335(A) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by Air Products and Chemicals Inc filed Critical Air Products and Chemicals Inc
Publication of JP2015159335A publication Critical patent/JP2015159335A/ja
Application granted granted Critical
Publication of JP5996722B2 publication Critical patent/JP5996722B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • C07F7/02Silicon compounds
    • C07F7/08Compounds having one or more C—Si linkages
    • C07F7/10Compounds having one or more C—Si linkages containing nitrogen having a Si-N linkage
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/42Silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3143Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers
    • H01L21/3145Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers formed by deposition from a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31633Deposition of carbon doped silicon oxide, e.g. SiOC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Physics & Mathematics (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

【課題】シラン酸化物前駆体の化学気相成長によって基材上に比較的低温で酸化ケイ素膜を形成する方法を提供する。
【解決手段】以下の式によって表されるアミノシラン前駆体を使用することを含む、酸化剤との反応によるシラン酸化物前駆体の化学気相成長によって基材上に酸化ケイ素膜を形成するための方法:RRNSiH(式A)、RN(SiH(式B)、SiHRN(R)NRSiH(式C)・式中、R及びRは、直鎖、分枝又は環状の飽和又は不飽和のC〜C10のアルキル基、芳香族、アルキルアミノ基からなる群より選択され;式A及び式C中において、RとRは、環状基(CHになっていてもよく(nは1〜6、好ましくは4及び5)、且つRは、一重結合、(CH鎖、環、SiR又はSiHを表す。
【選択図】なし

Description

半導体デバイスの製作においては、酸化ケイ素などの化学的に不活性な誘電体材料の薄い不動態層が必要不可欠である。酸化ケイ素の薄層は、ポリシリコンと金属層の間の絶縁体、拡散マスク、酸化バリヤー、トレンチ分離、高い絶縁破壊電圧を有する金属間絶縁材料、及びパッシベーション層として機能する。
以下の論文及び特許は、酸化ケイ素膜を製造するためにエレクトロニクス産業で用いられている堆積プロセスの合成法に関する当技術分野の代表的なものとして引用される。
特許文献1は、低圧化学気相成長(LPCVD)によって基材上に改善された堆積速度で実質的に均一な厚さを有する二酸化ケイ素層を製造するための方法を開示している。反応体は酸化剤とクロロシランの混合物を一般に含み、このクロロシランは式RSiHCl(式中、R及びRはアルキル基を表す)のモノクロロシランである。二酸化ケイ素層は、種々の基材、例えば、アルミニウム上に堆積させることができる。
特許文献2は、半導体基材上にSiO膜を堆積させるための化学気相成長(CVD)プロセスを開示している。有機シリコン化合物、例えば、テトラエチルオルソシリケート(TEOS)又はジ−tert−ブチルシランが前駆体として用いられている。
特許文献3は、原子層堆積(ALD)により、式Si[N(CH、SiH[N(CH、SiH[N(CH又はSiH[N(CH]の化合物を使用して、窒化ケイ素及び酸化ケイ素膜を製造するための方法を開示している。トリスジメチルアミノシラン(TDMAS)が前駆体として好ましい。
特許文献4は、前駆体反応体としてビス−tert−ブチルアミノシラン(BTBAS)を使用することを含む酸化ケイ素、窒化ケイ素及び酸窒化ケイ素膜の形成において、堆積速度を向上させるための方法を開示している。
特許文献5は、化学気相成長(CVD)反応器を用いて基材上にSiO膜を堆積するための方法を開示している。ケイ素の前駆体、すなわちテトラエチルオルトシラン(TEOS)、ジエチルシラン、テトラメチルシクロテトラオキシシロキサン、フルオロトリエトキシシラン、及びフルオロトリアルコキシシランが、水及び過酸化水素と組み合わせて反応体として使用されている。
米国特許第5,250,473号明細書 米国特許第5,382,550号明細書 米国特許第6,391,803号明細書 米国特許第6,153,261号明細書 米国特許第6,974,780号明細書
本発明は、基材上に酸化ケイ素層を堆積させる方法に関する。基材上に酸化ケイ素層を形成するための基本的なプロセスでは、基材上に酸化ケイ素層を生成するための条件下で、堆積チャンバーにおいてシラン前駆体を酸化剤と反応させる。本明細書で記載されるプロセスでは、有機アミノシランをシラン前駆体として用いる。
前駆体として用いられる化合物のクラスは、一般に以下の式によって表される:
Figure 2015159335
(式中、
R及びRは、直鎖、分枝又は環状の飽和又は不飽和のC〜C10のアルキル基、芳香族、アルキルアミノ基からなる群より選択され;
式A及び式C中において、RとRは、環状基(CHになっていてもよく(nは1〜6、好ましくは4及び5)、且つ
は、一重結合、(CH鎖(mは1〜6)、環、SiR又はSiHを表す)。
好ましい化合物は、RとRの両方がイソプロピルである式A中の化合物である。
化学気相成長(CVD)プロセスで用いられる前駆体は、多くの利点を提供することができ、これらの利点としては、下記の点を挙げることができる:
低温条件での誘電体膜の形成を促進できること;
低い酸エッチ速度を有する膜を製造できること;
前駆体と酸素含有源との比を変化させることにより、得られる酸化ケイ素膜の炭素含有量を調整できること;
前駆体と窒素含有源との比を変化させることにより、得られる酸化ケイ素膜の窒素含有量を調整できること;
優れた堆積速度で酸化ケイ素膜を形成できること;及び
種々のシラン前駆体を使用した場合に過度の分解速度によって一般に生じる多くの製造上の問題を克服できること。
化学気相成長(CVD)、例えばプラズマ化学気相成長(PECVD)によって半導体基材上に酸化ケイ素膜を形成することが周知であり、このような堆積プロセスは、本発明の実施において使用することができる。これらのプロセスでは、反応器チャンバーを排気し、半導体基材をその中に置く。次いで、有機ケイ素化合物と酸化源を、酸化ケイ素層が半導体ウェハ上に形成される条件下で、反応器チャンバーに提供する。これらの膜はまた、プロセスの間の炭素、水素及び窒素源の添加によって、炭素、窒素及び水素の含有量を調整できる(ドーピングと称されることがある)。有機アミノシラン前駆体の使用によって製造された膜は、酸化ケイ素膜、酸炭化ケイ素膜、酸窒化ケイ素膜、及び炭酸窒化ケイ素膜と称されることがある。
本発明の実施に適したケイ素化合物の1つのクラスは、有機アミノシラン前駆体であり、これは以下の式Aによって表される。
Figure 2015159335
化合物のこのクラスでは、R及びRは、直鎖、分枝又は環状の飽和又は不飽和のC〜C10のアルキル基、芳香族、アルキルアミノ基、複素環、水素、シリル基からなる群より選択され、置換基を有していても有していなくてもよい。RとRは、組み合わされて環状基になっていてもよい。代表的な置換基は、アルキル基、特にC〜Cのアルキル基、例えば異性体を含むエチル、プロピル及びブチル;環状基、例えばシクロプロピル、シクロペンチル及びシクロヘキシルである。
このクラスのうち好ましい化合物の幾つかの例は、以下の式によって表される(nは2〜7、好ましくは5又は6):
Figure 2015159335
酸化ケイ素層の製造において使用するのに好適な有機アミノシラン前駆体の第2のクラスは、式Bによって表されるように、1つの窒素原子からぶら下がった2つのシリル基を有する有機アミノシランである。
Figure 2015159335
クラスAの化合物におけるR基の場合と同様に、Rは、直鎖、分枝又は環状の飽和又は不飽和のC〜C10のアルキル基、芳香族、アルキルアミノ基、及び複素環からなる群より選択される。具体的なRとしては、メチル、エチル、プロピル、アリル、ブチル、ジメチルアミン基、及び環状基、例えばシクロプロピル、シクロペンチル及びシクロヘキシルが挙げられる。
例示の化合物は、以下の式によって表される:
Figure 2015159335
アミノシラン化合物の第3のクラスは、式Cによって表される。これらは一般に、R及びRが式AのR及びRと同じであるジアミノジシリル化合物である。R基は、窒素原子を架橋している。R基は単に、窒素原子間の一重結合である場合があり、又は架橋基、例えばSiR、SiH、連鎖又は環であってよい。
式Cは以下のとおりである。
Figure 2015159335
具体例としては、以下の式によって表されるものが挙げられる。
Figure 2015159335
上記の複数の有機アミノシランは半導体基材上に酸化ケイ素膜を製造するのに適しているが、式Aの有機アミノシランが好ましいことを見出した。ジアルキルアミノシランは、同様の誘電率を有する膜を形成するという点で、前駆体としての従来のシランの幾つかの基準を満たす。特に、ジイソプロピルアミノシランは、優れた低エッチ速度を提供し、安定でかつ他の多くのシラン前駆体よりも長い保存寿命を有するという点で、プロセスにおいて予想外の特性を提供する。
酸化ケイ素膜は、化学気相成長(CVD)、例えば低圧化学気相成長(LPCVD)、プラズマCVD(PECVD)、原子層堆積(ALD)などのために設計された堆積チャンバーにおいて形成することができる。本明細書で用いられる化学気相成長(CVD)という語は、半導体産業で用いられるこれらプロセスのそれぞれを包含するものである。
堆積チャンバーにおいてジアルキルアミノシランを酸化ケイ素に転化するための酸素源として、通常の酸化剤を使用することができる。代表的な酸化剤としては、過酸化水素、亜酸化窒素、オゾン及び分子状酸素が挙げられる。典型的には、酸化剤/シラン前駆体の比は、0.1よりも大きく、好ましくは有機アミノシラン前駆体1モル当たり酸化剤が0.1〜6モルである。
酸窒化ケイ素膜の形成に使用できる通常の窒素源としては、アンモニア、ヒドラジン、アルキルヒドラジン、ジアルキルヒドラジン及びそれらの混合物が挙げられる。
本明細書において記載される式A及びBの有機アミノシランを用いると、製造者は化学気相成長(CVD)によって比較的低い温度で、酸化ケイ素膜を形成することができるが、450〜600℃の一般的な温度範囲で行うこともできる。
低圧化学気相成長(LPCVD)プロセスは、種々の基材、例えばシリコン及びアルミニウム上で、一般には0.1〜500Torr、好ましくは0.5〜20Torrの圧力範囲内で行うことができる化学反応を伴う。高圧の化学気相成長(CVD)では、所望の基材に達する前に、気相での核生成又は予備堆積が起こることがある。不活性ガス、例えば窒素及びヘリウムによるシラン前駆体の希釈が、このような高圧の反応のために必要とされる場合がある。製造者が不活性ガスを使用して前駆体の対応する希釈を達成することにより、堆積の共形性を改善することができ又は化学気相浸透のための浸入を改善することができる。
特定のシラン前駆体として、イソプロピルアミノシラン、好ましくはジイソプロピルアミノシランを使用することで、5Å/分〜60Å/分の速度で堆積し、屈折率が1.45〜1.70であり、かつ(1%HF溶液での)ウェットエッチ速度が0.01Å/秒〜1.5Å/秒である酸化物膜を形成することができる。
以下の例は、本発明の種々の実施態様を説明するために与えられるものであり、本発明の範囲を限定するものではない。
[一般的手順]
酸化ケイ素堆積物のための実験用前駆体を評価するのに用いられる低圧化学気相成長(LPCVD)反応器において、前駆体を試験した。前駆体を脱ガスし、低圧マスフローコントローラ(MFC)を通じて、反応器に計量供給した。化学物質の質量損失と流れ時間に対して、マスフローコントローラ(MFC)流量を較正した。酸素などの追加の反応体、及び窒素及びヘリウムなどの希釈剤も同様に、較正されたマスフローコントローラ(MFC)を通じて反応器に計量供給した。10−4Torr(0.013Pa)未満に反応器を排気できるルーツブロワー/ドライポンプの組合せに、反応器を連結した。堆積中にシリコンウェハの装填物にわたる温度は、設定点の1℃以内にした。
ウェハを石英ボート上に装填して反応器に挿入した。反応器をポンプにより基準圧にして漏れをチェックする。系をガス流によってプロセス温度まで上げた。このガス流は、反応器が温まったときにシリコンウェハが酸化するのを防ぐために、残留する酸素又は水分を希釈するものである。次いで、反応器を所定の時間安定化させて、すべてのウェハ表面を、付属の熱電対を用いて、ウェハについて先の測定により決定されたのと等しい温度にした。
制御された圧力で所定の堆積時間にわたって、ガス及び蒸気を反応器に注入した。次に、ガスを遮断し、反応器をポンプで基準圧にした。次いで、反応器が冷却されるときに、反応性のガス及び蒸気を除去するために、反応器をポンプでパージし、ポンプを停止しそしてポンプでパージした。反応器を大気圧に戻し、ウェハを取り出し、そして室温に冷却した。次いで、堆積膜を、膜厚、膜の屈折率、膜応力、赤外線吸光度、誘電率及び酸エッチ速度について測定した。
[例1(参考例)]
[ジエチルアミノシラン前駆体を用いた酸化ケイ素膜の形成]
以下の反応体及び流量条件を用いて、上で概説した一般的手順に従った。ジエチルアミノシラン(DEAS)11.7sccmを、O25.9sccmとともに、0.6Torrで、74分の堆積時間にわたって、500℃で、LPCVD反応器に流した。
酸化ケイ素膜の平均の膜厚は123nmであり、屈折率は1.459であった。1%HF溶液でのこの膜のウェットエッチ速度は1.38Å/秒であった。赤外スペクトルはSi−O−Siの吸収が顕著であった。C−Hの吸収はノイズ中にあり、膜が二酸化ケイ素であることを示した。ラザフォード後方散乱分光法(前方散乱による水素)による膜組成の分析は、この膜が28原子%のケイ素、57原子%の酸素、11原子%の水素、3原子%の炭素及び1原子%の窒素であることを示した。このことは、この膜が、水素、炭素及び窒素の不純物を有する二酸化ケイ素であることを示している。
[例2(参考例)]
[ジエチルアミノシラン前駆体を用いた酸化ケイ素膜の形成]
プロセス条件を除いて例1の手順に従った。この目的は、より高い温度と短い反応時間の効果を決定することであった。この例では、ジエチルアミノシラン(DEAS)11.7sccmを、O5.9sccmとともに、0.6Torrで、33分の堆積時間にわたって、600℃で、反応器に流した。
酸化ケイ素膜の平均の膜厚は157nmであり、屈折率は1.501であった。1%HF溶液でのこの膜のウェットエッチ速度は0.41Å/秒であった。赤外スペクトルはSi−O−Siの吸収が顕著であった。C−Hの吸収はノイズ中にあり、膜が酸化ケイ素であることを示した。ラザフォード後方散乱分光法(前方散乱による水素)による膜組成の分析は、この膜が27原子%のケイ素、47原子%の酸素、15原子%の水素、7原子%の炭素及び4原子%の窒素であることを示した。このことは、この膜が、水素、炭素及び窒素の不純物を有する二酸化ケイ素であることを示している。
[例3(本発明)]
[ジイソプロピルアミノシラン前駆体を用いた酸化ケイ素膜の形成]
プロセス条件及び前駆体を除いて本質的に例1の手順に従った。この例では、ジイソプロピルアミノシラン(DIPAS)10.5sccmを、O5.0sccmとともに、0.6Torrで、74分の堆積時間にわたって、500℃で、反応器に流した。
酸化ケイ素膜の平均の膜厚は112nmであり、屈折率は1.458であった。1%HF溶液でのこの膜のウェットエッチ速度は1.39Å/秒であった。赤外スペクトルはSi−O−Siの吸収が顕著であった。C−Hの吸収はノイズ中にあり、膜が酸化ケイ素であることを示した。ラザフォード後方散乱分光法(前方散乱による水素)による膜組成の分析は、この膜が28原子%のケイ素、55原子%の酸素、12原子%の水素、3原子%の炭素及び2原子%の窒素であることを示した。このことは、この膜が、水素、炭素及び窒素の不純物を有する二酸化ケイ素であることを示している。
[例4(本発明)]
[ジイソプロピルアミノシラン前駆体を用いた酸化ケイ素膜の形成]
プロセス条件及び前駆体を除いて例2の手順に従った。この例では、ジイソプロピルアミノシラン(DIPAS)10.5sccmを、O5.0sccmとともに、0.6Torrで、33分の堆積時間にわたって、600℃で、反応器に流した。
酸化ケイ素膜の平均の膜厚は124nmであり、屈折率は1.495であった。1%HF溶液でのこの膜のウェットエッチ速度は0.42Å/秒であった。赤外スペクトルはSi−O−Siの吸収が顕著であった。C−Hの吸収はノイズ中にあり、膜が酸化ケイ素であることを示した。ラザフォード後方散乱分光法(前方散乱による水素)による膜組成の分析は、この膜が28原子%のケイ素、51原子%の酸素、11原子%の水素、6原子%の炭素及び4原子%の窒素であることを示した。このことは、この膜が、水素、炭素及び窒素の不純物を有する二酸化ケイ素であることを示している。
[例1〜4のまとめ]
まとめると、例1〜4は、式Aで示されるタイプの有機アミノシランが、半導体基材上に酸化ケイ素膜を製造するための前駆体として使用できることを示している。ジイソプロピルアミノシラン(DIPAS)は、低エッチ速度の酸化物のプロセスにおいて、前駆体としてのジエチルアミノシラン(DEAS)の使用に対する利点をもたらす。ジエチルアミノシラン(DEAS)は、室温でジイソプロピルアミノシラン(DIPAS)よりも不安定である。ジエチルアミノシラン(DEAS)の不安定な性質は、多くのEH&Sマネジメント、製造、供給ライン(倉庫保管及び船積みを含む)及びエンドユーザプロセスの問題を引き起こす場合がある。例3及び4(本発明)は、ジイソプロピルアミノシラン(DIPAS)から形成された酸化物膜が、同様のプロセス条件下で例1及び2(参考例)においてジエチルアミノシラン(DEAS)から形成された酸化物膜と同じエッチ速度、誘電率、屈折率及び定性的組成(FTIRによる)を一般に有することを示している。したがって、化学及びプロセス両方の観点から、ジイソプロピルアミノシラン(DIPAS)は、低エッチ速度の酸化ケイ素膜を製造するための好ましい前駆体である。

Claims (24)

  1. 下記の式によって表されるアミノシランからなる群より選択されるアミノシラン前駆体と酸化剤とを使用することを含む、化学気相成長によって基材上に酸化ケイ素膜を形成する方法[ただし、前記アミノシラン前駆体は、ジ(ノルマルプロピル)アミノシラン、ジイソプロピルアミノシラン、ジイソブチルアミノシラン、ピロリルシラン、イミダゾリルシラン、ピラゾリルシラン、1−メチルピペラジニルシラン、ピロリジニルシラン、又は2−メチルピロリジニルシランではない]:
    Figure 2015159335
    (式中、
    R及びRは、置換又は非置換の、直鎖、分枝又は環状の飽和又は不飽和のC〜C10のアルキル基;芳香族基;アルキルアミノ基;複素環基;からなる群より選択され;
    式A及び式C中において、RとRは、環状基になっていてもよく、且つ
    は、一重結合、(CH鎖(mは1〜6)、環、SiR又はSiHを表し、
    ただし、式A中のRとRの双方が直鎖アルキル基ではない)。
  2. 前記アミノシラン前駆体が式Aによって表される、請求項1に記載の方法。
  3. R及びRが3〜4個の炭素を有するアルキル基である、請求項2に記載の方法。
  4. R及びRが環状である、請求項2に記載の方法。
  5. R及びRが組み合わさって(CHの形態の環になっており、且つnが4又は5である、請求項2に記載の方法。
  6. 前記アミノシラン前駆体が以下の式によって表される(式中、nは5又は6)、請求項1に記載の方法:
    Figure 2015159335
  7. 前記アミノシラン前駆体が式Bによって表される、請求項1に記載の方法。
  8. 前記アミノシラン前駆体が下記の式を有するアミノシランからなる群より選択される、請求項7に記載の方法:
    Figure 2015159335
  9. 前記アミノシラン前駆体が以下の式によって表される、請求項8に記載の方法:
    Figure 2015159335
  10. 前記アミノシラン前駆体が式Cによって表される、請求項1に記載の方法。
  11. 前記アミノシラン前駆体が以下の式を有するアミノシランからなる群より選択される、請求項10に記載の方法:
    Figure 2015159335
  12. 前記酸化剤が、酸素、過酸化水素、オゾン及び亜酸化窒素からなる群より選択される、請求項1〜11のいずれかに記載の方法。
  13. 炭素及び水素でドープされた酸化ケイ素膜を形成するために、炭素及び水素源を堆積チャンバーに導入する、請求項1〜12のいずれかに記載の方法。
  14. 炭素、窒素及び水素でドープされた酸化ケイ素膜を形成するために、窒素源を堆積チャンバーに更に導入する、請求項13に記載の方法。
  15. 前記窒素源が、アンモニア、ヒドラジン、アルキルヒドラジン、ジアルキルヒドラジン及びそれらの混合物からなる群より選択される、請求項14に記載の方法。
  16. 前記化学気相成長が原子層成長である、請求項1〜15のいずれかに記載の方法。
  17. 下記の式によって表されるアミノシランからなる群より選択されるアミノシラン前駆体と酸化剤とが反応して基材上に酸化ケイ素の誘電体層が堆積する条件下で、前記アミノシラン前駆体と酸化剤とを化学気相成長チャンバーに導入することを含む、化学気相成長チャンバーおける化学気相成長によって基材上に酸化ケイ素の誘電体層を製造する方法[ただし、前記アミノシラン前駆体は、ジ(ノルマルプロピル)アミノシラン、ジイソプロピルアミノシラン、ジイソブチルアミノシラン、ピロリルシラン、イミダゾリルシラン、ピラゾリルシラン、1−メチルピペラジニルシラン、ピロリジニルシラン、又は2−メチルピロリジニルシランではない]:
    Figure 2015159335
    (式中、
    R及びRは、置換又は非置換の、直鎖、分枝又は環状の飽和又は不飽和のC〜C10のアルキル基;芳香族基;アルキルアミノ基;複素環基;からなる群より選択され;
    式A及び式C中において、RとRは、環状基になっていてもよく、且つ
    は、一重結合、(CH鎖(mは1〜6)、環、SiR又はSiHを表し、
    ただし、式A中のRとRの双方が直鎖アルキル基ではない)。
  18. 前記酸化剤が、オゾン、酸素、亜酸化窒素及び過酸化水素からなる群より選択される、請求項17に記載の方法。
  19. 350〜700℃の温度と0.1〜500Torrの圧力を前記化学気相成長チャンバーにおいて用いる、請求項18に記載の方法。
  20. 下記の式によって表されるアミノシランからなる群より選択されるアミノシラン前駆体と窒素源及び酸化剤とが反応して基材上に酸化ケイ素の誘電体層が堆積する条件下で、前記アミノシラン前駆体、窒素源、及び酸化剤とを前記化学気相成長チャンバーに導入することを含む、化学気相成長チャンバーおける化学気相成長によって基材上に酸窒化ケイ素の誘電体層を製造する方法[ただし、前記アミノシラン前駆体は、ジ(ノルマルプロピル)アミノシラン、ジイソプロピルアミノシラン、ジイソブチルアミノシラン、ピロリルシラン、イミダゾリルシラン、ピラゾリルシラン、1−メチルピペラジニルシラン、ピロリジニルシラン、又は2−メチルピロリジニルシランではない]:
    Figure 2015159335
    (式中、
    R及びRは、置換又は非置換の、直鎖、分枝又は環状の飽和又は不飽和のC〜C10のアルキル基;芳香族基;アルキルアミノ基;複素環基;からなる群より選択され;
    式A及び式C中において、RとRは、環状基になっていてもよく、且つ
    は、一重結合、(CH鎖(mは1〜6)、環、SiR又はSiHを表し、
    ただし、式A中のRとRの双方が直鎖アルキル基ではない)。
  21. 前記酸化剤が、オゾン、酸素、亜酸化窒素及び過酸化水素からなる群より選択される、請求項20に記載の方法。
  22. 前記窒素源が、アンモニア、ヒドラジン、アルキルヒドラジン、ジアルキルヒドラジン及びそれらの混合物からなる群より選択される、請求項20に記載の方法。
  23. 請求項1〜22のいずれかに記載の方法によって製造される、酸化ケイ素膜又は酸窒化ケイ素膜。
  24. 下記の式によって表されるアミノシランからなる群より選択されるアミノシラン前駆体を含有する、酸化剤とアミノシラン前駆体とを使用する化学気相成長によって基材上に酸化ケイ素膜を形成するための化学気相成長用組成物[ただし、前記アミノシラン前駆体は、ジ(ノルマルプロピル)アミノシラン、ジイソプロピルアミノシラン、ジイソブチルアミノシラン、ピロリルシラン、イミダゾリルシラン、ピラゾリルシラン、1−メチルピペラジニルシラン、ピロリジニルシラン、又は2−メチルピロリジニルシランではない]:
    Figure 2015159335
    (式中、
    R及びRは、置換又は非置換の、直鎖、分枝又は環状の飽和又は不飽和のC〜C10のアルキル基;芳香族基;アルキルアミノ基;複素環基;からなる群より選択され;
    式A及び式C中において、RとRは、環状基になっていてもよく、且つ
    は、一重結合、(CH鎖(mは1〜6)、環、SiR又はSiHを表し、
    ただし、式A中のRとRの双方が直鎖アルキル基ではない)。
JP2015106450A 2006-05-23 2015-05-26 酸化ケイ素及び酸窒化ケイ素膜、それらの形成方法、並びに化学気相成長用組成物 Active JP5996722B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/439,554 2006-05-23
US11/439,554 US7875312B2 (en) 2006-05-23 2006-05-23 Process for producing silicon oxide films for organoaminosilane precursors

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2013243373A Division JP5973412B2 (ja) 2006-05-23 2013-11-25 酸化ケイ素膜の形成方法、酸化ケイ素及び酸窒化ケイ素の誘電体層の製造方法、並びに化学気相成長用組成物

Publications (2)

Publication Number Publication Date
JP2015159335A true JP2015159335A (ja) 2015-09-03
JP5996722B2 JP5996722B2 (ja) 2016-09-21

Family

ID=38426484

Family Applications (9)

Application Number Title Priority Date Filing Date
JP2007135666A Active JP4718515B2 (ja) 2006-05-23 2007-05-22 酸化ケイ素及び酸窒化ケイ素膜、それらの形成方法、並びに化学気相成長用組成物
JP2010249926A Active JP5781750B2 (ja) 2006-05-23 2010-11-08 酸化ケイ素及び酸窒化ケイ素膜、それらの形成方法、並びに化学気相成長用組成物
JP2011082821A Active JP5731259B2 (ja) 2006-05-23 2011-04-04 有機アミノシラン前駆体からの酸化ケイ素膜の製造方法
JP2013243373A Active JP5973412B2 (ja) 2006-05-23 2013-11-25 酸化ケイ素膜の形成方法、酸化ケイ素及び酸窒化ケイ素の誘電体層の製造方法、並びに化学気相成長用組成物
JP2014018171A Active JP5827352B2 (ja) 2006-05-23 2014-02-03 有機アミノシラン前駆体からの酸化ケイ素膜の製造方法
JP2015023549A Active JP6058043B2 (ja) 2006-05-23 2015-02-09 酸化ケイ素及び酸窒化ケイ素膜、それらの形成方法、並びに化学気相成長用組成物
JP2015038698A Ceased JP2015146429A (ja) 2006-05-23 2015-02-27 酸化ケイ素及び酸窒化ケイ素膜、それらの形成方法、並びに化学気相成長用組成物
JP2015106450A Active JP5996722B2 (ja) 2006-05-23 2015-05-26 酸化ケイ素及び酸窒化ケイ素膜、それらの形成方法、並びに化学気相成長用組成物
JP2017158744A Active JP6506358B2 (ja) 2006-05-23 2017-08-21 酸化ケイ素及び酸窒化ケイ素膜、それらの形成方法、並びに化学気相成長用組成物

Family Applications Before (7)

Application Number Title Priority Date Filing Date
JP2007135666A Active JP4718515B2 (ja) 2006-05-23 2007-05-22 酸化ケイ素及び酸窒化ケイ素膜、それらの形成方法、並びに化学気相成長用組成物
JP2010249926A Active JP5781750B2 (ja) 2006-05-23 2010-11-08 酸化ケイ素及び酸窒化ケイ素膜、それらの形成方法、並びに化学気相成長用組成物
JP2011082821A Active JP5731259B2 (ja) 2006-05-23 2011-04-04 有機アミノシラン前駆体からの酸化ケイ素膜の製造方法
JP2013243373A Active JP5973412B2 (ja) 2006-05-23 2013-11-25 酸化ケイ素膜の形成方法、酸化ケイ素及び酸窒化ケイ素の誘電体層の製造方法、並びに化学気相成長用組成物
JP2014018171A Active JP5827352B2 (ja) 2006-05-23 2014-02-03 有機アミノシラン前駆体からの酸化ケイ素膜の製造方法
JP2015023549A Active JP6058043B2 (ja) 2006-05-23 2015-02-09 酸化ケイ素及び酸窒化ケイ素膜、それらの形成方法、並びに化学気相成長用組成物
JP2015038698A Ceased JP2015146429A (ja) 2006-05-23 2015-02-27 酸化ケイ素及び酸窒化ケイ素膜、それらの形成方法、並びに化学気相成長用組成物

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2017158744A Active JP6506358B2 (ja) 2006-05-23 2017-08-21 酸化ケイ素及び酸窒化ケイ素膜、それらの形成方法、並びに化学気相成長用組成物

Country Status (6)

Country Link
US (1) US7875312B2 (ja)
EP (3) EP1860690B1 (ja)
JP (9) JP4718515B2 (ja)
KR (6) KR100903916B1 (ja)
CN (3) CN101078109B (ja)
TW (2) TWI405865B (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2021247592A1 (en) * 2020-06-03 2021-12-09 Lam Research Corporation In-feature wet etch rate ratio reduction

Families Citing this family (390)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006261434A (ja) * 2005-03-17 2006-09-28 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude シリコン酸化膜の形成方法
US7875556B2 (en) * 2005-05-16 2011-01-25 Air Products And Chemicals, Inc. Precursors for CVD silicon carbo-nitride and silicon nitride films
US7875312B2 (en) * 2006-05-23 2011-01-25 Air Products And Chemicals, Inc. Process for producing silicon oxide films for organoaminosilane precursors
US8530361B2 (en) 2006-05-23 2013-09-10 Air Products And Chemicals, Inc. Process for producing silicon and oxide films from organoaminosilane precursors
TWI462179B (zh) * 2006-09-28 2014-11-21 Tokyo Electron Ltd 用以形成氧化矽膜之成膜方法與裝置
JP2010539730A (ja) * 2007-09-18 2010-12-16 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード シリコン含有膜を形成する方法
JP4959733B2 (ja) * 2008-02-01 2012-06-27 東京エレクトロン株式会社 薄膜形成方法、薄膜形成装置及びプログラム
JP5310283B2 (ja) * 2008-06-27 2013-10-09 東京エレクトロン株式会社 成膜方法、成膜装置、基板処理装置及び記憶媒体
US7999355B2 (en) * 2008-07-11 2011-08-16 Air Products And Chemicals, Inc. Aminosilanes for shallow trench isolation films
US8129555B2 (en) * 2008-08-12 2012-03-06 Air Products And Chemicals, Inc. Precursors for depositing silicon-containing films and methods for making and using same
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
TWI654689B (zh) 2008-12-26 2019-03-21 日商半導體能源研究所股份有限公司 半導體裝置及其製造方法
US8703624B2 (en) * 2009-03-13 2014-04-22 Air Products And Chemicals, Inc. Dielectric films comprising silicon and methods for making same
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8703625B2 (en) * 2010-02-04 2014-04-22 Air Products And Chemicals, Inc. Methods to prepare silicon-containing films
US8912353B2 (en) * 2010-06-02 2014-12-16 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for depositing films comprising same
JP5689398B2 (ja) * 2010-12-21 2015-03-25 東京エレクトロン株式会社 窒化シリコン膜の成膜方法及び成膜装置
EP2484801B1 (en) * 2011-02-07 2017-08-23 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method of deposition of Al2O3/SiO2 stacks, from TMA or TEA and silicon precursors
CN103476965B (zh) * 2011-02-07 2016-03-23 乔治洛德方法研究和开发液化空气有限公司 由铝和硅前体沉积Al2O3/SiO2叠层的方法
EP2484803B1 (en) * 2011-02-07 2017-03-29 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method of deposition of Al2O3/SiO2 stacks, from aluminium and silicon precursors
EP2484802B1 (en) * 2011-02-07 2017-03-29 L'Air Liquide Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Method of deposition of Al2O3/SiO2 stacks from DMAI and silicon precursors
US8771807B2 (en) 2011-05-24 2014-07-08 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for making and using same
US9447287B2 (en) 2011-06-03 2016-09-20 Air Products And Chemicals, Inc. Compositions and processes for depositing carbon-doped silicon-containing films
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8993072B2 (en) 2011-09-27 2015-03-31 Air Products And Chemicals, Inc. Halogenated organoaminosilane precursors and methods for depositing films comprising same
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9677178B2 (en) 2012-01-27 2017-06-13 Versum Materials Us, Llc Alkoxyaminosilane compounds and applications thereof
US9200167B2 (en) * 2012-01-27 2015-12-01 Air Products And Chemicals, Inc. Alkoxyaminosilane compounds and applications thereof
WO2013134661A1 (en) * 2012-03-09 2013-09-12 Air Products And Chemicals, Inc. Barrier materials for display devices
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9460912B2 (en) * 2012-04-12 2016-10-04 Air Products And Chemicals, Inc. High temperature atomic layer deposition of silicon oxide thin films
EP2875166B1 (en) * 2012-07-20 2018-04-11 L'Air Liquide Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Organosilane precursors for ald/cvd silicon-containing film applications
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
KR101380317B1 (ko) * 2012-08-31 2014-04-04 주식회사 유진테크 머티리얼즈 실리콘 원자 및 금속 원자에 대한 친화성이 우수한 고리형 아미노실란 화합물, 이의 제조방법 및 이의 응용
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
TW201509799A (zh) 2013-07-19 2015-03-16 Air Liquide 用於ald/cvd含矽薄膜應用之六配位含矽前驅物
US9382268B1 (en) 2013-07-19 2016-07-05 American Air Liquide, Inc. Sulfur containing organosilane precursors for ALD/CVD silicon-containing film applications
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9284642B2 (en) * 2013-09-19 2016-03-15 Asm Ip Holding B.V. Method for forming oxide film by plasma-assisted processing
US10453675B2 (en) * 2013-09-20 2019-10-22 Versum Materials Us, Llc Organoaminosilane precursors and methods for depositing films comprising same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9905415B2 (en) * 2013-10-03 2018-02-27 Versum Materials Us, Llc Methods for depositing silicon nitride films
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
KR20150108664A (ko) * 2014-03-18 2015-09-30 주식회사 유진테크 머티리얼즈 전구체 화합물 및 이를 이용한 박막 증착 방법, 어모퍼스 실리콘막의 증착방법
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
WO2015184201A1 (en) 2014-05-30 2015-12-03 Dow Corning Corporation Monoaminosilane compounds
CN106459099A (zh) * 2014-05-30 2017-02-22 道康宁公司 合成二异丙基氨基‑二硅烷的方法
KR101956587B1 (ko) 2014-05-30 2019-03-11 다우 실리콘즈 코포레이션 다이아미노실란 화합물
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
KR102461078B1 (ko) 2014-10-02 2022-10-28 레르 리키드 쏘시에떼 아노님 뿌르 레드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 Ald/cvd 규소-함유 필름 응용을 위한 오르가노디실란 전구체
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
SG10202000545RA (en) * 2014-10-24 2020-03-30 Versum Materials Us Llc Compositions and methods using same for deposition of silicon-containing films
CN104447839B (zh) * 2014-11-06 2017-10-31 江南大学 一种氨基吡啶基硅化合物及其应用
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US10570513B2 (en) 2014-12-13 2020-02-25 American Air Liquide, Inc. Organosilane precursors for ALD/CVD silicon-containing film applications and methods of using the same
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10354860B2 (en) * 2015-01-29 2019-07-16 Versum Materials Us, Llc Method and precursors for manufacturing 3D devices
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10421766B2 (en) * 2015-02-13 2019-09-24 Versum Materials Us, Llc Bisaminoalkoxysilane compounds and methods for using same to deposit silicon-containing films
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11124876B2 (en) 2015-03-30 2021-09-21 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US9777025B2 (en) * 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
TWI706957B (zh) 2015-03-30 2020-10-11 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 碳矽烷與氨、胺類及脒類之觸媒去氫耦合
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
KR20180095561A (ko) * 2015-12-18 2018-08-27 다우 실리콘즈 코포레이션 오가노아미노실란의 제조 방법 및 오가노아미노실란으로부터 실릴아민을 제조하는 방법
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
TWI724141B (zh) * 2016-03-23 2021-04-11 法商液態空氣喬治斯克勞帝方法硏究開發股份有限公司 形成含矽膜之組成物及其製法與用途
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10703915B2 (en) * 2016-09-19 2020-07-07 Versum Materials Us, Llc Compositions and methods for the deposition of silicon oxide films
JP6673125B2 (ja) * 2016-09-30 2020-03-25 豊田合成株式会社 半導体装置
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10176984B2 (en) * 2017-02-14 2019-01-08 Lam Research Corporation Selective deposition of silicon oxide
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10242866B2 (en) 2017-03-08 2019-03-26 Lam Research Corporation Selective deposition of silicon nitride on silicon oxide using catalytic control
US10043656B1 (en) 2017-03-10 2018-08-07 Lam Research Corporation Selective growth of silicon oxide or silicon nitride on silicon surfaces in the presence of silicon oxide
US9911595B1 (en) 2017-03-17 2018-03-06 Lam Research Corporation Selective growth of silicon nitride
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10559461B2 (en) 2017-04-19 2020-02-11 Lam Research Corporation Selective deposition with atomic layer etch reset
KR102093227B1 (ko) * 2017-04-20 2020-03-25 (주)디엔에프 다이실릴아민 화합물, 이의 제조방법 및 이를 포함하는 실리콘 함유 박막증착용 조성물
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US10460930B2 (en) 2017-11-22 2019-10-29 Lam Research Corporation Selective growth of SiO2 on dielectric surfaces in the presence of copper
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
WO2019169335A1 (en) 2018-03-02 2019-09-06 Lam Research Corporation Selective deposition using hydrolysis
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
JP7265446B2 (ja) * 2018-08-10 2023-04-26 住友精化株式会社 アミノシラン化合物、前記アミノシラン化合物を含むシリコン含有膜形成用の組成物
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US20210380418A1 (en) * 2018-10-05 2021-12-09 Versum Materials Us, Llc High temperature atomic layer deposition of silicon-containing film
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
KR102157137B1 (ko) * 2018-11-30 2020-09-17 주식회사 한솔케미칼 실리콘 전구체 및 이를 이용한 실리콘 함유 박막의 제조방법
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
WO2020163359A1 (en) * 2019-02-05 2020-08-13 Versum Materials Us, Llc Deposition of carbon doped silicon oxide
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN114365265A (zh) * 2019-09-10 2022-04-15 弗萨姆材料美国有限责任公司 用于非保形沉积含硅膜的组合物和使用该组合物的方法
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
WO2021153986A1 (ko) * 2020-01-31 2021-08-05 주식회사 유피케미칼 실리콘 전구체 화합물, 이를 포함하는 실리콘-함유 막 형성용 조성물 및 실리콘-함유 막 형성 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
KR20220107635A (ko) * 2021-01-25 2022-08-02 에스케이하이닉스 주식회사 선택적 영역 증착 방법 및 이를 적용한 전자 소자의 제조 방법
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06132284A (ja) * 1992-10-22 1994-05-13 Kawasaki Steel Corp 半導体装置の保護膜形成方法
JPH06132276A (ja) * 1992-10-22 1994-05-13 Kawasaki Steel Corp 半導体膜形成方法
JPH0899979A (ja) * 1994-08-01 1996-04-16 Shin Etsu Chem Co Ltd ジシリルアミンの製造方法
JPH08198915A (ja) * 1994-09-20 1996-08-06 Basf Ag アミノアルケンのオリゴマー、ポリマー
JP2000195801A (ja) * 1998-12-24 2000-07-14 Fujitsu Ltd 半導体装置の製造方法
JP2003007700A (ja) * 2001-06-20 2003-01-10 Samsung Electronics Co Ltd トリスジメチルアミノシランを用いた原子層蒸着によるシリコン含有固体薄膜の製造方法
JP2003124460A (ja) * 2001-10-15 2003-04-25 Atsushi Ogura ゲート酸化膜、素子、ゲート酸化膜形成方法、ゲート酸化膜形成材料
JP2003205574A (ja) * 2002-01-16 2003-07-22 Konica Corp 基板及び該基板を有する有機エレクトロルミネッセンス素子
US20050025885A1 (en) * 2003-07-30 2005-02-03 Mcswiney Michael L. Low-temperature silicon nitride deposition
JP2005213633A (ja) * 2004-02-02 2005-08-11 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude 化学気相成長法によるシリコン窒化物膜またはシリコンオキシ窒化物膜の製造方法
US20060019032A1 (en) * 2004-07-23 2006-01-26 Yaxin Wang Low thermal budget silicon nitride formation for advance transistor fabrication
WO2006036538A2 (en) * 2004-09-28 2006-04-06 Praxair Technology, Inc. Organometallic precursor compounds
WO2006049595A1 (en) * 2004-10-27 2006-05-11 International Business Machines Corporation Recovery of hydrophobicity of low-k and ultra low-k organosilicate films used as inter metal dielectrics
JP2007318142A (ja) * 2006-05-23 2007-12-06 Air Products & Chemicals Inc 有機アミノシラン前駆体から酸化ケイ素膜を製造するための方法

Family Cites Families (47)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB1008403A (en) 1961-01-19 1965-10-27 Secr Aviation Polymeric compounds containing silicon-nitrogen bonds
US4200666A (en) * 1978-08-02 1980-04-29 Texas Instruments Incorporated Single component monomer for silicon nitride deposition
JPS61234534A (ja) * 1985-04-11 1986-10-18 Semiconductor Energy Lab Co Ltd 窒化珪素被膜作成方法
US5008422A (en) * 1985-04-26 1991-04-16 Sri International Polysilazanes and related compositions, processes and uses
FR2598520B1 (fr) * 1986-01-21 1994-01-28 Seiko Epson Corp Pellicule protectrice minerale
JPS6414927A (en) * 1987-07-09 1989-01-19 Kojundo Kagaku Kenkyusho Kk Forming method of silicon nitride film or silicon oxynitride film
JP2637265B2 (ja) * 1990-06-28 1997-08-06 株式会社東芝 窒化珪素膜の形成方法
NL9001770A (nl) * 1990-08-06 1992-03-02 Philips Nv Werkwijze voor het aanbrengen van een siliciumdioxide-laag op een substraat door middel van chemische reactie uit de dampfase bij verlaagde druk (lpcvd).
JPH04151839A (ja) 1990-10-16 1992-05-25 Kawasaki Steel Corp シリコンオキシナイトライド膜の製造方法
JP3156326B2 (ja) * 1992-01-07 2001-04-16 富士通株式会社 半導体成長装置およびそれによる半導体成長方法
JP2684942B2 (ja) * 1992-11-30 1997-12-03 日本電気株式会社 化学気相成長法と化学気相成長装置および多層配線の製造方法
US5382550A (en) * 1993-08-05 1995-01-17 Micron Semiconductor, Inc. Method of depositing SiO2 on a semiconductor substrate
JP3336770B2 (ja) 1993-12-27 2002-10-21 ソニー株式会社 絶縁膜の形成方法
JP3230029B2 (ja) * 1994-05-30 2001-11-19 富士通株式会社 Iii−v族化合物半導体結晶成長方法
US20010012700A1 (en) * 1998-12-15 2001-08-09 Klaus F. Schuegraf Semiconductor processing methods of chemical vapor depositing sio2 on a substrate
US5874368A (en) * 1997-10-02 1999-02-23 Air Products And Chemicals, Inc. Silicon nitride from bis(tertiarybutylamino)silane
US6153261A (en) * 1999-05-28 2000-11-28 Applied Materials, Inc. Dielectric film deposition employing a bistertiarybutylaminesilane precursor
JP2001156063A (ja) 1999-11-24 2001-06-08 Hitachi Kokusai Electric Inc 半導体装置の製造方法および半導体製造装置
US6486015B1 (en) * 2000-04-25 2002-11-26 Infineon Technologies Ag Low temperature carbon rich oxy-nitride for improved RIE selectivity
US6630413B2 (en) 2000-04-28 2003-10-07 Asm Japan K.K. CVD syntheses of silicon nitride materials
US6500772B2 (en) * 2001-01-08 2002-12-31 International Business Machines Corporation Methods and materials for depositing films on semiconductor substrates
JP4866534B2 (ja) 2001-02-12 2012-02-01 エーエスエム アメリカ インコーポレイテッド 半導体膜の改良された堆積方法
US7005392B2 (en) * 2001-03-30 2006-02-28 Advanced Technology Materials, Inc. Source reagent compositions for CVD formation of gate dielectric thin films using amide precursors and method of using same
US7084080B2 (en) * 2001-03-30 2006-08-01 Advanced Technology Materials, Inc. Silicon source reagent compositions, and method of making and using same for microelectronic device structure
CN1643674A (zh) 2002-07-19 2005-07-20 阿维扎技术公司 使用氨基硅烷和臭氧的低温介电沉积
US20060178019A1 (en) * 2002-08-18 2006-08-10 Aviza Technology, Inc. Low temperature deposition of silicon oxides and oxynitrides
JP4358492B2 (ja) 2002-09-25 2009-11-04 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 熱化学気相成長法によるシリコン窒化物膜またはシリコンオキシ窒化物膜の製造方法
US6963006B2 (en) * 2003-01-15 2005-11-08 Air Products And Chemicals, Inc. Process for the production and purification of bis(tertiary-butylamino)silane
JP4354732B2 (ja) * 2003-04-17 2009-10-28 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 気相成長法によるシリコン窒化物膜の製造方法
JP4717335B2 (ja) 2003-06-30 2011-07-06 弘 中山 膜形成方法、膜、及び素子
JP4325327B2 (ja) * 2003-09-16 2009-09-02 ソニー株式会社 薄膜基板、ナノ粒子膜、及び記憶媒体
US20050109276A1 (en) * 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
JP4289141B2 (ja) * 2003-12-12 2009-07-01 三菱マテリアル株式会社 有機シリコン化合物及びその溶液原料並びに該化合物を用いたシリコン含有膜の形成方法
US20050151211A1 (en) * 2004-01-06 2005-07-14 Fujitsu Limited Semiconductor device, and method and apparatus for manufacturing the same
US7470450B2 (en) * 2004-01-23 2008-12-30 Intel Corporation Forming a silicon nitride film
JP2005252238A (ja) * 2004-02-06 2005-09-15 Mitsubishi Materials Corp 金属含有膜形成材料及び該材料から作製された金属含有膜
US7098150B2 (en) * 2004-03-05 2006-08-29 Air Liquide America L.P. Method for novel deposition of high-k MSiON dielectric films
JP2005303265A (ja) * 2004-03-17 2005-10-27 Mitsui Eng & Shipbuild Co Ltd 低温ポリシリコンtftゲート酸化膜の形成方法
US20060198958A1 (en) * 2004-04-08 2006-09-07 Christian Dussarrat Methods for producing silicon nitride films by vapor-phase growth
JP4595702B2 (ja) * 2004-07-15 2010-12-08 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
KR100638471B1 (ko) 2004-08-25 2006-10-25 동국제약 주식회사 실라스타틴 나트륨염의 신규한 제조 방법
JP4363383B2 (ja) * 2004-09-02 2009-11-11 三菱マテリアル株式会社 有機金属化学気相成長法用原料液及び該原料液を用いたHf−Si含有複合酸化物膜の製造方法
US20060051975A1 (en) * 2004-09-07 2006-03-09 Ashutosh Misra Novel deposition of SiON dielectric films
JP2006261434A (ja) 2005-03-17 2006-09-28 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude シリコン酸化膜の形成方法
JP2006286711A (ja) * 2005-03-31 2006-10-19 Mitsui Eng & Shipbuild Co Ltd シリコン酸化膜の形成方法
US7875556B2 (en) * 2005-05-16 2011-01-25 Air Products And Chemicals, Inc. Precursors for CVD silicon carbo-nitride and silicon nitride films
JP2010539730A (ja) * 2007-09-18 2010-12-16 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード シリコン含有膜を形成する方法

Patent Citations (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06132284A (ja) * 1992-10-22 1994-05-13 Kawasaki Steel Corp 半導体装置の保護膜形成方法
JPH06132276A (ja) * 1992-10-22 1994-05-13 Kawasaki Steel Corp 半導体膜形成方法
JPH0899979A (ja) * 1994-08-01 1996-04-16 Shin Etsu Chem Co Ltd ジシリルアミンの製造方法
JPH08198915A (ja) * 1994-09-20 1996-08-06 Basf Ag アミノアルケンのオリゴマー、ポリマー
JP2000195801A (ja) * 1998-12-24 2000-07-14 Fujitsu Ltd 半導体装置の製造方法
JP2003007700A (ja) * 2001-06-20 2003-01-10 Samsung Electronics Co Ltd トリスジメチルアミノシランを用いた原子層蒸着によるシリコン含有固体薄膜の製造方法
JP2003124460A (ja) * 2001-10-15 2003-04-25 Atsushi Ogura ゲート酸化膜、素子、ゲート酸化膜形成方法、ゲート酸化膜形成材料
JP2003205574A (ja) * 2002-01-16 2003-07-22 Konica Corp 基板及び該基板を有する有機エレクトロルミネッセンス素子
US20050025885A1 (en) * 2003-07-30 2005-02-03 Mcswiney Michael L. Low-temperature silicon nitride deposition
JP2005213633A (ja) * 2004-02-02 2005-08-11 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude 化学気相成長法によるシリコン窒化物膜またはシリコンオキシ窒化物膜の製造方法
US20060019032A1 (en) * 2004-07-23 2006-01-26 Yaxin Wang Low thermal budget silicon nitride formation for advance transistor fabrication
WO2006036538A2 (en) * 2004-09-28 2006-04-06 Praxair Technology, Inc. Organometallic precursor compounds
WO2006049595A1 (en) * 2004-10-27 2006-05-11 International Business Machines Corporation Recovery of hydrophobicity of low-k and ultra low-k organosilicate films used as inter metal dielectrics
JP2007318142A (ja) * 2006-05-23 2007-12-06 Air Products & Chemicals Inc 有機アミノシラン前駆体から酸化ケイ素膜を製造するための方法

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2021247592A1 (en) * 2020-06-03 2021-12-09 Lam Research Corporation In-feature wet etch rate ratio reduction

Also Published As

Publication number Publication date
EP1860690B1 (en) 2018-10-24
JP4718515B2 (ja) 2011-07-06
JP2017208575A (ja) 2017-11-24
KR100903916B1 (ko) 2009-06-19
JP2007318142A (ja) 2007-12-06
KR101206093B1 (ko) 2012-11-28
US7875312B2 (en) 2011-01-25
JP2015146429A (ja) 2015-08-13
EP2562797B1 (en) 2018-08-29
EP1860690A2 (en) 2007-11-28
JP2011103464A (ja) 2011-05-26
US20070275166A1 (en) 2007-11-29
KR20090130359A (ko) 2009-12-23
CN103397306B (zh) 2019-05-17
JP6506358B2 (ja) 2019-04-24
TWI345592B (en) 2011-07-21
JP5731259B2 (ja) 2015-06-10
CN101078109B (zh) 2013-05-29
TWI405865B (zh) 2013-08-21
EP2562798A1 (en) 2013-02-27
JP2011159992A (ja) 2011-08-18
KR20120085703A (ko) 2012-08-01
JP5996722B2 (ja) 2016-09-21
KR101353739B1 (ko) 2014-01-22
KR20130018969A (ko) 2013-02-25
JP2014132665A (ja) 2014-07-17
JP5973412B2 (ja) 2016-08-23
KR101451525B1 (ko) 2014-10-16
TW200743678A (en) 2007-12-01
EP2562798B1 (en) 2018-10-24
JP2015128170A (ja) 2015-07-09
JP6058043B2 (ja) 2017-01-11
CN103225070A (zh) 2013-07-31
JP5827352B2 (ja) 2015-12-02
KR20090033200A (ko) 2009-04-01
KR101328813B1 (ko) 2013-11-13
KR20070113113A (ko) 2007-11-28
EP2562797A1 (en) 2013-02-27
TW201114941A (en) 2011-05-01
CN103397306A (zh) 2013-11-20
EP1860690A3 (en) 2010-03-17
KR20130132707A (ko) 2013-12-05
JP2014045218A (ja) 2014-03-13
CN101078109A (zh) 2007-11-28
JP5781750B2 (ja) 2015-09-24

Similar Documents

Publication Publication Date Title
JP6506358B2 (ja) 酸化ケイ素及び酸窒化ケイ素膜、それらの形成方法、並びに化学気相成長用組成物
JP6325613B2 (ja) 化学気相成長用組成物
JP2010147485A5 (ja) アミノシラン、シリコン含有膜の形成用前駆体、シリコン含有膜の形成用組成物

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20160422

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20160426

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20160726

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20160816

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20160824

R150 Certificate of patent or registration of utility model

Ref document number: 5996722

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250