JP2015146348A - EFEM system - Google Patents

EFEM system Download PDF

Info

Publication number
JP2015146348A
JP2015146348A JP2014017820A JP2014017820A JP2015146348A JP 2015146348 A JP2015146348 A JP 2015146348A JP 2014017820 A JP2014017820 A JP 2014017820A JP 2014017820 A JP2014017820 A JP 2014017820A JP 2015146348 A JP2015146348 A JP 2015146348A
Authority
JP
Japan
Prior art keywords
gas
transfer chamber
wafer transfer
efem
wafer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2014017820A
Other languages
Japanese (ja)
Other versions
JP6599599B2 (en
Inventor
俊宏 河合
Toshihiro Kawai
俊宏 河合
邦彦 佐藤
Kunihiko Sato
邦彦 佐藤
育志 谷山
Yasushi Taniyama
育志 谷山
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Sinfonia Technology Co Ltd
Original Assignee
Sinfonia Technology Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority to JP2014017820A priority Critical patent/JP6599599B2/en
Application filed by Sinfonia Technology Co Ltd filed Critical Sinfonia Technology Co Ltd
Priority to TW107121160A priority patent/TWI678751B/en
Priority to TW110142606A priority patent/TWI784799B/en
Priority to TW111140292A priority patent/TWI814621B/en
Priority to TW103141788A priority patent/TWI635552B/en
Priority to TW108141434A priority patent/TWI749397B/en
Priority to TW112129125A priority patent/TW202349607A/en
Priority to KR1020140175857A priority patent/KR20150069526A/en
Priority to US14/569,293 priority patent/US9704727B2/en
Publication of JP2015146348A publication Critical patent/JP2015146348A/en
Application granted granted Critical
Publication of JP6599599B2 publication Critical patent/JP6599599B2/en
Priority to KR1020210102328A priority patent/KR102593779B1/en
Priority to KR1020230140902A priority patent/KR20230151956A/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Landscapes

  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

PROBLEM TO BE SOLVED: To provide an EFEM system capable of transporting wafers without exposing them to atmosphere which causes a change in surface properties and adhesion of particles.SOLUTION: An EFEM system comprises: a plurality of EFEMs 10 to 10 having wafer transport apparatuses for transporting wafers W therein; a gas cleaning apparatus 20 provided outside of EFEMs 10 to 10, and having a dust filter 21 for cleaning the gas; a gas supply channel 30 for distributing the gas cleaned by the gas cleaning apparatus, and supplying the gas to each of wafer transport apparatuses; and a gas feedback channel 40 for returning the gas emitted from each of wafer transport apparatuses to the gas cleaning apparatus 20. Nitrogen is made to cycle between the wafer transport apparatuses and the gas cleaning apparatus 20.

Description

本発明は、表面性状の変化やパーティクルの付着を生じさせる雰囲気に晒すことなくウェーハの搬送を行うことのできるEFEM(Equipment Front End Module)システムに関するものである。   The present invention relates to an EFEM (Equipment Front End Module) system capable of transporting a wafer without being exposed to an atmosphere that causes changes in surface properties and adhesion of particles.

従来より、基板としてのウェーハに対し種々の処理工程が施されることにより半導体の製造がなされてきている。近年では素子の高集積化や回路の微細化がますます進められており、ウェーハ表面へのパーティクルや水分の付着が生じないように、ウェーハ周辺を高いクリーン度に維持することが求められている。さらに、ウェーハ表面が酸化するなど表面の性状が変化することがないよう、ウェーハ周辺を不活性ガスである窒素雰囲気としたり、真空状態としたりすることも行われている。   Conventionally, semiconductors have been manufactured by performing various processing steps on a wafer as a substrate. In recent years, higher integration of devices and circuit miniaturization have been promoted, and it is required to maintain the wafer periphery with a high degree of cleanliness so that particles and moisture do not adhere to the wafer surface. . Furthermore, in order not to change the surface properties such as oxidation of the wafer surface, the periphery of the wafer is made an inert gas nitrogen atmosphere or a vacuum state.

こうしたウェーハ周辺の雰囲気を適切に維持するために、ウェーハは、FOUP(Front-Opening Unified Pod)と呼ばれる密閉式の格納ポッドの内部に入れて管理され、この内部には窒素が充填される。さらに、ウェーハに処理を行う処理装置と、FOUPとの間でウェーハの受け渡しを行うために、下記特許文献1に開示されるようなEFEMが利用されている。EFEMは、筐体の内部で略閉止されたウェーハ搬送室を構成するとともに、その対向壁面の一方にFOUPとの間でのインターフェース部として機能するロードポート(Load Port)を備えており、他方に処理装置の一部であるロードロック室が接続される。ウェーハ搬送室内には、ウェーハを搬送するためのウェーハ搬送装置が設けられており、このウェーハ搬送装置を用いて、ロードポートに接続されるFOUPとロードロック室との間でウェーハの出し入れが行われる。   In order to properly maintain the atmosphere around the wafer, the wafer is managed in a sealed storage pod called FOUP (Front-Opening Unified Pod), which is filled with nitrogen. Further, EFEM as disclosed in Patent Document 1 below is used to transfer a wafer between a processing apparatus for processing a wafer and the FOUP. The EFEM constitutes a wafer transfer chamber that is substantially closed inside the housing, and is provided with a load port (Load Port) that functions as an interface unit with the FOUP on one of the opposing wall surfaces. A load lock chamber which is a part of the processing apparatus is connected. A wafer transfer device for transferring wafers is provided in the wafer transfer chamber, and wafers are taken in and out between the FOUP connected to the load port and the load lock chamber using this wafer transfer device. .

すなわち、ウェーハは一方の受け渡し位置となるFOUP(ロードポート)より、ウェーハ搬送装置を用いて取り出され、もう一方の受け渡し位置となるロードロック室に搬送される。そして、処理装置では、ロードロック室を通じて搬送されるウェーハに対してプロセスチャンバーと称される処理ユニット内で処理を施し、処理の完了後に、再びロードロック室を介してウェーハが取り出されてFOUP内に戻される。   That is, a wafer is taken out from a FOUP (load port) serving as one delivery position using a wafer conveyance device, and conveyed to a load lock chamber serving as the other delivery position. In the processing apparatus, the wafer transferred through the load lock chamber is processed in a processing unit called a process chamber, and after the processing is completed, the wafer is taken out again through the load lock chamber and stored in the FOUP. Returned to

処理装置内は、ウェーハに対する処理を速やかに行うことができるように、処理に応じた真空等の特殊な雰囲気とされる。また、EFEMにおけるウェーハ搬送室の内部は、化学フィルタ等を通じて清浄化されたエアを導入することで、高いクリーン度のクリーンエア雰囲気とされており、搬送中のウェーハの表面にパーティクル等の付着による汚染が無いようにされている。   The inside of the processing apparatus has a special atmosphere such as a vacuum corresponding to the processing so that the wafer can be processed quickly. In addition, the inside of the wafer transfer chamber in the EFEM has a clean air atmosphere with high cleanliness by introducing air that has been cleaned through a chemical filter or the like, and is caused by adhesion of particles or the like to the surface of the wafer being transferred. There is no contamination.

特開2012−49382号公報JP 2012-49382 A

しかしながら、近年、ますますのクリーン化が進められる中で、EFEMのウェーハ搬送室内はクリーン度が比較的高いものの、FOUP内や処理装置内とは異なる空気雰囲気であることによる影響が懸念されるようになってきている。   In recent years, however, the EFEM wafer transfer chamber has a relatively high degree of cleanliness, and there is a concern that the air atmosphere is different from that in the FOUP and the processing equipment. It is becoming.

すなわち、空気雰囲気に晒されることによりウェーハ表面に水分や酸素が付着しやすく、腐食や酸化が生じる可能性がある。また、処理装置において用いられた腐食性ガス等がウェーハの表面に残留している場合には、ウェーハ表面の配線材料を腐食して歩留りの悪化が生じる可能性もある。さらに、腐食元素は水分の存在により腐食反応を加速させるため、腐食性ガスと水分の双方が存在することで、より速く腐食が進行する可能性もある。   That is, exposure to an air atmosphere tends to cause moisture and oxygen to adhere to the wafer surface, which may cause corrosion and oxidation. Further, when the corrosive gas or the like used in the processing apparatus remains on the wafer surface, the wiring material on the wafer surface may be corroded and the yield may be deteriorated. Furthermore, since the corrosion element accelerates the corrosion reaction due to the presence of moisture, there is a possibility that the corrosion proceeds more quickly when both the corrosive gas and the moisture exist.

また、ウェーハの受け渡しを行う際に、ロードポートに設けられたパージ部よりFOUPに不活性ガスである窒素等を注入することでFOUP内を加圧し、FOUP内にウェーハ搬送室内の空気雰囲気が侵入することを防止するよう構成した場合には、ウェーハの受け渡しが完了するまでの間FOUP内に窒素を注入し続けなくてはならず、注入した窒素はウェーハ搬送室へと流出してしまうため、窒素の使用量が膨大なものとなり、コストが増大するという問題が生じる。   In addition, when delivering a wafer, the inside of the FOUP is pressurized by injecting inert gas such as nitrogen into the FOUP from the purge section provided in the load port, and the air atmosphere in the wafer transfer chamber enters the FOUP. If it is configured to prevent this, nitrogen must be continuously injected into the FOUP until the delivery of the wafer is completed, and the injected nitrogen will flow out into the wafer transfer chamber. There is a problem that the amount of nitrogen used becomes enormous and the cost increases.

これを避けるためには、ウェーハ搬送室の内部をFOUPと同様窒素雰囲気にすることが考えられるが、単にウェーハ搬送の開始時に窒素雰囲気にするだけでは、時間の経過とともにウェーハ搬送室内のクリーン度が低下し、この内部での搬送中にウェーハ表面にパーティクルが付着する可能性が生じるとともに、処理装置において用いられた腐食性ガス等の影響も増加する。また、ウェーハ搬送室内に常に窒素を供給し続けた場合は窒素の使用量が増加し、コストが増大することに対する解決策とはならない。そして、EFEMは通常クリーンルーム内に複数台設置されるため、EFEM毎に窒素を供給すると窒素の使用量はさらに膨大なものとなる。また、個々のEFEMに付随して窒素を供給するための設備をそれぞれ設けた場合には、設備全体の設置面積が増大するともに、設備の設置及び管理に要するコストが増大することになる。   In order to avoid this, it is conceivable to make the inside of the wafer transfer chamber a nitrogen atmosphere like FOUP. However, if the nitrogen atmosphere is simply set at the start of wafer transfer, the cleanliness of the wafer transfer chamber over time will increase. This lowers the possibility of particles adhering to the wafer surface during the transfer inside, and also increases the influence of the corrosive gas used in the processing apparatus. Further, if nitrogen is continuously supplied into the wafer transfer chamber, the amount of nitrogen used increases, which is not a solution to the increase in cost. Since a plurality of EFEMs are usually installed in a clean room, if nitrogen is supplied for each EFEM, the amount of nitrogen used becomes even larger. In addition, when facilities for supplying nitrogen are provided in association with each EFEM, the installation area of the entire facility increases and the cost required for installation and management of the facility increases.

加えて、上記の問題は、処理や保管場所とは異なる雰囲気のもとで搬送を行う限り、ウェーハ以外の基板を搬送する場合においても同様に生じるものといえる。   In addition, it can be said that the above problem also occurs when a substrate other than a wafer is transported as long as the transport is performed in an atmosphere different from the processing or storage location.

本発明は、このような課題を有効に解決することを目的としており、具体的には、複数のEFEMを運用する場合に、搬送中のウェーハを、表面性状の変化やパーティクルの付着を生じさせる雰囲気に晒すことなく、ウェーハへのパーティクルの付着の抑制や、ウェーハ表面の性状の管理を適切に行うことを単純な構造によって実現し、設置面積の削減やコストダウンを図ることができるEFEMシステムを提供することを目的としている。   An object of the present invention is to effectively solve such a problem. Specifically, when a plurality of EFEMs are operated, a surface property change or particle adhesion occurs on a wafer being transferred. An EFEM system that can reduce the installation area and reduce costs by realizing a simple structure that suppresses adhesion of particles to the wafer and properly controls the properties of the wafer surface without exposing it to the atmosphere. It is intended to provide.

本発明は、かかる目的を達成するために、次のような手段を講じたものである。   In order to achieve this object, the present invention takes the following measures.

すなわち、本発明のEFEMシステムは、内部でウェーハを搬送するためのウェーハ搬送室をそれぞれ備えた複数のEFEMと、当該EFEMの外部に設けられ、ガスの清浄化を行うためのダストフィルタを備えるガス清浄装置と、当該ガス清浄装置によって清浄化されたガスを分配し、各ウェーハ搬送室へ供給するガス供給路と、各ウェーハ搬送室から排出されるガスを前記ガス清浄装置へ帰還させるガス帰還路とを具備し、前記ウェーハ搬送室と前記ガス清浄装置との間でガスを循環させることを特徴とする。   That is, the EFEM system of the present invention includes a plurality of EFEMs each provided with a wafer transfer chamber for transferring wafers therein, and a gas provided with a dust filter provided outside the EFEM for cleaning the gas. A cleaning device, a gas supply path that distributes the gas purified by the gas cleaning device and supplies the gas to each wafer transfer chamber, and a gas feedback path that returns the gas discharged from each wafer transfer chamber to the gas cleaning device The gas is circulated between the wafer transfer chamber and the gas cleaning device.

このように構成すると、ガス清浄装置に備えられたダストフィルタがウェーハ搬送室から排出されるガスに含まれるパーティクルを除去することで清浄化し、清浄化されたガスをウェーハ搬送室に供給することで、ウェーハ搬送室内を清浄なガス雰囲気下に保つことができる。また、複数のEFEMがガス清浄装置を共有することになり、ガス清浄装置をEFEM毎に設ける必要がなくなるため、各EFEMの構造を単純化することが可能となり、設置面積の削減やコストダウンを図ることが可能となる。   If comprised in this way, the dust filter with which the gas cleaning apparatus was equipped will clean by removing the particle | grains contained in the gas discharged | emitted from a wafer conveyance chamber, and supply the cleaned gas to a wafer conveyance chamber. The wafer transfer chamber can be kept in a clean gas atmosphere. In addition, since a plurality of EFEMs share a gas cleaning device, it is not necessary to provide a gas cleaning device for each EFEM. Therefore, the structure of each EFEM can be simplified, and the installation area can be reduced and the cost can be reduced. It becomes possible to plan.

ガス清浄装置と各ウェーハ搬送室との間のガスの循環を有効に行うためには、前記ガス清浄装置は、前記ガス帰還路から前記ガス供給路へ向かう方向にガスを送出する送風手段を備えるように構成することが好適である。   In order to effectively circulate the gas between the gas cleaning device and each wafer transfer chamber, the gas cleaning device includes a blowing unit that sends out gas in a direction from the gas return path to the gas supply path. It is preferable to configure as described above.

また、ウェーハ搬送室と接続される処理装置から流入した分子状汚染物質が循環することを防止し、ウェーハ搬送室を適切なガス雰囲気下に保つためには、前記ガス清浄装置は、帰還されたガス中に存在する分子状汚染物質を除去するケミカルフィルタを備えるように構成することが有効である。   In addition, in order to prevent the molecular contaminants flowing from the processing apparatus connected to the wafer transfer chamber from circulating, and to keep the wafer transfer chamber in an appropriate gas atmosphere, the gas cleaning device was returned. It is effective to provide a chemical filter that removes molecular contaminants present in the gas.

加えて、ウェーハ搬送室内の水分によってウェーハの品質低下が起こることを有効に防止するためには、前記ガス清浄装置は、ガス中の水分を除去する乾燥機を備えるように構成することが好ましい。   In addition, in order to effectively prevent deterioration of the wafer quality due to moisture in the wafer transfer chamber, the gas cleaning device is preferably configured to include a dryer for removing moisture in the gas.

循環路中のガスを適切なガス雰囲気に置換し、ウェーハ搬送室内において酸素や処理による残留ガスがウェーハ表面に付着してウェーハの表面性状を変化させることを抑制し、歩留りが低下することを防止するとともに、ウェーハ搬送室内のガスの一部が外部に流出した場合に、流出分のガスを供給してウェーハ搬送室内の状態を一定に保つためには、前記ガス供給路の途中位置にガスを導入するガス導入手段と、前記ガス帰還路の途中位置よりガスを吸引するためのガス吸引手段とをさらに備えるように構成することが望ましい。   Replaces the gas in the circulation path with an appropriate gas atmosphere, prevents oxygen and residual gas from processing from adhering to the wafer surface and changing the surface properties of the wafer in the wafer transfer chamber, and prevents the yield from decreasing. In addition, when a part of the gas in the wafer transfer chamber flows out to the outside, in order to supply the gas for the outflow and keep the state in the wafer transfer chamber constant, the gas is placed in the middle of the gas supply path. It is desirable to further comprise a gas introducing means to be introduced and a gas suction means for sucking a gas from an intermediate position of the gas return path.

また、ウェーハ上部に付着したパーティクルを除去するとともに、ウェーハ搬送室内にパーティクルが浮遊することを防止するためには、前記EFEMは、前記ウェーハ搬送室の上部に設けられ、前記ガス供給路と接続されるガス供給口と、前記ウェーハ搬送室の下部に設けられ、前記ガス帰還路と接続されるガス排出口とを含んで構成されており、前記ウェーハ搬送室内に前記ガス供給口から前記ガス排出口へと流れる下降気流を生じさせていることが好ましい。   In order to remove particles adhering to the upper portion of the wafer and prevent the particles from floating in the wafer transfer chamber, the EFEM is provided in the upper portion of the wafer transfer chamber and connected to the gas supply path. A gas supply port, and a gas discharge port provided at a lower portion of the wafer transfer chamber and connected to the gas return path. The gas discharge port is provided in the wafer transfer chamber from the gas supply port. It is preferable that a descending airflow flowing into is generated.

さらに、ウェーハ搬送室内に下降気流を有効に発生させ、パーティクルがウェーハに付着することをより一層防止することを可能とするためには、前記ガス供給口には、前記ガス供給路より供給されるガスを前記ウェーハ搬送室内へ送り込む送風手段と、前記ガス供給路より供給されるガスを清浄化するダストフィルタとが接続されるよう構成することが好適である。   Furthermore, in order to effectively generate a downward air flow in the wafer transfer chamber and further prevent particles from adhering to the wafer, the gas supply port is supplied from the gas supply path. It is preferable that the blower means for sending the gas into the wafer transfer chamber and the dust filter for cleaning the gas supplied from the gas supply path are connected.

そして、酸素や湿気等によるウェーハ表面の性状の変化を抑制し、歩留りが低下することを防止するためには、前記ガスとして不活性ガスを用いるように構成することが有効である。   In order to suppress changes in the properties of the wafer surface due to oxygen, moisture, etc., and to prevent the yield from decreasing, it is effective to use an inert gas as the gas.

以上説明した本発明によれば、複数のEFEMを運用する場合に、搬送中のウェーハを、表面性状の変化やパーティクルの付着を生じさせる雰囲気に晒すことなく、ウェーハへのパーティクルの付着の抑制やウェーハ表面の性状の管理を適切に行うことを、単純な構造によって実現し、設置面積の削減やコストダウンを図ることができるEFEMシステムを提供することが可能となる。   According to the present invention described above, when a plurality of EFEMs are operated, the wafer being transferred is not exposed to an atmosphere that causes changes in surface properties or particle adhesion, and the adhesion of particles to the wafer can be suppressed. Proper management of the properties of the wafer surface can be realized with a simple structure, and an EFEM system capable of reducing the installation area and reducing the cost can be provided.

本発明の実施形態に係るEFEMシステムを模式的に示す説明図。Explanatory drawing which shows typically the EFEM system which concerns on embodiment of this invention. 同EFEMシステムを構成するEFEMと処理装置との関係を模式的に示す平面図。The top view which shows typically the relationship between EFEM and the processing apparatus which comprise the EFEM system. 同EFEMの側面壁を取り外した状態を示す側面図。The side view which shows the state which removed the side wall of the same EFEM. 本発明に係るEFEMシステムの変形例を模式的に示す説明図。Explanatory drawing which shows typically the modification of the EFEM system which concerns on this invention. 本発明に係るEFEMシステムの他の変形例を模式的に示す説明図。Explanatory drawing which shows typically the other modification of the EFEM system which concerns on this invention.

以下、本発明の実施形態を、図面を参照して説明する。   Embodiments of the present invention will be described below with reference to the drawings.

本発明の実施形態に係るEFEMシステムは、図1に示すように、クリーンルーム内に設置され、同じ内容積を持ち、ウェーハWに対して同じ処理を行う際に用いられる2台以上のEFEM10〜10と、これらEFEM10〜10の外部に設けられる1つのガス清浄装置20と、ガス清浄装置20によって清浄化された清浄ガスGcを分配し、複数のEFEM10〜10がそれぞれ内部に有するウェーハ搬送室11〜11(図2及び図3参照)に供給するガス供給路30と、ウェーハ搬送室11〜11から排出される排出ガスGdをガス清浄装置20へ帰還させるガス帰還路40と、制御手段50と、窒素ガスをガス供給路30へ導入するガス導入手段60と、ガス帰還路40中のガスを吸引するガス吸引手段70とから構成される。そして、ガス清浄装置20、ガス供給路30、複数のウェーハ搬送室11〜11、ガス帰還路40は連通されていて窒素雰囲気となっており、これらの間で循環路Ciを構成することで窒素の循環が行われている。すなわち、複数のEFEM10〜10がガス清浄装置20を共有する構成となっている。なお、循環路Ciを構成するガス清浄装置20、ガス供給路30、複数のウェーハ搬送室11〜11、ガス帰還路40はそれぞれ接続部分を除いて密閉されており、これらを接続した状態で窒素が循環路Ciの外部に流出しないようになっている。また、本実施形態においては、この循環路Ciに不活性ガスである窒素を循環させることとするが、循環させるガスはこれに限られるものではなく、他のガスを用いることもできる。   As shown in FIG. 1, the EFEM system according to the embodiment of the present invention is installed in a clean room, has the same internal volume, and uses two or more EFEMs 10 to 10 used when performing the same processing on the wafer W. And one gas cleaning device 20 provided outside these EFEMs 10 to 10, and the cleaning gas Gc cleaned by the gas cleaning device 20, and the plurality of EFEMs 10 to 10 each have a wafer transfer chamber 11 to 11 inside. 11 (refer to FIG. 2 and FIG. 3), a gas return path 40 for returning the exhaust gas Gd discharged from the wafer transfer chambers 11 to 11 to the gas cleaning device 20, a control means 50, The gas introduction means 60 introduces nitrogen gas into the gas supply path 30 and the gas suction means 70 sucks the gas in the gas return path 40. The gas cleaning device 20, the gas supply path 30, the plurality of wafer transfer chambers 11 to 11, and the gas return path 40 are communicated with each other to form a nitrogen atmosphere. Circulation is being carried out. That is, the plurality of EFEMs 10 to 10 share the gas cleaning device 20. Note that the gas purifier 20, the gas supply path 30, the plurality of wafer transfer chambers 11 to 11, and the gas return path 40 constituting the circulation path Ci are sealed except for the connecting portions, and nitrogen is connected in a state where these are connected. Does not flow out of the circulation path Ci. In the present embodiment, nitrogen, which is an inert gas, is circulated in the circulation path Ci. However, the circulated gas is not limited to this, and other gases can be used.

各EFEM10は、図2及び図3に示すように、所定の受け渡し位置間でウェーハWの搬送を行うウェーハ搬送装置12と、このウェーハ搬送装置12を囲むように設けられた箱型のウェーハ搬送室11と、ウェーハ搬送室11の対向する壁面の一方に接続される複数(図中では3つ)のロードポート13〜13とを備えている。ロードポート13上にはFOUP14が載置され、ロードポート13が備える扉13aとFOUP14に設けられた蓋部14aとが連結して共に移動することで、FOUP14とウェーハ搬送室11とが連通するようになっている。FOUP14内には載置部が上下方向に多数設けられており、これによって多数のウェーハWを格納することができる。また、FOUP14内には通常窒素が充填されるとともに、ロードポート13を介してFOUP14内の雰囲気を窒素置換することも可能となっている。   As shown in FIGS. 2 and 3, each EFEM 10 includes a wafer transfer device 12 that transfers a wafer W between predetermined delivery positions, and a box-type wafer transfer chamber provided so as to surround the wafer transfer device 12. 11 and a plurality (three in the figure) of load ports 13 to 13 connected to one of the opposing wall surfaces of the wafer transfer chamber 11. The FOUP 14 is placed on the load port 13, and the door 13 a provided in the load port 13 and the lid portion 14 a provided on the FOUP 14 are connected and moved together, so that the FOUP 14 and the wafer transfer chamber 11 communicate with each other. It has become. A large number of mounting portions are provided in the FOUP 14 in the vertical direction, whereby a large number of wafers W can be stored. The FOUP 14 is normally filled with nitrogen, and the atmosphere in the FOUP 14 can be replaced with nitrogen through the load port 13.

また、図2に示すように、各EFEM10は、ロードポート13の接続される壁面と対向する壁面の外側に隣接して、ウェーハWに処理を行う処理装置1が接続できるようになっており、EFEM10と処理装置1との間に設けられた一般にゲートバルブと称される扉10aを開放することで、EFEM10のウェーハ搬送室11と処理装置1のロードロック室2とを連通した状態とすることが可能となっている。処理装置1としては種々様々なものを使用できるが、一般には、ロードロック室2と隣接して搬送室3が設けられ、さらに搬送室3と隣接して複数(図中では3つ)の処理ユニット4〜4が設けられる構成となっている。搬送室3と、ロードロック室2や処理ユニット4〜4との間には、それぞれ扉2a,3a〜3aが設けられており、これを開放することで各々の間を連通させることができ、搬送室3内に設けられた搬送ロボット5を用いてロードロック室2及び処理ユニット4〜4の間でウェーハWを移動させることが可能となっている。なお、本実施形態において、各EFEM10と接続される処理装置1は同じ種類の処理を行うものとする。   In addition, as shown in FIG. 2, each EFEM 10 can be connected to the processing apparatus 1 that performs processing on the wafer W adjacent to the outside of the wall surface facing the wall surface to which the load port 13 is connected. By opening a door 10a generally called a gate valve provided between the EFEM 10 and the processing apparatus 1, the wafer transfer chamber 11 of the EFEM 10 and the load lock chamber 2 of the processing apparatus 1 are in communication with each other. Is possible. Various processing apparatuses 1 can be used, but generally, a transfer chamber 3 is provided adjacent to the load lock chamber 2, and a plurality of (three in the figure) processes are adjacent to the transfer chamber 3. The units 4 to 4 are provided. Doors 2a and 3a to 3a are respectively provided between the transfer chamber 3 and the load lock chamber 2 and the processing units 4 to 4, and can be communicated with each other by opening the doors. The wafer W can be moved between the load lock chamber 2 and the processing units 4 to 4 by using the transfer robot 5 provided in the transfer chamber 3. In the present embodiment, the processing apparatus 1 connected to each EFEM 10 performs the same type of processing.

ウェーハ搬送室11はウェーハ搬送装置12が駆動する空間であり、循環する窒素の流出を抑えるため高気密に作られている。また、図3に示すように、ウェーハ搬送室11の上部にはガス供給路30(図1参照)と接続するガス供給口15と、送風手段であるファン16aとダストフィルタ16bとから構成されるファンフィルタユニット(FFU)16が設けられており、ダストフィルタ16bがガス供給口15から供給されたガス中のパーティクルを除去するとともに、ファン16aがウェーハ搬送室11に向けて送風することによって、ウェーハ搬送室11内に下降気流を生じさせている。さらに、ウェーハ搬送室11の下部にはガス帰還路40(図1参照)と接続するガス排出口18が設けられており、ウェーハ搬送室内11を下降気流として通過したガスはガス帰還路40を通じてガス清浄装置20へと帰還され、再利用される。なお、上述したようにウェーハ搬送室11内に下降気流を発生させることで、ウェーハW上部に付着したパーティクルを除去するとともに、ウェーハ搬送室11内にパーティクルや処理装置1で生じた不純物や残留ガスが浮遊することを防止することが可能となっている。   The wafer transfer chamber 11 is a space that is driven by the wafer transfer device 12, and is made highly airtight to suppress the outflow of circulating nitrogen. As shown in FIG. 3, a gas supply port 15 connected to a gas supply path 30 (see FIG. 1), a fan 16a as a blowing means, and a dust filter 16b are formed in the upper portion of the wafer transfer chamber 11. A fan filter unit (FFU) 16 is provided, and the dust filter 16 b removes particles in the gas supplied from the gas supply port 15, and the fan 16 a blows air toward the wafer transfer chamber 11. A descending airflow is generated in the transfer chamber 11. Further, a gas discharge port 18 connected to a gas return path 40 (see FIG. 1) is provided in the lower part of the wafer transfer chamber 11, and the gas that has passed through the wafer transfer chamber 11 as a descending airflow passes through the gas return path 40. Returned to the cleaning device 20 and reused. As described above, by generating a downward air flow in the wafer transfer chamber 11, particles adhering to the upper portion of the wafer W are removed, and particles, impurities generated in the processing apparatus 1, and residual gas are generated in the wafer transfer chamber 11. Can be prevented from floating.

ウェーハ搬送装置12は、図2及び図3に示すように、ウェーハ搬送室11の底部にガイドレール12aを介して支持され、ウェーハ搬送室11底面の幅方向に延在するガイドレール12aに沿って移動できるようになっており、側方に並んだ3つのロードポート13〜13に載置されたFOUP14に収容されているウェーハWをロードロック室2へ搬送すること及び、処理ユニット4〜4において処理が行われた後のウェーハWをFOUP14内へ再び搬送することが可能となっている。   As shown in FIGS. 2 and 3, the wafer transfer apparatus 12 is supported along a guide rail 12 a that is supported on the bottom of the wafer transfer chamber 11 via a guide rail 12 a and extends in the width direction of the bottom surface of the wafer transfer chamber 11. The wafer W accommodated in the FOUP 14 mounted on the three load ports 13 to 13 arranged side by side is transferred to the load lock chamber 2 and in the processing units 4 to 4. The wafer W after the processing is performed can be transferred again into the FOUP 14.

ガス清浄装置20は、図1に示すように、各EFEM10のウェーハ搬送室(図2参照)11を流れることによってパーティクルや分子状汚染物質を含み、ガス帰還路40から帰還した排出ガスGdを再利用するべく清浄化し、清浄化された清浄ガスGcをガス供給路30へ送出し、ウェーハ搬送室11〜11へ清浄ガスGcを供給して循環させる装置であり、具体的には、ダストフィルタ21と、乾燥機22と、ケミカルフィルタ23と、送風手段であるブロア24を備えて構成されている。なお、これらガス清浄装置20の要素は、図1においては循環路Ciの下流側から上流側へブロア24、ケミカルフィルタ23、乾燥機22、ダストフィルタ21の順に配置しているが、必ずしもこの順序で設ける必要はなく、配置する順序は適宜変更が可能である。ガス清浄装置20は、EFEM10〜10が設置されるクリーンルーム内に設置してもよく、クリーンルームの壁面にガス供給路30及びガス帰還路40のダクトを通すことによって、クリーンルーム外に設置することも可能であり、クリーンルーム内の装置の配置等に応じて適宜の位置に設置することができる。   As shown in FIG. 1, the gas cleaning device 20 recycles the exhaust gas Gd returned from the gas return path 40 including particles and molecular contaminants by flowing through the wafer transfer chamber (see FIG. 2) 11 of each EFEM 10. This is a device that is cleaned for use and that sends the cleaned clean gas Gc to the gas supply path 30 and supplies and circulates the clean gas Gc to the wafer transfer chambers 11 to 11. And a dryer 22, a chemical filter 23, and a blower 24 that is a blowing means. In FIG. 1, the elements of the gas purifier 20 are arranged in the order of the blower 24, the chemical filter 23, the dryer 22, and the dust filter 21 from the downstream side to the upstream side of the circulation path Ci. The order of arrangement can be changed as appropriate. The gas cleaning device 20 may be installed in a clean room in which the EFEMs 10 to 10 are installed, or can be installed outside the clean room by passing the duct of the gas supply path 30 and the gas return path 40 through the wall surface of the clean room. It can be installed at an appropriate position according to the arrangement of the devices in the clean room.

ダストフィルタ21は、排出ガスGd中のパーティクルを除去するものであり、各EFEM10及びこれらと接続される処理装置1の状況や排出ガスGdに含まれるパーティクルの状況、また、循環路Ci中のガスの循環圧力等に応じてHEPAフィルタ、ULPAフィルタなどが使い分けられる。なお、上述したようにダストフィルタ21以外にも、各EFEM10の内部にダストフィルタ16bが設けられているが(図3参照)、ガス清浄装置20のダストフィルタ21と協働して作用することによって、ウェーハ搬送室11内により浄化されたガスを供給することが可能となる。また、EFEM10に設けられたダストフィルタ16bのみによってパーティクルの除去を行う場合と比較して、ダストフィルタ16bの交換回数を減らすことができ、EFEM10毎にダストフィルタ16bを交換する交換コストを低減することが可能となっている。   The dust filter 21 removes particles in the exhaust gas Gd. The state of each EFEM 10 and the processing apparatus 1 connected thereto, the state of particles contained in the exhaust gas Gd, and the gas in the circulation path Ci. A HEPA filter, a ULPA filter, or the like is selectively used depending on the circulation pressure of the gas. As described above, in addition to the dust filter 21, the dust filter 16 b is provided inside each EFEM 10 (see FIG. 3), but by acting in cooperation with the dust filter 21 of the gas cleaning device 20. It becomes possible to supply the purified gas in the wafer transfer chamber 11. Moreover, compared with the case where particles are removed only by the dust filter 16b provided in the EFEM 10, the number of replacements of the dust filter 16b can be reduced, and the replacement cost for replacing the dust filter 16b for each EFEM 10 can be reduced. Is possible.

乾燥機22は、EFEM10と接続される処理装置1内で生じた排出ガスGd中の水分を除去するために用いられるものであり、一般に除湿器又は脱湿機と称される機器より構成されている。排出ガスGd中の水分を除去することで、ウェーハ搬送室11内の水分によってウェーハWの品質低下が起こることを防止することが可能となる。なお、この乾燥機22は、接続する処理装置1において水分が生じず、ウェーハ搬送室11内の湿度が上昇しない場合には、制御手段50の制御によって稼働を停止することも可能である。   The dryer 22 is used to remove moisture in the exhaust gas Gd generated in the processing apparatus 1 connected to the EFEM 10, and is generally composed of a device called a dehumidifier or a dehumidifier. Yes. By removing the moisture in the exhaust gas Gd, it is possible to prevent the quality of the wafer W from being deteriorated by the moisture in the wafer transfer chamber 11. The dryer 22 can be stopped by the control of the control means 50 when no moisture is generated in the connected processing apparatus 1 and the humidity in the wafer transfer chamber 11 does not increase.

ケミカルフィルタ23は、処理装置1(図2参照)における処理等で用いた、あるいは処理によって生じたガスがウェーハWに付随してウェーハ搬送室11内に流入した残留ガス等の分子状汚染物質を除去するものであり、分子状汚染物質の種類に応じて、イオン交換反応によって汚染物質を除去するカチオンフィルタ、アニオンフィルタや、物理的に汚染物質を吸着する活性炭フィルタなどが用いられる。   The chemical filter 23 removes molecular contaminants such as residual gas that has been used in the processing or the like in the processing apparatus 1 (see FIG. 2) or has flowed into the wafer transfer chamber 11 along with the wafer W. Depending on the type of molecular contaminant, a cation filter, an anion filter, an activated carbon filter that physically adsorbs the contaminant, or the like is used.

そして、ブロア24は、循環路Ciのガスの循環を促進するため、ガス帰還路40からガス供給路30へ向けて送風を行うものであり、ガス帰還路40内のガスの吸引作用とガス供給路30へのガスの送出作用とを有している。なお、制御手段50はブロア24の送風量を調節することが可能であり、循環路Ci中に図示しない圧力センサあるいは流量計を設置し、この圧力センサあるいは流量計の数値を基に制御手段50がブロア24の送風量を調節することによって、循環路Ci中のガスの流れを均一化することができる。   The blower 24 blows air from the gas return path 40 toward the gas supply path 30 in order to promote the circulation of the gas in the circulation path Ci. A gas delivery action to the passage 30. The control means 50 can adjust the air flow rate of the blower 24. A pressure sensor or a flow meter (not shown) is installed in the circulation path Ci, and the control means 50 is based on the value of the pressure sensor or the flow meter. However, by adjusting the air flow rate of the blower 24, the gas flow in the circulation path Ci can be made uniform.

ガス供給路30は、ガス清浄装置20によって清浄化された清浄ガスGcをEFEM10〜10のウェーハ搬送室11〜11(図3参照)へと送出するダクトであり、ガス清浄装置20と接続され、複数のEFEM10〜10へ向かうガスが流れる主となる流路である第1供給路31と、第1供給路31から分岐して単独のEFEM10へ向かい、それぞれのウェーハ搬送室11のガス供給口15(図3参照)と接続される複数の第2供給路32〜32とから構成されている。   The gas supply path 30 is a duct that sends the clean gas Gc cleaned by the gas cleaning device 20 to the wafer transfer chambers 11 to 11 (see FIG. 3) of the EFEMs 10 to 10, and is connected to the gas cleaning device 20. A first supply path 31, which is a main flow path through which gas flows to the plurality of EFEMs 10 to 10, and a branch from the first supply path 31 toward the single EFEM 10, and the gas supply ports 15 of the respective wafer transfer chambers 11. (Refer FIG. 3) and several 2nd supply paths 32-32 connected.

ガス帰還路40は、EFEM10〜10のウェーハ搬送室11〜11(図3参照)から排出された排出ガスGdをガス清浄装置20へ帰還させるダクトであり、各ウェーハ搬送室11のガス排出口18(図3参照)と接続される複数の第2帰還路42〜42と、これら第2帰還路42〜42と接続され、ウェーハ搬送室11〜11からの排出ガスGdを合流させるとともに、ガス清浄装置20と接続し、合流した排出ガスGdをガス清浄装置20へと帰還させる主となる流路となる第1帰還路41とから構成される。   The gas return path 40 is a duct for returning the exhaust gas Gd discharged from the wafer transfer chambers 11 to 11 (see FIG. 3) of the EFEMs 10 to 10 to the gas cleaning device 20, and the gas discharge port 18 of each wafer transfer chamber 11. A plurality of second return paths 42 to 42 connected to (see FIG. 3), connected to the second return paths 42 to 42, and the exhaust gas Gd from the wafer transfer chambers 11 to 11 are merged, and gas cleaning is performed. It is connected to the apparatus 20 and is composed of a first return path 41 which is a main flow path for returning the merged exhaust gas Gd to the gas cleaning apparatus 20.

なお、ガス供給路30及びガス帰還路40はEFEMシステムが導入されるクリーンルームの環境によって角ダクトや丸ダクトなど様々な形状のものが用いられ、その材質としては、一般的な亜鉛めっき鉄板のほか、防錆性のあるステンレス鋼板や耐ガス性に優れた塩ビ被覆鋼板など、循環するガスに含まれる成分に応じて適した材質が用いられる。また、ガス供給路30を流れる清浄ガスGcとガス帰還路40を流れる排出ガスGdとではガスの清浄度が異なるため、ガス供給路30とガス帰還路40とで用いる材質を変更すれば、材料コストを抑えることが可能となる。   The gas supply path 30 and the gas return path 40 may have various shapes such as a square duct and a round duct depending on the environment of the clean room where the EFEM system is introduced. A material suitable for the components contained in the circulating gas, such as a stainless steel plate having rust resistance and a vinyl chloride coated steel plate having excellent gas resistance, is used. Further, since the cleanliness of the gas differs between the clean gas Gc flowing through the gas supply path 30 and the exhaust gas Gd flowing through the gas return path 40, the material used in the gas supply path 30 and the gas return path 40 can be changed by changing the material used. Costs can be reduced.

制御手段50は、上述したガス清浄装置20を動作させ、循環路Ci内の窒素を清浄化しながら循環させる窒素循環制御を行うものであり、CPU、メモリ及びインターフェースを備えた通常のマイクロプロセッサ等により構成され、メモリには予め処理に必要なプログラムが格納してあり、CPUは逐次必要なプログラムを取り出して実行し、周辺ハードリソースと協働して所期の機能を実現するものとなっている。なお、窒素循環制御については後述する。   The control means 50 operates the above-described gas purifier 20 to perform nitrogen circulation control for circulating while purifying nitrogen in the circulation path Ci, and is performed by a normal microprocessor or the like having a CPU, a memory, and an interface. A program necessary for processing is stored in the memory in advance, and the CPU sequentially extracts and executes the necessary program, and realizes a desired function in cooperation with peripheral hardware resources. . The nitrogen circulation control will be described later.

ガス導入手段60は、第1供給路31とバルブ61を介して接続され、第1供給路31内に窒素を送出するものであり、制御手段50がバルブ61の開閉を制御することによって、ガス供給路30への窒素の供給と供給の停止を制御するとともに、供給時においては単位時間当たりの供給量を制御することが可能となっている。   The gas introduction means 60 is connected to the first supply path 31 via the valve 61 and sends out nitrogen into the first supply path 31. The control means 50 controls the opening and closing of the valve 61, thereby It is possible to control supply of nitrogen to the supply path 30 and stop of supply, and to control the supply amount per unit time at the time of supply.

ガス吸引手段70は、第1帰還路41とバルブ71を介して接続され、制御手段50からの命令に基づいて動作して、バルブ61の開閉によって第1帰還路41内と外部に設けられたガス排出先とを連通させることが可能となっている。そして、上述したガス導入手段60による窒素の供給と併用することにより、循環路Ci内を窒素雰囲気に置換することが可能となっている。なお、本実施形態においては、循環路Ciを循環させるガスを窒素とするため、ガス供給手段60は窒素を供給するものとしているが、他のガスを循環させる場合は、ガス供給手段60はその循環させるガスを供給するものとすればよい。   The gas suction means 70 is connected to the first return path 41 via the valve 71, operates based on a command from the control means 50, and is provided inside and outside the first return path 41 by opening and closing the valve 61. It is possible to communicate with the gas discharge destination. And by using together with the supply of nitrogen by the gas introduction means 60 described above, the inside of the circulation path Ci can be replaced with a nitrogen atmosphere. In this embodiment, the gas supplying means 60 supplies nitrogen because the gas circulating in the circulation path Ci is nitrogen. However, when other gases are circulated, the gas supplying means 60 What is necessary is just to supply the gas to circulate.

次に、上記のように構成したEFEMシステムにおいて窒素を循環させる、窒素循環制御における動作を、図1を用いて説明する。   Next, an operation in nitrogen circulation control in which nitrogen is circulated in the EFEM system configured as described above will be described with reference to FIG.

まず、初期段階として、制御手段50がバルブ71及びバルブ61を開放し、ガス吸引手段70によりガス帰還路40中のガスを吸引して排出させつつ、ガス導入手段60によりガス供給路30内へ窒素を供給させることによって、これらガス帰還路40及びガス供給路30を含む大気雰囲気にある循環路Ciをパージして窒素雰囲気にする。そして、パージが完了した段階でバルブ71及びバルブ61を閉じることで、閉止された循環路Ciを構成する。この段階以降、制御手段50は循環路Ci内の窒素が外部へ漏れた場合バルブ71を開放し、その漏れ量に応じてガス供給手段60に窒素の供給を行わせる。これを自動的に行わせるためには、各EFEM10〜10内に酸素濃度計を設けておき、酸素濃度計によって検出した酸素濃度が予め定めた所定値以上に高くなった場合に、循環路Ci内に新たに窒素を供給すべく、バルブ61,71の制御を行わせるように構成することも好適である。   First, as an initial stage, the control means 50 opens the valve 71 and the valve 61, and the gas suction means 70 sucks and discharges the gas in the gas return path 40, while the gas introduction means 60 enters the gas supply path 30. By supplying nitrogen, the circulation path Ci in the atmospheric atmosphere including the gas return path 40 and the gas supply path 30 is purged to form a nitrogen atmosphere. Then, when the purge is completed, the valve 71 and the valve 61 are closed to configure the closed circulation path Ci. After this stage, the control means 50 opens the valve 71 when nitrogen in the circulation path Ci leaks to the outside, and causes the gas supply means 60 to supply nitrogen according to the amount of leakage. In order to perform this automatically, an oxygen concentration meter is provided in each of the EFEMs 10 to 10, and when the oxygen concentration detected by the oxygen concentration meter becomes higher than a predetermined value, the circulation path Ci. It is also preferable that the valves 61 and 71 are controlled so that nitrogen is newly supplied.

次に、このようにして窒素雰囲気になった循環路Ciにおいて、制御手段50が制御装置20のブロア24を駆動させることによって、窒素の循環を生じさせる。なお、この時、各EFEM10のFFU16を構成するファン16a(図3参照)も駆動させており、各ウェーハ搬送室11内に下降気流を生じさせるとともに、循環路Ci内の窒素の循環を促進している。このような構成となっているため、ガス帰還路40中の排出ガスGdが逆流しEFEM10内に流入することを有効に防止している。   Next, in the circulation path Ci having a nitrogen atmosphere in this way, the control means 50 drives the blower 24 of the control device 20 to cause nitrogen circulation. At this time, the fan 16a (see FIG. 3) constituting the FFU 16 of each EFEM 10 is also driven to generate a downward air flow in each wafer transfer chamber 11 and promote circulation of nitrogen in the circulation path Ci. ing. With this configuration, the exhaust gas Gd in the gas return path 40 is effectively prevented from flowing back into the EFEM 10.

そして、窒素が循環路Ciを循環している際には、ガス清浄装置20に設けられたダストフィルタ21及びケミカルフィルタ23と、各EFEM10に設けられたFFU16を構成するダストフィルタ16b(図3参照)が循環するガス中のパーティクル及び分子状汚染物質を除去するため、循環路Ci内、特にウェーハ搬送室11内は常に清浄な窒素の流れが生じている状態となる。   When nitrogen is circulating in the circulation path Ci, the dust filter 21 and the chemical filter 23 provided in the gas cleaning device 20 and the dust filter 16b constituting the FFU 16 provided in each EFEM 10 (see FIG. 3). In order to remove particles and molecular contaminants in the circulating gas, a clean nitrogen flow is always generated in the circulation path Ci, particularly in the wafer transfer chamber 11.

このような状態となったEFEM10においては、図2に示すロードポート13に載置され窒素雰囲気にパージされたFOUP14とウェーハ搬送室11とを連通させ、ウェーハWの出し入れを行う際には、ウェーハ搬送室11とFOUP14はともに同じ窒素雰囲気であり、ウェーハ搬送室11内の窒素も清浄に維持されているため、FOUP14内にパーティクルや分子状汚染物質が入らないようFOUP14内をウェーハ搬送室11内に対して陽圧にする必要がなく、FOUP14内にパージする窒素の消費量を抑えることができる。   In the EFEM 10 in such a state, when the wafer FOUP 14 placed on the load port 13 shown in FIG. 2 and purged with a nitrogen atmosphere is communicated with the wafer transfer chamber 11, Since both the transfer chamber 11 and the FOUP 14 have the same nitrogen atmosphere and the nitrogen in the wafer transfer chamber 11 is also kept clean, the inside of the FOUP 14 is placed inside the wafer transfer chamber 11 so that particles and molecular contaminants do not enter the FOUP 14. Therefore, it is not necessary to use a positive pressure, and the consumption of nitrogen purged in the FOUP 14 can be suppressed.

また、ウェーハ搬送室11とロードロック室2との間に設けられた扉10aを開放することでこれらウェーハ搬送室11とロードロック室2とを連通させ、ロードロック室2との間でウェーハWの出し入れを行う際には、処理装置1における処理によってウェーハWに付着した、あるいはロードロック室2内に存在するパーティクル及び分子状汚染物質がウェーハ搬送室11内に流入する可能性があるものの、これらのパーティクル及び分子状汚染物質はウェーハ搬送室11内の下降気流によって下方へ流れ、排出ガスGdとなってガス帰還路40を通ってガス清浄装置20へと帰還し、ダストフィルタ21及びケミカルフィルタ23によって清浄化される。清浄化されたガスは清浄ガスGcとしてガス供給路30を通って再びウェーハ搬送室11内へ送出されるが、EFEM10内においてもFFU16のダストフィルタ16bによってパーティクルがさらに除去されるため、ウェーハ搬送室11内にパーティクル及び分子状汚染物質が流れることがほとんどなく、ウェーハ搬送室11内を搬送中のウェーハWへの悪影響を有効に軽減することが可能となっている。   Further, by opening a door 10 a provided between the wafer transfer chamber 11 and the load lock chamber 2, the wafer transfer chamber 11 and the load lock chamber 2 are communicated with each other. When particles are taken in and out, particles and molecular contaminants attached to the wafer W by the processing in the processing apparatus 1 or existing in the load lock chamber 2 may flow into the wafer transfer chamber 11, These particles and molecular contaminants flow downward by the descending airflow in the wafer transfer chamber 11 and return to the gas cleaning device 20 through the gas return path 40 as exhaust gas Gd, and the dust filter 21 and the chemical filter. 23. The cleaned gas is sent again as the clean gas Gc through the gas supply path 30 into the wafer transfer chamber 11, but the particles are further removed by the dust filter 16 b of the FFU 16 in the EFEM 10. Particles and molecular contaminants hardly flow through the wafer 11, and the adverse effect on the wafer W being transferred through the wafer transfer chamber 11 can be effectively reduced.

以上のように、本実施形態におけるEFEMシステムは、内部でウェーハWを搬送するためのウェーハ搬送室11をそれぞれ備えた複数のEFEM10〜10と、EFEM10〜10の外部に設けられ、ガスの清浄化を行うためのダストフィルタ21を備えるガス清浄装置20と、ガス清浄装置20によって清浄化されたガスである清浄ガスGcを分配し、各ウェーハ搬送室11へ供給するガス供給路30と、各ウェーハ搬送室11から排出されるガスである排出ガスGdをガス清浄装置20へ帰還させるガス帰還路40とを具備し、ウェーハ搬送室11とガス清浄装置20との間でガスを循環させるように構成したものである。   As described above, the EFEM system according to the present embodiment is provided with a plurality of EFEMs 10 to 10 each including the wafer transfer chamber 11 for transferring the wafer W therein, and outside the EFEMs 10 to 10 for gas purification. A gas cleaning device 20 having a dust filter 21 for performing cleaning, a gas supply path 30 that distributes a cleaning gas Gc that is a gas cleaned by the gas cleaning device 20, and supplies the cleaning gas Gc to each wafer transfer chamber 11, and each wafer A gas return path 40 for returning the exhaust gas Gd, which is a gas discharged from the transfer chamber 11, to the gas cleaning device 20, and configured to circulate the gas between the wafer transfer chamber 11 and the gas cleaning device 20. It is a thing.

このように構成しているため、ガス清浄装置20に備えられたダストフィルタ21がウェーハ搬送室11から排出される排出ガスGdに含まれるパーティクルを除去することで清浄化し、清浄化された清浄ガスGcをウェーハ搬送室11に供給することによって、ウェーハ搬送室11内を清浄なガス雰囲気下に保つことができる。また、複数のEFEM10〜10がガス清浄装置20を共有することになり、ガス清浄装置20をEFEM10毎に設ける必要がなくなるため、各EFEM10の構造を単純化することが可能となり、設置面積の削減やコストダウンを図ることが可能となっている。   Since it is configured in this way, the dust filter 21 provided in the gas cleaning device 20 is cleaned by removing particles contained in the exhaust gas Gd discharged from the wafer transfer chamber 11, and cleaned clean gas By supplying Gc to the wafer transfer chamber 11, the inside of the wafer transfer chamber 11 can be maintained in a clean gas atmosphere. Further, since the plurality of EFEMs 10 to 10 share the gas cleaning device 20 and it is not necessary to provide the gas cleaning device 20 for each EFEM 10, the structure of each EFEM 10 can be simplified and the installation area can be reduced. And cost reduction.

また、ガス清浄装置20は、ガス帰還路40からガス供給路30へ向かう方向にガスを送出する送風手段であるブロア24を備えるよう構成しているため、ガス清浄装置20と各ウェーハ搬送室11との間のガスの循環を有効に行うことが可能となっている。   Further, since the gas cleaning device 20 is configured to include the blower 24 that is a blowing unit that sends out gas in the direction from the gas return path 40 to the gas supply path 30, the gas cleaning apparatus 20 and each wafer transfer chamber 11. It is possible to effectively perform gas circulation between the two.

さらに、ガス清浄装置20は、帰還されたガス中に存在する分子状汚染物質を除去するケミカルフィルタ23を備えるように構成しているため、ウェーハ搬送室11と接続される処理装置1から流入した分子状汚染物質が循環することを防止し、ウェーハ搬送室11を適切なガス雰囲気下に保つことが可能となっている。   Further, since the gas cleaning device 20 is configured to include a chemical filter 23 that removes molecular contaminants present in the returned gas, the gas cleaning device 20 flows from the processing device 1 connected to the wafer transfer chamber 11. It is possible to prevent the molecular contaminants from circulating and keep the wafer transfer chamber 11 in an appropriate gas atmosphere.

また、ガス清浄装置20は、ガス中の水分を除去する乾燥機22を備えるよう構成しているため、ウェーハ搬送室11内の水分によってウェーハWの品質低下が起こることを有効に防止することが可能である。   Further, since the gas cleaning device 20 is configured to include the dryer 22 that removes moisture in the gas, it is possible to effectively prevent the quality of the wafer W from being deteriorated due to moisture in the wafer transfer chamber 11. Is possible.

加えて、ガス供給路30の途中位置に窒素を導入するガス導入手段60と、ガス帰還路40の途中位置よりガスを吸引するためのガス吸引手段70とをさらに備えて構成しているため、循環路Ci中のガスを窒素雰囲気に置換することで、ウェーハ搬送室11内において酸素や処理による残留ガス等がウェーハ表面に付着してウェーハWの表面性状を変化させることを抑制し、歩留りが低下することを防止するとともに、ウェーハ搬送室11内のガスの一部が外部に流出した場合に、流出分のガスを供給してウェーハ搬送室11内の状態を一定に保つことが可能となっている。   In addition, because it further comprises a gas introduction means 60 for introducing nitrogen into the middle position of the gas supply path 30 and a gas suction means 70 for sucking gas from the middle position of the gas return path 40, By replacing the gas in the circulation path Ci with a nitrogen atmosphere, oxygen and residual gas due to processing adhere to the wafer surface in the wafer transfer chamber 11 to suppress the change in the surface properties of the wafer W, and the yield is improved. In addition to preventing a decrease, when a part of the gas in the wafer transfer chamber 11 flows out, it is possible to supply the outflow gas to keep the state in the wafer transfer chamber 11 constant. ing.

また、EFEM10は、ウェーハ搬送室11の上部に設けられ、ガス供給路30と接続されるガス供給口15と、ウェーハ搬送室11の下部に設けられ、ガス帰還路40と接続されるガス排出口18とを含んで構成されており、ウェーハ搬送室11内にガス供給口15からガス排出口18へと流れる下降気流を生じさせるよう構成しているため、ウェーハW上部に付着したパーティクルを除去するとともに、ウェーハ搬送室11内にパーティクルが浮遊することを防止することができる。   The EFEM 10 is provided at the upper part of the wafer transfer chamber 11 and is connected to the gas supply path 30. The gas supply port 15 is provided at the lower part of the wafer transfer chamber 11 and is connected to the gas return path 40. 18 and is configured to generate a downward airflow flowing from the gas supply port 15 to the gas discharge port 18 in the wafer transfer chamber 11, so that particles adhering to the upper portion of the wafer W are removed. At the same time, the particles can be prevented from floating in the wafer transfer chamber 11.

加えて、ガス供給口15には、ガス供給路30より供給される窒素をウェーハ搬送室11内へ送り込む送風手段としてのファン16aと、ガス供給路30より供給される窒素を清浄化するダストフィルタ16bを備えるファンフィルタユニット16が接続されるよう構成しているため、ウェーハ搬送室11内に下降気流を有効に発生させるとともに、パーティクルがウェーハWに付着することをより一層防止することが可能となっている。   In addition, the gas supply port 15 has a fan 16a as a blowing means for sending nitrogen supplied from the gas supply path 30 into the wafer transfer chamber 11, and a dust filter for purifying nitrogen supplied from the gas supply path 30. Since the fan filter unit 16 having 16b is configured to be connected, it is possible to effectively generate a downward air flow in the wafer transfer chamber 11 and further prevent particles from adhering to the wafer W. It has become.

そして、循環路Ci内を循環するガスが不活性ガスである窒素であることから、酸素や湿気等によるウェーハW表面の性状の変化を抑制し、歩留りの低下を防止することが可能となっている。   Since the gas circulated in the circulation path Ci is nitrogen, which is an inert gas, it is possible to suppress changes in the properties of the surface of the wafer W due to oxygen, moisture, etc., and to prevent a decrease in yield. Yes.

なお、各部の具体的な構成は、上述した実施形態のみに限定されるものではない。   The specific configuration of each unit is not limited to the above-described embodiment.

例えば、上述した実施形態においては、各EFEM10は同じ内容積を持っており、また、各EFEM10と接続される処理装置1は同じ種類の工程を行うものであったが、EFEMシステム内の各EFEM10及び各処理装置1は異なる構成のものであってもよく、ウェーハWに対して異なる処理工程を行うものであってもよい。   For example, in the above-described embodiment, each EFEM 10 has the same internal volume, and the processing apparatus 1 connected to each EFEM 10 performs the same type of process, but each EFEM 10 in the EFEM system. In addition, each processing apparatus 1 may have a different configuration, or may perform different processing steps on the wafer W.

また、上述した実施形態の構成を基にして、図4に示すような構成に変形することもできる。この図では、上述の実施形態と同じ部分には同じ符号を付しており、これらの部分については説明を省略する。この変形例では、第1供給路31とともにガス供給路130を構成し、第1供給路31と各EFEM10とを接続する第2供給路132〜132の途中にバルブ133〜133をそれぞれ設けるとともに、第1帰還路41とともにガス帰還路140を構成し、第1帰還路41と各EFEM10とを接続する第2帰還路142〜142の途中にバルブ143〜143をそれぞれ設けている点で、上述の実施形態と異なっている。この場合、制御手段150は上記の実施形態における制御に加え、バルブ133〜133及びバルブ143〜143の開閉制御も行うこととなる。   Moreover, based on the structure of embodiment mentioned above, it can also deform | transform into a structure as shown in FIG. In this figure, the same reference numerals are given to the same portions as those in the above-described embodiment, and the description of these portions is omitted. In this modification, the gas supply path 130 is configured together with the first supply path 31, and valves 133 to 133 are provided in the middle of the second supply paths 132 to 132 connecting the first supply path 31 and each EFEM 10, respectively. The gas return path 140 is configured together with the first return path 41, and the valves 143 to 143 are provided in the middle of the second return paths 142 to 142 connecting the first return path 41 and the EFEMs 10, respectively. It is different from the embodiment. In this case, the control means 150 also performs opening / closing control of the valves 133 to 133 and the valves 143 to 143 in addition to the control in the above embodiment.

このように構成すると、制御手段150の制御によって、稼働停止中のEFEM10に接続する第2供給路132に設けられたバルブ133と、同じEFEM10に接続する第2帰還路142に設けられたバルブ143とを閉じることで、稼働停止中のEFEM10に浄化ガスGcを流入させないようにすることができ、ガス導入手段60による窒素の導入量を低減させることができるとともに、循環路Ci2の循環流域が減少するため、ブロア24の送風量を低減することも可能となり、コストの削減を図ることが可能となる。特に、EFEM10のメンテナンスを行う際など、ウェーハ搬送室11内を非気密状態にする場合は、これに接続するバルブ133及びバルブ143がないと窒素が大量に流出してしまうことになるが、バルブ133及びバルブ143を有しているため、他のEFEM10〜10を可動させた状態で特定のEFEM10のメンテナンスを行うことを可能としている。さらに、制御手段150がバルブ133〜133及びバルブ143〜143を流れるガスの流量を調節する制御を行うように構成することも考えられる。このように構成することによって、特にEFEMシステム内の各EFEM10がそれぞれ異なる構成である場合や、各EFEMがウェーハWに対して異なる処理工程を行う処理装置1に接続する場合に、各EFEM10のウェーハ搬送室11内の環境に応じてガスの流量を調節することで、ガスの使用量を削減することが可能となる。   With this configuration, under the control of the control unit 150, the valve 133 provided in the second supply path 132 connected to the EFEM 10 in operation stop and the valve 143 provided in the second feedback path 142 connected to the same EFEM 10 are configured. , The purified gas Gc can be prevented from flowing into the stopped EFEM 10, the amount of nitrogen introduced by the gas introduction means 60 can be reduced, and the circulation flow area of the circulation path Ci2 is reduced. For this reason, it is possible to reduce the amount of air blown from the blower 24, thereby reducing the cost. In particular, when the inside of the wafer transfer chamber 11 is brought into a non-airtight state, such as when the EFEM 10 is maintained, a large amount of nitrogen flows out without the valves 133 and 143 connected thereto. 133 and the valve 143 allow the specific EFEM 10 to be maintained while the other EFEMs 10 to 10 are moved. Furthermore, it is conceivable that the control means 150 performs control to adjust the flow rate of the gas flowing through the valves 133 to 133 and the valves 143 to 143. With this configuration, particularly when each EFEM 10 in the EFEM system has a different configuration or when each EFEM is connected to the processing apparatus 1 that performs different processing steps on the wafer W, the wafer of each EFEM 10 It is possible to reduce the amount of gas used by adjusting the gas flow rate according to the environment in the transfer chamber 11.

また、他の変形例として、図5に示すような構成とすることもできる。この図においても、上述した実施形態と同じ部分には同じ符号を付し説明を省略する。この変形例では、ガス清浄装置220と各EFEM10がそれぞれ個別のガス供給路230及びガス帰還路240と接続されており、制御手段250が複数の循環路Ci3〜Ci3の循環を制御する構成となっている。この場合、ガス導入手段260とガス吸引手段270はバルブ261及びバルブ271を介してガス清浄装置220に直接接続されることが好ましい。そして、このように構成した場合は、それぞれのEFEM10〜10の間でガスが連通されていないため、例えばあるEFEM10内においてパーティクルや分子状汚染物質が大量に発生した場合にも、このパーティクルや分子状汚染物質を含むガスがガス清浄装置220を介さず他のEFEM10〜10に流入することを確実に防止することができる。   As another modification, a configuration as shown in FIG. Also in this figure, the same reference numerals are given to the same portions as those of the above-described embodiment, and the description thereof is omitted. In this modification, the gas cleaning device 220 and each EFEM 10 are connected to the individual gas supply path 230 and the gas return path 240, respectively, and the control means 250 controls the circulation of the plurality of circulation paths Ci3 to Ci3. ing. In this case, it is preferable that the gas introduction unit 260 and the gas suction unit 270 are directly connected to the gas cleaning device 220 via the valve 261 and the valve 271. And when comprised in this way, since gas is not connected between each EFEM10-10, for example, when a large amount of particles and molecular contaminants are generated in a certain EFEM10, these particles and molecules It is possible to reliably prevent the gas containing the pollutant from flowing into the other EFEMs 10 to 10 without going through the gas cleaning device 220.

また、上述した実施形態では、ロードポート13〜13上に設けたFOUP14〜14とロードロック室2との間で、ウェーハWの搬送を行うものとしていたが、FOUP14〜14間での受け渡しを行わせる場合などにも用いることができる。   In the above-described embodiment, the wafer W is transferred between the FOUPs 14 to 14 provided on the load ports 13 to 13 and the load lock chamber 2. However, the transfer between the FOUPs 14 to 14 is performed. It can also be used in the case of

また、上述した実施形態においては、ウェーハ搬送装置12の搬送対象としてはウェーハWを用いるものを前提としていたが、本発明はガラス基板等様々な精密加工品を対象とするEFEM10に適用するEFEMシステムに用いることができる。   In the above-described embodiment, the wafer transfer device 12 is assumed to be transferred using the wafer W. However, the present invention is applied to the EFEM 10 for various precision processed products such as glass substrates. Can be used.

また、上述の実施形態においては、ガス導入手段60が第1供給路31に設けられ、ガス吸引手段70が第1帰還路41に設けられていたが、これらガス導入手段60とガス吸引手段70の設置位置は限定されるものではなく、循環路Ci中の任意の場所に設置することができる。さらに、各EFEM10が備えるロードポート13にFOUP14内へ窒素パージを行う窒素供給手段が備えられている場合は、FOUP14とウェーハ搬送室11を連通させた状態で窒素供給手段が窒素の供給を行うことによって、循環路Ciに窒素を導入することも可能である。この場合、ガス導入手段60を設けなくとも、従来と同じ設備を用いて循環路Ci内の窒素パージを行うことが可能となる。   In the above-described embodiment, the gas introduction means 60 is provided in the first supply path 31 and the gas suction means 70 is provided in the first return path 41. However, the gas introduction means 60 and the gas suction means 70 are provided. The installation position of is not limited, and can be installed at any place in the circulation path Ci. Further, when the load port 13 provided in each EFEM 10 is provided with nitrogen supply means for purging nitrogen into the FOUP 14, the nitrogen supply means supplies nitrogen while the FOUP 14 and the wafer transfer chamber 11 are in communication with each other. It is also possible to introduce nitrogen into the circulation path Ci. In this case, it is possible to perform nitrogen purge in the circulation path Ci using the same equipment as before without providing the gas introduction means 60.

さらには、上述の実施形態では窒素循環制御の初期段階としてガス吸引手段70によるガスの排出とガス供給手段60による窒素の供給とを同時に行ったが、まずガス吸引手段70がガスを排出させることで循環路Ci内を負圧とし、その後ガス導入手段60に循環路Ci内に窒素を供給させることによって、大気雰囲気にある循環路Ciを窒素雰囲気とするようにしてもよい。こうすることによって、より効率よく窒素パージを行うことができる。   Furthermore, in the above-described embodiment, the gas suction means 70 and the gas supply means 60 simultaneously discharge the gas and nitrogen in the initial stage of nitrogen circulation control. First, the gas suction means 70 discharges the gas. Thus, the circulation path Ci may be set to a negative pressure, and then the gas introduction means 60 may be supplied with nitrogen into the circulation path Ci, so that the circulation path Ci in the air atmosphere is changed to a nitrogen atmosphere. By doing so, the nitrogen purge can be performed more efficiently.

また、上述した実施形態では、循環路Ci内の雰囲気を置換するためのガスとして窒素を使用していたが、処理に応じて乾燥空気やアルゴン等種々様々なガスを用いることができる。   Moreover, in embodiment mentioned above, although nitrogen was used as a gas for substituting the atmosphere in the circulation path Ci, various gas, such as dry air and argon, can be used according to a process.

なお、上述した実施形態におけるガス清浄装置20に、循環路Ci内の湿度を低下させるドライヤ、温度を低下させるクーラ、ウェーハWの除電を行うイオナイザなどを設け、循環するガスの環境、すなわちウェーハ搬送室11内の環境をよりウェーハWの処理に適したものにさらに向上させることも可能である。   In addition, the gas cleaning device 20 in the above-described embodiment is provided with a dryer for reducing the humidity in the circulation path Ci, a cooler for lowering the temperature, an ionizer for removing static electricity from the wafer W, and the like. It is also possible to further improve the environment in the chamber 11 to be more suitable for processing the wafer W.

また、上述した実施形態におけるガス供給路30及びガス帰還路40の適当な場所にファンを設け、ガスの循環がより促進されるように構成してもよい。   In addition, a fan may be provided at an appropriate place in the gas supply path 30 and the gas return path 40 in the above-described embodiment so that the gas circulation is further promoted.

その他の構成も、本発明の趣旨を逸脱しない範囲で種々変形が可能である。   Other configurations can be variously modified without departing from the spirit of the present invention.

10…EFEM
11…ウェーハ搬送室
15…ガス供給口
16…ファンフィルタユニット(FFU)
16a…ファン(送風手段)
16b…ダストフィルタ
18…ガス排出口
20…ガス清浄装置
21…ダストフィルタ
22…乾燥機
23…ケミカルフィルタ
24…ブロア
30…ガス供給路
40…ガス帰還路
60…ガス導入手段
70…ガス吸引手段
W…ウェーハ
Ci…循環路
Gc…清浄ガス
Gd…排出ガス
10 ... EFEM
11 ... Wafer transfer chamber 15 ... Gas supply port 16 ... Fan filter unit (FFU)
16a ... Fan (air blowing means)
16b ... Dust filter 18 ... Gas outlet 20 ... Gas purifier 21 ... Dust filter 22 ... Dryer 23 ... Chemical filter 24 ... Blower 30 ... Gas supply path 40 ... Gas return path 60 ... Gas introduction means 70 ... Gas suction means W ... wafer Ci ... circulation path Gc ... clean gas Gd ... exhaust gas

Claims (8)

内部でウェーハを搬送するためのウェーハ搬送室をそれぞれ備えた複数のEFEMと、
当該EFEMの外部に設けられ、ガスの清浄化を行うためのダストフィルタを備えるガス清浄装置と、
当該ガス清浄装置によって清浄化されたガスを分配し、各ウェーハ搬送室へ供給するガス供給路と、
各ウェーハ搬送室から排出されるガスを前記ガス清浄装置へ帰還させるガス帰還路とを具備し、
前記ウェーハ搬送室と前記ガス清浄装置との間でガスを循環させることを特徴とするEFEMシステム。
A plurality of EFEMs each having a wafer transfer chamber for transferring wafers therein;
A gas cleaning device provided outside the EFEM and provided with a dust filter for cleaning the gas;
A gas supply path that distributes the gas purified by the gas cleaning device and supplies the gas to each wafer transfer chamber;
A gas return path for returning the gas discharged from each wafer transfer chamber to the gas cleaning device;
An EFEM system, wherein a gas is circulated between the wafer transfer chamber and the gas cleaning device.
前記ガス清浄装置は、前記ガス帰還路から前記ガス供給路へ向かう方向にガスを送出する送風手段を備えることを特徴とする請求項1記載のEFEMシステム。   2. The EFEM system according to claim 1, wherein the gas purifier includes a blowing unit that sends out gas in a direction from the gas return path toward the gas supply path. 前記ガス清浄装置は、帰還されたガス中に存在する分子状汚染物質を除去するケミカルフィルタを備えることを特徴とする請求項1又は2記載のEFEMシステム。   The EFEM system according to claim 1, wherein the gas cleaning device includes a chemical filter that removes molecular contaminants present in the returned gas. 前記ガス清浄装置は、ガス中の水分を除去する乾燥機を備えることを特徴とする請求項1〜3の何れかに記載のEFEMシステム。   The EFEM system according to claim 1, wherein the gas cleaning device includes a dryer that removes moisture in the gas. 前記ガス供給路の途中位置にガスを導入するガス導入手段と、前記ガス帰還路の途中位置よりガスを吸引するためのガス吸引手段とをさらに備えていることを特徴とする請求項1〜4の何れかに記載のEFEMシステム。   5. The apparatus according to claim 1, further comprising: a gas introduction unit that introduces a gas into a middle position of the gas supply path; and a gas suction unit that sucks a gas from a middle position of the gas return path. The EFEM system according to any one of the above. 前記EFEMは、前記ウェーハ搬送室の上部に設けられ、前記ガス供給路と接続されるガス供給口と、前記ウェーハ搬送室の下部に設けられ、前記ガス帰還路と接続されるガス排出口とを含んで構成されており、
前記ウェーハ搬送室内に前記ガス供給口から前記ガス排出口へと流れる下降気流を生じさせていることを特徴とする請求項1〜5の何れかに記載のEFEMシステム。
The EFEM is provided at an upper part of the wafer transfer chamber and has a gas supply port connected to the gas supply path, and a gas discharge port provided at a lower part of the wafer transfer chamber and connected to the gas return path. Comprising
6. The EFEM system according to claim 1, wherein a downward airflow flowing from the gas supply port to the gas discharge port is generated in the wafer transfer chamber.
前記ガス供給口には、前記ガス供給路より供給されるガスを前記ウェーハ搬送室内へ送り込む送風手段と、前記ガス供給路より供給されるガスを清浄化するダストフィルタとが接続されていることを特徴とする請求項6記載のEFEMシステム。   The gas supply port is connected to a blowing means for sending the gas supplied from the gas supply path into the wafer transfer chamber and a dust filter for cleaning the gas supplied from the gas supply path. The EFEM system according to claim 6. 前記ガスが不活性ガスであることを特徴とする請求項1〜7の何れかに記載のEFEMシステム。   The EFEM system according to claim 1, wherein the gas is an inert gas.
JP2014017820A 2013-12-13 2014-01-31 EFEM system Active JP6599599B2 (en)

Priority Applications (11)

Application Number Priority Date Filing Date Title
JP2014017820A JP6599599B2 (en) 2014-01-31 2014-01-31 EFEM system
TW110142606A TWI784799B (en) 2013-12-13 2014-12-02 Equipment Front-End Module (EFEM) System
TW111140292A TWI814621B (en) 2013-12-13 2014-12-02 porter room
TW103141788A TWI635552B (en) 2013-12-13 2014-12-02 Equipment front-end module (EFEM)
TW108141434A TWI749397B (en) 2013-12-13 2014-12-02 Equipment front-end module (EFEM) and semiconductor manufacturing equipment
TW112129125A TW202349607A (en) 2013-12-13 2014-12-02 EFEM system
TW107121160A TWI678751B (en) 2013-12-13 2014-12-02 Equipment front-end module (EFEM)
KR1020140175857A KR20150069526A (en) 2013-12-13 2014-12-09 Efem
US14/569,293 US9704727B2 (en) 2013-12-13 2014-12-12 Efem
KR1020210102328A KR102593779B1 (en) 2013-12-13 2021-08-04 Efem
KR1020230140902A KR20230151956A (en) 2013-12-13 2023-10-20 Efem system

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2014017820A JP6599599B2 (en) 2014-01-31 2014-01-31 EFEM system

Publications (2)

Publication Number Publication Date
JP2015146348A true JP2015146348A (en) 2015-08-13
JP6599599B2 JP6599599B2 (en) 2019-10-30

Family

ID=53890469

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2014017820A Active JP6599599B2 (en) 2013-12-13 2014-01-31 EFEM system

Country Status (1)

Country Link
JP (1) JP6599599B2 (en)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108695206A (en) * 2017-03-31 2018-10-23 东京毅力科创株式会社 Base board delivery device and substrate transfer method adopted therein
CN109643681A (en) * 2016-08-09 2019-04-16 近藤工业株式会社 Semiconductor manufacturing apparatus
CN110137121A (en) * 2018-02-09 2019-08-16 东京毅力科创株式会社 Substrate board treatment
JP2019140379A (en) * 2018-02-09 2019-08-22 東京エレクトロン株式会社 Substrate processing apparatus
CN110277339A (en) * 2018-03-15 2019-09-24 昕芙旎雅有限公司 The gas displacement method of EFEM and EFEM
JP2020167190A (en) * 2019-03-28 2020-10-08 株式会社Screenホールディングス Substrate processing apparatus
JP7480249B2 (en) 2019-01-08 2024-05-09 東京エレクトロン株式会社 Substrate Processing Equipment

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH11288990A (en) * 1998-04-01 1999-10-19 Hitachi Ltd Processing method and device thereof, and semiconductor production line and transfer method of processed substrate thereof
JPH11294817A (en) * 1998-04-16 1999-10-29 Dainippon Screen Mfg Co Ltd Device and system for treating substrate
JP2001093827A (en) * 1999-07-16 2001-04-06 Tokyo Electron Ltd Treatment system
JP2003007799A (en) * 2001-06-21 2003-01-10 Tokyo Electron Ltd Treating system
JP2005142185A (en) * 2003-11-04 2005-06-02 Canon Inc Aligner and its environmental control method
JP2006286682A (en) * 2005-03-31 2006-10-19 Tokyo Electron Ltd Substrate processing apparatus
JP2007165837A (en) * 2005-11-17 2007-06-28 E-Beam Corp Substrate processing apparatus and substrate processing method
JP2008296069A (en) * 2007-05-29 2008-12-11 Kondo Kogyo Kk Air cleaner for eliminating fine particle or fine particle and harmful gas in sheet-like object manufacturing apparatus

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH11288990A (en) * 1998-04-01 1999-10-19 Hitachi Ltd Processing method and device thereof, and semiconductor production line and transfer method of processed substrate thereof
JPH11294817A (en) * 1998-04-16 1999-10-29 Dainippon Screen Mfg Co Ltd Device and system for treating substrate
JP2001093827A (en) * 1999-07-16 2001-04-06 Tokyo Electron Ltd Treatment system
JP2003007799A (en) * 2001-06-21 2003-01-10 Tokyo Electron Ltd Treating system
JP2005142185A (en) * 2003-11-04 2005-06-02 Canon Inc Aligner and its environmental control method
JP2006286682A (en) * 2005-03-31 2006-10-19 Tokyo Electron Ltd Substrate processing apparatus
JP2007165837A (en) * 2005-11-17 2007-06-28 E-Beam Corp Substrate processing apparatus and substrate processing method
JP2008296069A (en) * 2007-05-29 2008-12-11 Kondo Kogyo Kk Air cleaner for eliminating fine particle or fine particle and harmful gas in sheet-like object manufacturing apparatus

Cited By (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP3499556A4 (en) * 2016-08-09 2020-03-25 Kondoh Industries, Ltd. Semiconductor manufacturing apparatus
CN109643681A (en) * 2016-08-09 2019-04-16 近藤工业株式会社 Semiconductor manufacturing apparatus
CN109643681B (en) * 2016-08-09 2022-11-29 剑桥过滤器有限公司 Semiconductor manufacturing apparatus
JP2018174208A (en) * 2017-03-31 2018-11-08 東京エレクトロン株式会社 Substrate transfer device and substrate transfer method
CN108695206A (en) * 2017-03-31 2018-10-23 东京毅力科创株式会社 Base board delivery device and substrate transfer method adopted therein
CN108695206B (en) * 2017-03-31 2022-03-15 东京毅力科创株式会社 Substrate transfer apparatus and substrate transfer method
JP2019140379A (en) * 2018-02-09 2019-08-22 東京エレクトロン株式会社 Substrate processing apparatus
CN110137121A (en) * 2018-02-09 2019-08-16 东京毅力科创株式会社 Substrate board treatment
JP7358044B2 (en) 2018-02-09 2023-10-10 東京エレクトロン株式会社 Substrate processing equipment
CN110137121B (en) * 2018-02-09 2024-03-26 东京毅力科创株式会社 Substrate processing apparatus
CN110277339A (en) * 2018-03-15 2019-09-24 昕芙旎雅有限公司 The gas displacement method of EFEM and EFEM
CN110277339B (en) * 2018-03-15 2023-11-28 昕芙旎雅有限公司 EFEM and gas displacement method thereof
JP7480249B2 (en) 2019-01-08 2024-05-09 東京エレクトロン株式会社 Substrate Processing Equipment
JP2020167190A (en) * 2019-03-28 2020-10-08 株式会社Screenホールディングス Substrate processing apparatus
US11342201B2 (en) 2019-03-28 2022-05-24 SCREEN Holdings Co., Ltd. Substrate processing apparatus
JP7221110B2 (en) 2019-03-28 2023-02-13 株式会社Screenホールディングス Substrate processing equipment

Also Published As

Publication number Publication date
JP6599599B2 (en) 2019-10-30

Similar Documents

Publication Publication Date Title
JP6599599B2 (en) EFEM system
KR20210100055A (en) Efem
JP6349750B2 (en) EFEM
TWI617368B (en) Recirculation substrate container purging systems and methods
JP6822953B2 (en) Substrate processing systems, equipment, and methods with environmental control of substrate carriers and purge chambers
TWI780030B (en) Method and system for forming a clean environment for semiconductor substrates with low humidity level
JP7008834B2 (en) Substrate processing equipment and method using filter purge of factory interface chamber
US20150101482A1 (en) Mechanisms for controlling gas flow in enclosure
KR102518708B1 (en) Substrate processing apparatus
CN112912999A (en) High flow rate, gas purged side storage tank apparatus, assembly and method
TW202034432A (en) Side storage pods, equipment front end modules, and methods for operating equipment front end modules
KR100905262B1 (en) Substrate Processing Apparatus and Manufacturing Method for a Semiconductor Device
TW201935563A (en) Substrate processing device
JP6583482B2 (en) EFEM
JP6853489B2 (en) EFEM
KR20150059704A (en) equipment front end module
JP2007173364A (en) Substrate treatment apparatus
JP5224679B2 (en) Substrate processing apparatus, semiconductor device manufacturing method, and substrate processing method
JP2011044633A (en) Substrate processing apparatus
KR102442234B1 (en) Efem having air flow equalizing apparatus
JPH0942727A (en) Cleaning system for transportation space
JP2016066689A (en) Container cleaning device and container cleaning method
CN104025278A (en) Load lock device and vacuum treatment chamber equipped with same
JP7411004B2 (en) Humidity reduction device for wafer container of load port module and semiconductor processing equipment equipped with the same
KR102671424B1 (en) Exhaust apparatus for air flow stabilization in equipment front end module and semiconductor process device comprising the same

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20161213

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20170908

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20170919

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20171116

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20180206

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20180323

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20180515

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20180807

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20180814

A912 Re-examination (zenchi) completed and case transferred to appeal board

Free format text: JAPANESE INTERMEDIATE CODE: A912

Effective date: 20181005

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20190610

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20191003

R150 Certificate of patent or registration of utility model

Ref document number: 6599599

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250