JP2014533000A - 低誘電率配線層に基板貫通ビアのパターンを形成するための低誘電率誘電体保護スペーサ - Google Patents

低誘電率配線層に基板貫通ビアのパターンを形成するための低誘電率誘電体保護スペーサ Download PDF

Info

Publication number
JP2014533000A
JP2014533000A JP2014541352A JP2014541352A JP2014533000A JP 2014533000 A JP2014533000 A JP 2014533000A JP 2014541352 A JP2014541352 A JP 2014541352A JP 2014541352 A JP2014541352 A JP 2014541352A JP 2014533000 A JP2014533000 A JP 2014533000A
Authority
JP
Japan
Prior art keywords
dielectric constant
low dielectric
layer
interconnect layer
protective
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2014541352A
Other languages
English (en)
Other versions
JP6068492B2 (ja
Inventor
ヴィディヤ・ラマチャンドラ
シークン・グ
Original Assignee
クアルコム,インコーポレイテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by クアルコム,インコーポレイテッド filed Critical クアルコム,インコーポレイテッド
Publication of JP2014533000A publication Critical patent/JP2014533000A/ja
Application granted granted Critical
Publication of JP6068492B2 publication Critical patent/JP6068492B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

低誘電率配線層に基板貫通ビア(TSV)のパターンを形成するための低誘電率誘電体保護スペーサが提供される。低誘電率誘電体保護スペーサを形成する方法は、低誘電率誘電体相互接続層にエッチングによってビア開口部を形成することを含む。ビア開口部内および低誘電率誘電体相互接続層上に保護層を堆積させる。ビア開口部の底部および低誘電率誘電体相互接続層の水平面から保護層の少なくとも一部をエッチングによって除去する。このエッチングによって、ビア開口部の側壁上に保護側壁スペーサを残す。ビア開口部の底部および半導体基板にエッチングによって基板貫通ビアを形成する。基板貫通ビアに導電材料を充填する。

Description

関連出願の相互参照
本願は、2011年11月9日に出願されたRAMACHANDRANらの名義の米国仮出願第61/557,842号の利益を主張するものである。
本開示は概して、集積回路(IC)に関する。より詳細には、本開示は、低誘電率配線層に基板貫通ビア(TSV)のパターンを形成するための低誘電率誘電体保護スペーサに関する。
TSV(基板貫通ビア(シリコン貫通ビアとも呼ばれる))は、シリコン基板、サファイア基板、またはその他の種類の基板などの基板をエッチングすることによって形成することができる。このエッチングによって、低誘電率IMD(金属間誘電体)層および導電(たとえば、金属)層などの、基板上の材料も貫通される。
低誘電率IMDをエッチングする際、エッチング剤と低誘電率IMD層との間の相互作用によってTSVの低誘電率界面が電気的に劣化するとともに機械的に劣化する恐れがある。エッチングプロセスでは、IMD側壁が顕著な鋸歯形状になるとともに、低誘電率側壁材料が損傷する恐れがある。このことは、複数の低誘電率相互接続層にエッチングによって最終的なTSVを形成するときに見られることがある(以下に詳述する図3を参照されたい)。
したがって、TSVは相互接続膜を劣化させ、非理想的なTSV形状を生じるので、ライナ堆積および充填を含むその後のTSV製造ステップが困難になるとともに、TSV歩留まりが低減し完全性が低下する可能性がある。従来、エッチング損傷および側壁の粗さに関する問題は、ライナ状分離プロセスおよびTSV充填プロセスを改善することによって解消されている。
本開示の一態様によって、低誘電率配線層に基板貫通ビア(TSV)のパターンを形成するための低誘電率誘電体保護スペーサについて説明する。低誘電率誘電体保護スペーサを形成する方法は、低誘電率誘電体相互接続層にエッチングによってビア開口部を形成することを含む。ビア開口部内および低誘電率誘電体相互接続層上に保護層を堆積させる。ビア開口部の底部および低誘電率誘電体相互接続層の水平面から保護層の少なくとも一部をエッチングによって除去する。このエッチングによって、ビア開口部の側壁上に保護側壁スペーサを残す。ビア開口部の底部および半導体基板にエッチングによって基板貫通ビアを形成する。基板貫通ビアに導電材料を充填する。
本開示のさらなる態様では、低誘電率誘電体保護スペーサを含む半導体ダイについて説明する。半導体ダイは半導体基板を含む。半導体基板は、半導体基板の表面上に低誘電率誘電体相互接続層を含む。半導体ダイは、少なくとも1つの基板貫通ビアも含む。一構成では、基板貫通ビアは低誘電率誘電体相互接続層および半導体基板を貫通して延びる。半導体ダイは、基板貫通ビアと低誘電率誘電体相互接続層との間に保護スペーサをさらに含む。
本開示の別の態様では、半導体ダイは、低誘電率誘電体相互接続層を保護するための手段を含む。半導体ダイは半導体基板を含む。半導体基板は、半導体基板の表面上に低誘電率誘電体相互接続層を含む。半導体ダイは、少なくとも1つの基板貫通ビアも含む。一構成では、基板貫通ビアは低誘電率誘電体相互接続層および半導体基板を貫通して延びる。半導体ダイは、基板貫通ビアと低誘電率誘電体相互接続層との間に配設された低誘電率誘電体相互接続層を保護するための手段をさらに含む。
上記は、以下の詳細な説明がよりよく理解され得るように、本開示の特徴および技術的な利点を、かなり大まかに概説したものである。本開示のさらなる特徴および利点について以下で説明する。本開示と同じ目的を実行するための他の構造を修正または設計するための基礎として、本開示が容易に利用され得ることを当業者は諒解されたい。そのような等価な構成は、添付の特許請求の範囲に記載される本開示の教示から逸脱しないことも当業者は認識されたい。機構と動作方法の両方に関して本開示の特性であると考えられる新規の特徴は、添付の図面と併せて考慮されれば、さらなる目的および利点とともに、以下の説明からよりよく理解されるだろう。しかしながら、図面の各々は例示および説明のみを目的として提供され、本開示の範囲を規定するものとして意図されないことを明確に理解されたい。
本開示のより完全な理解のために、ここで、添付の図面と併せて以下の説明を参照する。
本開示の一態様による、低誘電率相互接続モジュールを含む集積回路(IC)パッケージを示す断面図である。 本開示の一態様による、スペーサ層の拡大された開口部を設けるためのフォトレジスト層を含む、図1のICパッケージを示す断面図である。 本開示の一態様による、低誘電率相互接続モジュールとTSV(基板貫通ビア)との間の界面の鋸歯形状を示す、図2のICパッケージを示す断面図である。 本開示の一態様による、TSVの低誘電率界面の電気的な劣化および機械的な劣化を示す、図3のICパッケージを示す断面図である。 本開示の一態様による、堆積した保護層を含む、図4のICパッケージを示す断面図である。 本開示の一態様による、側壁保護スペーサを含む、図5のICパッケージを示す断面図である。 本開示の一態様による、基板貫通エッチングの後の、図6のICパッケージを示す断面図である。 本開示の一態様による、ライナ分離層と側壁保護スペーサ層とを有する基板貫通ビアを含む、図7のICパッケージを示す断面図である。 本開示の一態様による、低誘電率配線層に基板貫通ビア(TSV)のパターンを形成するための低誘電率誘電体保護スペーサを形成するための方法を示すブロック図である。 本開示の態様が有利に利用され得るワイヤレス通信システムを示すブロック図である。
本開示の様々な態様は、低誘電率IMD(金属間誘電体)層にエッチングによってTSV(基板貫通ビア)を形成することによって生じるエッチング損傷および側壁の粗さに関する問題を軽減するための技術を提供する。本開示の一態様によれば、低誘電率配線層に基板貫通ビア(TSV)のパターンを形成するための低誘電率誘電体保護スペーサが提供される。半導体ダイの一構成において、保護側壁スペーサは低誘電率相互接続モジュール側壁をエッチング損傷から保護し、一方、側壁表面を平滑化する。平滑な側壁表面が得られるので、エッチング後処理の条件が改善される。さらなる構成では、TSVと任意の周囲の材料との間の保護側壁スペーサによって提供される酸化物緩衝体がさらなる応力除去を可能にする。さらに、保護側壁スペーサは、様々な種類の膜に、膜がTSVエッチングによって損傷するかどうかとは無関係に、TSVのパターンを形成するのを可能にし得る。
図1は、本開示の一態様による、バックエンドオブライン(BEOL)相互接続層136、138、および140を有する低誘電率相互接続モジュール130を含む集積回路(IC)パッケージ100を示す断面図である。典型的には、ICパッケージ100は、シャロートレンチアイソレーション(STI)領域150を含む基板(たとえば、シリコンウェハ)102を含む。この構成では、STI領域150は半金属または他の同様の材料である。STI領域150の上方に、フロントエンドオブライン(FEOL)相互接続層110を含む層間誘電体(ILD)層120が位置している。ILD120は、デバイス(たとえば、トランジスタ)112〜116および導電線(ビア)121〜128を、デバイスに影響を与えることがあるその後の処理ステップから保護し、一方、トランジスタとルーティング層との間の電気絶縁ももたらすことができる。この構成では、ILD層120は、導電線121〜128の間の短絡を防止するために酸化ケイ素または他の同様の材料で形成された接触ILDである。代替構成では、ILD層120は低誘電率誘電体または他の同様の材料である。ILD120の上方に低誘電率相互接続層(モジュール)130が位置している。
図1に示すように、低誘電率相互接続モジュール130は、低誘電率金属間誘電体(IMD)層内に形成されたBEOL層136、138、および140を含む。典型的には、低誘電率相互接続モジュール130は、導電線と分離(たとえば、エッチング停止)層134とを有する第1のBEOL相互接続層136を含む。低誘電率相互接続モジュール130は、ビア143および147〜149と、導電線144および146と、分離(たとえば、エッチング停止)層132とを含む第2のBEOL相互接続層138をさらに含む。低誘電率相互接続モジュール130は、研磨停止層160によって覆われた、ビア141と導電線142とを含む第3のBEOL相互接続層140をさらに含む。この構成では、第1のBEOL相互接続層136の導電線、第2のBEOL相互接続層138の導電線144および146、第3のBEOL相互接続層140の導電線142、ならびにビア141、143、147、および149は銅または他の同様の導電材料で形成される。さらに、研磨停止層160は、炭化ケイ素、窒化ケイ素、または他の同様の保護材料で形成されてよい。低誘電率金属間誘電体は、ガラス、炭素/窒素/フッ素をドープされた多孔性の酸化物と無孔の酸化物の両方、ポリマー、スピンオンガラス、および他の同様の無機絶縁体などの材料を含んでよい。
図2は、本開示の一態様による、スペーサ層およびTSV(基板貫通ビア)用の拡大されたTSV開口部272を設けるためのフォトレジスト層270を含む、図1のICパッケージ200を例示する断面図を示す。TSV開口部272が拡大されているので、以下により詳しく説明する保護層が補償される。図2に示すように、低誘電率相互接続モジュール130の表面上に研磨停止層160を堆積させた後、リソグラフィプロセスによって、実際の最終的なTSV(図7および図8参照)よりもわずかに大きいTSV(基板貫通ビア)開口部272を画定する。この構成では、TSV形状のサイズは0.25マイクロメートル(μm)〜20マイクロメートル程度である。この方法を適用できる代表的な技術は130nmのノードから5nmのノードまでである。
図3は、本開示の一態様による、低誘電率相互接続モジュール130の側壁374の鋸歯形状を示す、図2のICパッケージ300を例示する断面図を示す。典型的には、フォトレジスト層370に従って低誘電率相互接続モジュール130をエッチングしてビア開口部372を形成すると、図3に示すように低誘電率相互接続モジュール130の側壁374が削られ損傷する恐れがある。
図4は、本開示の一態様による、TSVの低誘電率界面の電気的劣化および機械的劣化を示す、図3のICパッケージ400を例示する断面図を示す。図4では、フォトレジスト層370層は除去されている。
TSV(基板貫通ビア)を掘削するために使用されるTSV(たとえば、ボッシュ)エッチングと相互接続層モジュール130の低誘電率IMD層との間の相互作用によって、低誘電率相互接続モジュール130とTSVとの間の低誘電率界面が電気的に劣化するとともに機械的に劣化する恐れがあることに留意されたい。このプロセスによって、ビア開口部472内の低誘電率相互接続モジュール130の側壁474が顕著な鋸歯形状になりかつ損傷を受ける。したがって、ビア開口部472によって形成されるTSVは、劣化した相互接続膜の影響を受ける。非理想的なTSV形状を生じるので、ライナ堆積および充填を含むその後のTSV処理が困難になるとともに、TSV歩留まりが低減し完全性が低下する可能性がある。
図5は、本開示の一態様による、堆積した保護層を含む、図4のICパッケージ500を示す断面図である。本明細書において説明するように、(たとえば、低濃度の酸素を含む)低誘電率材料を腐食させることもまたは損傷することもない薬剤から成るエッチングプロセスを低誘電率適合エッチングプロセスと呼ぶことがある。この構成では、低誘電率適合エッチングプロセスによって低誘電率相互接続モジュール130の低誘電率MID層をエッチングする。エッチングが(エンドポイント信号によって示されるかまたは選択性の高いエッチングによって補助されることによって)接触ILD120またはSTI層150の硬い酸化ケイ素に到達した後、ICパッケージ500全体に保護誘電体層520を堆積させる。保護誘電体層520は、非フッ素化シリカガラス(USG)、オルトケイ酸テトラエチル(TEOS)、酸化ケイ素、窒化ケイ素、有機膜、または絶縁体膜を形成するための他の同様の前駆体の層として形成されてよい。
図5にさらに示すように、保護誘電体層520の指向性エッチング580を行う。この構成では、指向性エッチング580は指向性反応性イオンエッチング(RIE)プロセスであってよい。典型的には、指向性RIEプロセスは、低誘電率側壁574を覆う保護スペーサを形成し、一方、水平面、たとえば研磨停止層160およびILD120上に膜を残さない。図6に示す構成では、保護を向上させるために研磨停止層160の水平面上に少量の保護膜を残す。
図6は、本開示の一態様による、エッチングされた側壁保護スペーサ層620を含む、図5のICパッケージ600を例示する断面図を示す。典型的には、側壁保護スペーサ620は低誘電率相互接続モジュール130を保護する。さらに、側壁保護スペーサ620は側壁674の任意のトポグラフィを平滑化する働きもする。図6に示す構成では、場合によっては、角部676および678の保護を向上させるために研磨停止層160の水平面上に少量の保護膜を残す。低誘電率側壁表面674が覆われ保護された後、図7に示すように基板102にビア開口部を形成する。
図7は、本開示の一態様による、基板貫通エッチングの後の、図6のICパッケージ700を例示する断面図を示す。低誘電率側壁表面774が保護側壁スペーサ720によって覆われ保護された後、ボッシュエッチングなどのエッチングによってTSV開口部708を形成するが、この場合、低誘電率相互接続モジュール130に対するこのエッチングの影響についての注意は最低限で済む。この構成では、保護側壁スペーサ720によって、TSV開口部のサイズがリソグラフィによって画定される寸法704から最終的なエッチング直径706に縮小する。エッチングが完了した後、図8に示すように、TSVライナ/充填/CMP(化学機械的研磨)プロセスを行ってよい。
図8は、本開示の一態様による、ライナ分離層850と側壁保護スペーサ層820とを有する基板貫通ビア890を含む、図7のICパッケージ800を例示する断面図を示す。典型的には、低誘電率相互接続モジュール130に埋め込まれたTSV890の部分は、その部分と低誘電率相互接続モジュール130との間に酸化物緩衝層(保護側壁スペーサ)820を有する。酸化物緩衝層820はまた、たとえばTSV890の膨張によって生じるTSV890と低誘電率相互接続モジュール130との間の応力をさらに除去する。この構成では、TSV890の側壁を囲む半金属ライナ分離層850を形成する。次いで、TSVに銅または他の同様な導電材料を充填する。
図8はTSV890の形成を最終ステップとして示しているが、TSV890を形成した後に追加の導電層を形成してよい。したがって、側壁保護スペーサ820を使用すると低誘電率相互接続モジュール側壁をエッチング損傷から保護することができ、一方、側壁表面を平滑化するとエッチング後処理の条件を向上させることができる。さらに、TSV890と任意の周囲の材料との間の側壁保護スペーサ820によって提供される酸化物緩衝体がさらなる応力除去を可能にする。最後に、側壁保護スペーサ820は、任意の種類の膜に、膜がTSVエッチングによって損傷するかどうかとは無関係に、TSV890のパターンを形成することを可能にし得る。
一構成では、ICパッケージ800は、貫通ビアと低誘電率誘電体相互接続層との間に配設された低誘電率誘電体相互接続層を保護するための手段を含む。一態様では、保護手段は、保護手段によって提唱される機能を実行するように構成された、図8の側壁保護スペーサ層820であってよい。別の態様では、上記の手段は、上記の手段によって提唱される機能を実行するように構成されたデバイスまたは任意の層であってよい。
図9は、本開示の一態様による、低誘電率配線層に基板貫通ビア(TSV)のパターンを形成するための低誘電率誘電体保護スペーサを形成するための方法900を示すブロック図である。ブロック910において、たとえば図2〜図4に示すように、低誘電率誘電体相互接続層にエッチングによってビア開口部272/372/472を形成する。ブロック912において、たとえば図5に示すように、ビア開口部内および低誘電率誘電体相互接続層上に保護誘電体層520を堆積させる。ブロック914において、ビア開口部の底部および低誘電率誘電体相互接続層の水平面から保護層をエッチングによって除去する。たとえば、図6に示すように、保護層をエッチングしてビア開口部の側壁674上に側壁保護スペーサ620を残す。ブロック916において、図7に示すように、保護側壁スペーサで覆われたビア開口部の底部および半導体基板にエッチングによって基板貫通ビアを形成する。ブロック918において、たとえば図8に示すように基板貫通ビア890を充填する。
図10は、本開示の一実施形態が有利に使用され得る例示的なワイヤレス通信システム1000を示すブロック図である。例示のために、図10は、3つの遠隔ユニット1020、1030および1050、ならびに2つの基地局1040を示す。ワイヤレス通信システムがこれよりも多くの遠隔ユニットおよび基地局を有してもよいことが認識されるだろう。遠隔ユニット1020、1030、および1050は、開示する低誘電率誘電体保護スペーサを含むICデバイス1025A、1025C、および1025Bを含む。ICを含む任意のデバイスは、基地局、スイッチングデバイス、およびネットワーク機器を含む、本明細書で開示される低誘電率誘電体保護スペーサも含み得ることが認識されるだろう。図10は、基地局1040から遠隔ユニット1020、1030および1050への順方向リンク信号1080、ならびに遠隔ユニット1020、1030および1050から基地局1040への逆方向リンク信号1090を示す。
図10では、遠隔ユニット1020は携帯電話として示され、遠隔ユニット1030はポータブルコンピュータとして示され、遠隔ユニット1050はワイヤレスローカルループシステム内の固定ロケーション遠隔ユニットとして示される。たとえば、遠隔ユニットは、携帯電話、ハンドヘルドパーソナル通信システム(PCS)ユニット、携帯情報端末などのポータブルデータユニット、GPS対応デバイス、ナビゲーションデバイス、セットトップボックス、音楽プレーヤ、ビデオプレーヤ、娯楽ユニット、メータ読取り機器などの固定ロケーションデータユニット、またはデータもしくはコンピュータ命令の記憶もしくは取り出しを行う任意の他のデバイス、またはそれらの任意の組合せであり得る。図10は、本開示の教示による遠隔ユニットを示すが、本開示は、これらの示された例示的なユニットには限定されない。本開示の態様は、開示された低誘電率誘電体保護スペーサを含む任意のデバイスにおいて好適に使用され得る。
設計/製造プロセスのファームウェアおよび/またはソフトウェア実装形態の場合、これらの方法は、本明細書で説明する機能を実行するモジュール(たとえば、プロシージャ、関数など)によって実装されてもよい。本明細書で説明する方法を実装する際に、命令を有形に具現化する任意の機械可読媒体が使用され得る。たとえば、ソフトウェアコードはメモリに記憶され、プロセッサユニットにより実行され得る。メモリは、プロセッサユニット内に実装されてよく、またはプロセッサユニットの外部に実装されてよい。本明細書で使用される場合、「メモリ」という用語は、長期メモリ、短期メモリ、揮発性メモリ、不揮発性メモリ、または他のメモリのいずれかの種類を指し、メモリのいかなる特定の種類またはいかなる特定の数にも、あるいはメモリが記憶される媒体のいかなる特定の種類にも限定されない。
本開示およびその利点について詳細に説明したが、添付の特許請求の範囲によって規定される本開示の技術から逸脱することなく、本明細書において様々な変更、代用および改変が行われ得ることを理解されたい。たとえば、「上」および「下」などの関係語が、基板または電子デバイスに関して使用される。もちろん、基板または電子デバイスが逆転した場合には、上は下に、下は上になる。さらに、横向きの場合、上および下は、基板または電子デバイスの側面を指すことがある。さらに、本出願の範囲は、本明細書で説明したプロセス、機械、製造、物質組成、手段、方法、およびステップの特定の実施形態に限定されるものではない。当業者が本開示から容易に諒解するように、本明細書で説明した対応する実施形態と実質的に同じ機能を実行するか、または実質的に同じ結果を実現する、現存するまたは今後開発されるプロセス、機械、製造、物質組成、手段、方法、またはステップが、本開示に従って利用され得る。したがって、添付の特許請求の範囲は、そのようなプロセス、機械、製造、物質組成、手段、方法、またはステップをその範囲内に含むものとする。
100 集積回路(IC)パッケージ
110 フロントエンドオブライン(FEOL)相互接続層
112〜116 デバイス
120 層間誘電体(ILD)層
121〜128 導電線
130 低誘電率相互接続モジュール
134 分離層
136、138、140 バックエンドオブライン(BEOL)相互接続層
141、143、147〜149 ビア
142、144、146 導電線
150 シャロートレンチアイソレーション(STI)領域
160 研磨停止層
270 フォトレジスト層
272 TSV開口部
300 ICパッケージ
370 フォトレジスト層
372 ビア開口部
374 側壁
400 ICパッケージ
472 ビア開口部
474 側壁
500 ICパッケージ
520 保護誘電体層
574 低誘電率側壁
580 指向性エッチング
620 エッチングされた側壁保護スペーサ層
674 側壁
676 角部
700 ICパッケージ
704 寸法
706 最終的なエッチング直径
720 保護側壁スペーサ
774 低誘電率側壁表面
800 ICパッケージ
820 側壁保護スペーサ層
850 線状分離層
890 基板貫通ビア
1020、1030、1050 遠隔ユニット
1025A、1025C、1025B ICデバイス
1040 基地局
1090 逆方向リンク信号

Claims (22)

  1. 低誘電率誘電体相互接続層にエッチングによってビア開口部を形成するステップと、
    前記ビア開口部内および前記低誘電率誘電体相互接続層上に保護層を堆積させるステップと、
    前記ビア開口部の底部および前記低誘電率誘電体相互接続層の水平面から前記保護層の少なくとも一部をエッチングによって除去するステップであって、前記エッチングによって前記ビア開口部の側壁上に保護側壁スペーサを残すステップと、
    前記ビア開口部の底部および半導体基板にエッチングによって基板貫通ビアを形成するステップと、
    前記基板貫通ビアを充填するステップと
    を含む方法。
  2. 前記低誘電率誘電体相互接続層は少なくとも1つの低誘電率金属間誘電体(IMD)層を備える、請求項1に記載の方法。
  3. 前記保護層は、非フッ素化シリカガラス(USG)、オルトケイ酸テトラエチル(TEOS)、酸化ケイ素、および有機膜からなる群から選択される材料から形成される、請求項1に記載の方法。
  4. 前記保護層の少なくとも前記一部をエッチングするステップは、指向性反応性イオンエッチング(RIE)を実行して前記低誘電率誘電体相互接続層の水平部分から前記保護層を除去するステップを含む、請求項1に記載の方法。
  5. 前記保護層を堆積させるステップは、前記低誘電率誘電体相互接続層の上面上に前記保護層を形成するステップを含む、請求項1に記載の方法。
  6. 有機保護層が前記基板貫通ビアの側壁を覆うように前記保護層をリフローさせるステップをさらに含む、請求項5に記載の方法。
  7. 前記基板貫通ビアを半導体ダイ内に組み込むステップと、
    音楽プレーヤ、ビデオプレーヤ、娯楽ユニット、ナビゲーションデバイス、通信デバイス、携帯情報端末(PDA)、固定位置データユニット、およびコンピュータの少なくとも1つに、前記半導体ダイを組み込むステップと
    をさらに含む、請求項1に記載の方法。
  8. 半導体基板と、
    前記半導体基板上の低誘電率誘電体相互接続層と、
    前記低誘電率誘電体相互接続層および前記半導体基板を貫通して延びる少なくとも1つの基板貫通ビアと、
    前記少なくとも1つの基板貫通ビアと前記低誘電率誘電体相互接続層との間の保護スペーサと
    を備える半導体ダイ。
  9. 前記低誘電率誘電体相互接続層は少なくとも1つの低誘電率金属間誘電体(IMD)層を備える、請求項8に記載の半導体ダイ。
  10. 前記保護スペーサは、非フッ素化シリカガラス(USG)、オルトケイ酸テトラエチル(TEOS)、酸化ケイ素、および有機膜からなる群から選択される材料で構成される、請求項8に記載の半導体ダイ。
  11. 前記保護スペーサは、前記低誘電率誘電体相互接続層の水平部分上に位置する、請求項8に記載の半導体ダイ。
  12. 前記半導体基板内のシャロートレンチアイソレーション(STI)領域と、
    前記半導体基板の表面および前記STI領域上に位置し、上面上に前記低誘電率誘電体相互接続層が配設される層間誘電体(ILD)層と
    をさらに備える、請求項8に記載の半導体ダイ。
  13. 音楽プレーヤ、ビデオプレーヤ、娯楽ユニット、ナビゲーションデバイス、通信デバイス、携帯情報端末(PDA)、固定位置データユニット、およびコンピュータの少なくとも1つに組み込まれる、請求項8に記載の半導体ダイ。
  14. 半導体基板と、
    前記半導体基板上の低誘電率誘電体相互接続層と、
    前記低誘電率誘電体相互接続層および前記半導体基板を貫通して延びる少なくとも1つの貫通ビアと、
    前記少なくとも1つの貫通ビアと低誘電率誘電体相互接続層との間に配設された前記低誘電率誘電体相互接続層を保護するための手段と
    を備える半導体ダイ。
  15. 前記低誘電率誘電体相互接続層は少なくとも1つの低誘電率金属間誘電体(IMD)層を備える、請求項14に記載の半導体ダイ。
  16. 前記低誘電率誘電体相互接続層を保護するための前記手段は、非フッ素化シリカガラス(USG)、オルトケイ酸テトラエチル(TEOS)、酸化ケイ素、および有機膜からなる群から選択される材料で構成される、請求項14に記載の半導体ダイ。
  17. 前記低誘電率誘電体相互接続層を保護するための前記手段は、前記低誘電率誘電体相互接続層の水平部分上に形成される、請求項14に記載の半導体ダイ。
  18. 前記半導体基板内に形成されたシャロートレンチアイソレーション(STI)領域と、
    前記半導体基板の表面および前記STI領域上に形成され、上面上に前記低誘電率誘電体相互接続層が形成される層間誘電体(ILD)層と
    をさらに備える、請求項14に記載の半導体ダイ。
  19. 音楽プレーヤ、ビデオプレーヤ、娯楽ユニット、ナビゲーションデバイス、通信デバイス、携帯情報端末(PDA)、固定位置データユニット、およびコンピュータの少なくとも1つに組み込まれる、請求項14に記載の半導体ダイ。
  20. 低誘電率誘電体相互接続層にエッチングによってビア開口部を形成するステップと、
    前記ビア開口部内および前記低誘電率誘電体相互接続層上に保護層を堆積させるステップと、
    前記ビア開口部の底部および前記低誘電率誘電体相互接続層の水平面から前記保護層をエッチングによって除去するステップであって、前記エッチングによって前記ビア開口部の側壁上に保護側壁スペーサを残すステップと、
    前記保護側壁スペーサで覆われたビア開口部の底部および半導体基板にエッチングによって基板貫通ビアを形成するステップと、
    前記基板貫通ビアを充填するステップと
    を含む方法。
  21. 前記基板貫通ビアを半導体ダイ内に組み込むステップをさらに備える、請求項20に記載の方法。
  22. 音楽プレーヤ、ビデオプレーヤ、娯楽ユニット、ナビゲーションデバイス、通信デバイス、携帯情報端末(PDA)、固定位置データユニット、およびコンピュータの少なくとも1つに前記半導体ダイを組み込むステップをさらに含む、請求項21に記載の方法。
JP2014541352A 2011-11-09 2012-11-09 低誘電率配線層に基板貫通ビアのパターンを形成するための低誘電率誘電体保護スペーサ Active JP6068492B2 (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201161557842P 2011-11-09 2011-11-09
US61/557,842 2011-11-09
US13/588,438 US9059263B2 (en) 2011-11-09 2012-08-17 Low-K dielectric protection spacer for patterning through substrate vias through a low-K wiring layer
US13/588,438 2012-08-17
PCT/US2012/064531 WO2013071171A1 (en) 2011-11-09 2012-11-09 Low-k dielectric protection spacer for patterning through substrate vias through a low-k wiring layer

Publications (2)

Publication Number Publication Date
JP2014533000A true JP2014533000A (ja) 2014-12-08
JP6068492B2 JP6068492B2 (ja) 2017-01-25

Family

ID=48223131

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2014541352A Active JP6068492B2 (ja) 2011-11-09 2012-11-09 低誘電率配線層に基板貫通ビアのパターンを形成するための低誘電率誘電体保護スペーサ

Country Status (7)

Country Link
US (1) US9059263B2 (ja)
EP (1) EP2777071B1 (ja)
JP (1) JP6068492B2 (ja)
KR (1) KR101610323B1 (ja)
CN (1) CN103918068B (ja)
IN (1) IN2014CN03081A (ja)
WO (1) WO2013071171A1 (ja)

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9245790B2 (en) * 2013-01-23 2016-01-26 GlobalFoundries, Inc. Integrated circuits and methods of forming the same with multiple embedded interconnect connection to same through-semiconductor via
US10170396B2 (en) * 2014-02-14 2019-01-01 Taiwan Semiconductor Manufacturing Company, Ltd. Through via structure extending to metallization layer
US9343403B2 (en) 2014-04-04 2016-05-17 Qualcomm Incorporated Stress mitigation structure for wafer warpage reduction
CN105225976A (zh) * 2014-06-25 2016-01-06 中芯国际集成电路制造(上海)有限公司 焊盘的制作方法及半导体器件
US9620454B2 (en) 2014-09-12 2017-04-11 Qualcomm Incorporated Middle-of-line (MOL) manufactured integrated circuits (ICs) employing local interconnects of metal lines using an elongated via, and related methods
KR102652854B1 (ko) * 2016-08-17 2024-04-02 삼성전자주식회사 반도체 소자 및 그 제조 방법
US10269559B2 (en) * 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10535636B2 (en) * 2017-11-15 2020-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Integrating passive devices in package structures
US10734234B2 (en) * 2017-12-18 2020-08-04 International Business Machines Corporation Metal cut patterning and etching to minimize interlayer dielectric layer loss
US10734278B2 (en) * 2018-06-15 2020-08-04 Tokyo Electron Limited Method of protecting low-K layers
US10784143B2 (en) 2019-01-31 2020-09-22 Globalfoundries Inc. Trench isolation preservation during transistor fabrication
US10832950B2 (en) 2019-02-07 2020-11-10 International Business Machines Corporation Interconnect with high quality ultra-low-k dielectric
US11532579B2 (en) * 2020-07-13 2022-12-20 Taiwan Semiconductor Manufacturing Co., Ltd. Passivation structure with increased thickness for metal pads
KR20220010852A (ko) 2020-07-20 2022-01-27 삼성전자주식회사 반도체 장치 및 반도체 장치의 제조 방법
US11715704B2 (en) * 2021-04-14 2023-08-01 Micron Technology, Inc. Scribe structure for memory device
US11769736B2 (en) 2021-04-14 2023-09-26 Micron Technology, Inc. Scribe structure for memory device
US11600578B2 (en) 2021-04-22 2023-03-07 Micron Technology, Inc. Scribe structure for memory device
US20230061392A1 (en) * 2021-09-02 2023-03-02 Applied Materials, Inc. Method of ultra thinning of wafer
CN115000005A (zh) * 2022-05-27 2022-09-02 华进半导体封装先导技术研发中心有限公司 一种保护low-k介质的有源芯片硅通孔制作方法

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004228320A (ja) * 2003-01-22 2004-08-12 Toshiba Corp 半導体装置
JP2004356617A (ja) * 2003-05-06 2004-12-16 Seiko Epson Corp 半導体装置の製造方法、半導体装置、半導体デバイス、電子機器
JP2005243993A (ja) * 2004-02-27 2005-09-08 Fuji Electric Holdings Co Ltd 半導体装置の製造方法
US20070029611A1 (en) * 2005-08-02 2007-02-08 Texas Instruments Incorporated Integrated circuit having a top side wafer contact and a method of manufacture therefor
US20070045858A1 (en) * 2005-09-01 2007-03-01 Micron Technology, Inc. Microfeature workpieces and methods for forming interconnects in microfeature workpieces
US20080150089A1 (en) * 2006-11-06 2008-06-26 Yong-Chai Kwon Semiconductor device having through vias and method of manufacturing the same
JP2011119432A (ja) * 2009-12-03 2011-06-16 Seiko Epson Corp 半導体装置および半導体装置の製造方法
US20110260297A1 (en) * 2010-04-27 2011-10-27 Shian-Jyh Lin Through-substrate via and fabrication method thereof

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6509267B1 (en) * 2001-06-20 2003-01-21 Advanced Micro Devices, Inc. Method of forming low resistance barrier on low k interconnect with electrolessly plated copper seed layer
US7081407B2 (en) 2003-12-16 2006-07-25 Lam Research Corporation Method of preventing damage to porous low-k materials during resist stripping
US7169698B2 (en) 2004-01-14 2007-01-30 International Business Machines Corporation Sacrificial inorganic polymer intermetal dielectric damascene wire and via liner
US7205226B1 (en) 2005-02-24 2007-04-17 Lam Research Corporation Sacrificial layer for protection during trench etch
US7812459B2 (en) * 2006-12-19 2010-10-12 Taiwan Semiconductor Manufacturing Company, Ltd. Three-dimensional integrated circuits with protection layers
JP5282419B2 (ja) 2007-04-18 2013-09-04 ソニー株式会社 半導体装置及びその製造方法
US7781332B2 (en) 2007-09-19 2010-08-24 International Business Machines Corporation Methods to mitigate plasma damage in organosilicate dielectrics using a protective sidewall spacer
US20090087992A1 (en) 2007-09-28 2009-04-02 Chartered Semiconductor Manufacturing Ltd. Method of minimizing via sidewall damages during dual damascene trench reactive ion etching in a via first scheme
US7968460B2 (en) 2008-06-19 2011-06-28 Micron Technology, Inc. Semiconductor with through-substrate interconnect
US7923369B2 (en) 2008-11-25 2011-04-12 Freescale Semiconductor, Inc. Through-via and method of forming
US8501587B2 (en) 2009-01-13 2013-08-06 Taiwan Semiconductor Manufacturing Company, Ltd. Stacked integrated chips and methods of fabrication thereof
KR20120030782A (ko) * 2010-09-20 2012-03-29 삼성전자주식회사 저유전 물질을 이용한 쓰루 실리콘 비아(tsv) 형성방법
US8803322B2 (en) * 2011-10-13 2014-08-12 Taiwan Semiconductor Manufacturing Company, Ltd. Through substrate via structures and methods of forming the same

Patent Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004228320A (ja) * 2003-01-22 2004-08-12 Toshiba Corp 半導体装置
US20040262767A1 (en) * 2003-01-22 2004-12-30 Kabushiki Kaisha Toshiba Semiconductor device
JP2004356617A (ja) * 2003-05-06 2004-12-16 Seiko Epson Corp 半導体装置の製造方法、半導体装置、半導体デバイス、電子機器
US20050001326A1 (en) * 2003-05-06 2005-01-06 Seiko Epson Corporation Semiconductor device, stacked semiconductor device, methods of manufacturing the same, circuit board, and electronic instrument
JP2005243993A (ja) * 2004-02-27 2005-09-08 Fuji Electric Holdings Co Ltd 半導体装置の製造方法
US20070029611A1 (en) * 2005-08-02 2007-02-08 Texas Instruments Incorporated Integrated circuit having a top side wafer contact and a method of manufacture therefor
US20070045858A1 (en) * 2005-09-01 2007-03-01 Micron Technology, Inc. Microfeature workpieces and methods for forming interconnects in microfeature workpieces
US20080150089A1 (en) * 2006-11-06 2008-06-26 Yong-Chai Kwon Semiconductor device having through vias and method of manufacturing the same
JP2011119432A (ja) * 2009-12-03 2011-06-16 Seiko Epson Corp 半導体装置および半導体装置の製造方法
US20110260297A1 (en) * 2010-04-27 2011-10-27 Shian-Jyh Lin Through-substrate via and fabrication method thereof

Also Published As

Publication number Publication date
JP6068492B2 (ja) 2017-01-25
CN103918068B (zh) 2016-11-09
EP2777071B1 (en) 2017-01-04
IN2014CN03081A (ja) 2015-07-03
US9059263B2 (en) 2015-06-16
US20130113068A1 (en) 2013-05-09
KR20140093980A (ko) 2014-07-29
WO2013071171A1 (en) 2013-05-16
KR101610323B1 (ko) 2016-04-08
EP2777071A1 (en) 2014-09-17
CN103918068A (zh) 2014-07-09

Similar Documents

Publication Publication Date Title
JP6068492B2 (ja) 低誘電率配線層に基板貫通ビアのパターンを形成するための低誘電率誘電体保護スペーサ
JP5706055B2 (ja) Tsvの歪緩和のための構造および方法
US8975729B2 (en) Integrating through substrate vias into middle-of-line layers of integrated circuits
US7871923B2 (en) Self-aligned air-gap in interconnect structures
JP4347637B2 (ja) トレンチ側壁のバッファー層を使用して半導体装置用金属配線を形成する方法及びそれにより製造された装置
US7790601B1 (en) Forming interconnects with air gaps
TWI668728B (zh) 用作互連之虛擬閘極及其製法
US8722527B2 (en) Integrated circuit manufacturing method and integrated circuit
CN108231659B (zh) 互连结构及其制造方法
KR20070033175A (ko) 반도체 장치의 금속 배선 형성 방법
KR100776141B1 (ko) 반도체 장치의 금속 배선 형성 방법
TW202244995A (zh) 製造半導體元件之方法
KR20030059467A (ko) 반도체 소자의 제조방법
CN104979270A (zh) 互连结构的形成方法

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20150513

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20150629

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20150929

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20151222

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20160620

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20160920

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20161128

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20161222

R150 Certificate of patent or registration of utility model

Ref document number: 6068492

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250