JP2014533000A - 低誘電率配線層に基板貫通ビアのパターンを形成するための低誘電率誘電体保護スペーサ - Google Patents
低誘電率配線層に基板貫通ビアのパターンを形成するための低誘電率誘電体保護スペーサ Download PDFInfo
- Publication number
- JP2014533000A JP2014533000A JP2014541352A JP2014541352A JP2014533000A JP 2014533000 A JP2014533000 A JP 2014533000A JP 2014541352 A JP2014541352 A JP 2014541352A JP 2014541352 A JP2014541352 A JP 2014541352A JP 2014533000 A JP2014533000 A JP 2014533000A
- Authority
- JP
- Japan
- Prior art keywords
- dielectric constant
- low dielectric
- layer
- interconnect layer
- protective
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Granted
Links
- 239000000758 substrate Substances 0.000 title claims abstract description 72
- 125000006850 spacer group Chemical group 0.000 title claims abstract description 46
- 230000001681 protective effect Effects 0.000 title claims abstract description 43
- 239000010410 layer Substances 0.000 claims abstract description 119
- 239000004065 semiconductor Substances 0.000 claims abstract description 47
- 238000005530 etching Methods 0.000 claims abstract description 35
- 238000000034 method Methods 0.000 claims abstract description 35
- 239000011241 protective layer Substances 0.000 claims abstract description 20
- 239000000463 material Substances 0.000 claims description 15
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical class O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 claims description 10
- 238000002955 isolation Methods 0.000 claims description 10
- BOTDANWDWHJENH-UHFFFAOYSA-N Tetraethyl orthosilicate Chemical compound CCO[Si](OCC)(OCC)OCC BOTDANWDWHJENH-UHFFFAOYSA-N 0.000 claims description 8
- 238000004891 communication Methods 0.000 claims description 8
- 238000000151 deposition Methods 0.000 claims description 6
- 229910052814 silicon oxide Inorganic materials 0.000 claims description 6
- 239000011229 interlayer Substances 0.000 claims description 4
- 150000002500 ions Chemical class 0.000 claims description 2
- 239000004020 conductor Substances 0.000 abstract description 4
- 230000008569 process Effects 0.000 description 12
- 230000015654 memory Effects 0.000 description 8
- 229920002120 photoresistant polymer Polymers 0.000 description 6
- 230000008901 benefit Effects 0.000 description 5
- 230000006870 function Effects 0.000 description 5
- 238000004519 manufacturing process Methods 0.000 description 5
- 238000010586 diagram Methods 0.000 description 4
- 238000005498 polishing Methods 0.000 description 4
- 239000000203 mixture Substances 0.000 description 3
- 238000012545 processing Methods 0.000 description 3
- 229910052710 silicon Inorganic materials 0.000 description 3
- 239000010703 silicon Substances 0.000 description 3
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 2
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 2
- 229910052581 Si3N4 Inorganic materials 0.000 description 2
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 2
- 230000015556 catabolic process Effects 0.000 description 2
- 239000003795 chemical substances by application Substances 0.000 description 2
- 229910052802 copper Inorganic materials 0.000 description 2
- 239000010949 copper Substances 0.000 description 2
- 238000006731 degradation reaction Methods 0.000 description 2
- 230000008021 deposition Effects 0.000 description 2
- 239000011521 glass Substances 0.000 description 2
- 238000009499 grossing Methods 0.000 description 2
- 239000012212 insulator Substances 0.000 description 2
- 230000003993 interaction Effects 0.000 description 2
- 239000002184 metal Substances 0.000 description 2
- 229910052751 metal Inorganic materials 0.000 description 2
- 238000000926 separation method Methods 0.000 description 2
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 2
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 1
- YCKRFDGAMUMZLT-UHFFFAOYSA-N Fluorine atom Chemical compound [F] YCKRFDGAMUMZLT-UHFFFAOYSA-N 0.000 description 1
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 1
- 230000015572 biosynthetic process Effects 0.000 description 1
- 229910052799 carbon Inorganic materials 0.000 description 1
- 238000010276 construction Methods 0.000 description 1
- 238000012938 design process Methods 0.000 description 1
- 239000003989 dielectric material Substances 0.000 description 1
- 230000000694 effects Effects 0.000 description 1
- 238000005516 engineering process Methods 0.000 description 1
- 238000005429 filling process Methods 0.000 description 1
- 229910052731 fluorine Inorganic materials 0.000 description 1
- 239000011737 fluorine Substances 0.000 description 1
- 238000009413 insulation Methods 0.000 description 1
- 238000001459 lithography Methods 0.000 description 1
- 230000007787 long-term memory Effects 0.000 description 1
- 230000007246 mechanism Effects 0.000 description 1
- 229910052752 metalloid Inorganic materials 0.000 description 1
- 150000002738 metalloids Chemical class 0.000 description 1
- 230000000116 mitigating effect Effects 0.000 description 1
- 238000012986 modification Methods 0.000 description 1
- 230000004048 modification Effects 0.000 description 1
- 229910052757 nitrogen Inorganic materials 0.000 description 1
- 229910052760 oxygen Inorganic materials 0.000 description 1
- 239000001301 oxygen Substances 0.000 description 1
- 229920000642 polymer Polymers 0.000 description 1
- 239000002243 precursor Substances 0.000 description 1
- 229910052594 sapphire Inorganic materials 0.000 description 1
- 239000010980 sapphire Substances 0.000 description 1
- 230000006403 short-term memory Effects 0.000 description 1
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical compound [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 description 1
- 229910010271 silicon carbide Inorganic materials 0.000 description 1
- 239000000126 substance Substances 0.000 description 1
- 238000006467 substitution reaction Methods 0.000 description 1
- 238000012876 topography Methods 0.000 description 1
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/48—Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76898—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76829—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
- H01L21/76831—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/48—Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
- H01L23/481—Internal lead connections, e.g. via connections, feedthrough structures
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2924/00—Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
- H01L2924/0001—Technical content checked by a classifier
- H01L2924/0002—Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Manufacturing & Machinery (AREA)
- Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
Abstract
Description
本願は、2011年11月9日に出願されたRAMACHANDRANらの名義の米国仮出願第61/557,842号の利益を主張するものである。
110 フロントエンドオブライン(FEOL)相互接続層
112〜116 デバイス
120 層間誘電体(ILD)層
121〜128 導電線
130 低誘電率相互接続モジュール
134 分離層
136、138、140 バックエンドオブライン(BEOL)相互接続層
141、143、147〜149 ビア
142、144、146 導電線
150 シャロートレンチアイソレーション(STI)領域
160 研磨停止層
270 フォトレジスト層
272 TSV開口部
300 ICパッケージ
370 フォトレジスト層
372 ビア開口部
374 側壁
400 ICパッケージ
472 ビア開口部
474 側壁
500 ICパッケージ
520 保護誘電体層
574 低誘電率側壁
580 指向性エッチング
620 エッチングされた側壁保護スペーサ層
674 側壁
676 角部
700 ICパッケージ
704 寸法
706 最終的なエッチング直径
720 保護側壁スペーサ
774 低誘電率側壁表面
800 ICパッケージ
820 側壁保護スペーサ層
850 線状分離層
890 基板貫通ビア
1020、1030、1050 遠隔ユニット
1025A、1025C、1025B ICデバイス
1040 基地局
1090 逆方向リンク信号
Claims (22)
- 低誘電率誘電体相互接続層にエッチングによってビア開口部を形成するステップと、
前記ビア開口部内および前記低誘電率誘電体相互接続層上に保護層を堆積させるステップと、
前記ビア開口部の底部および前記低誘電率誘電体相互接続層の水平面から前記保護層の少なくとも一部をエッチングによって除去するステップであって、前記エッチングによって前記ビア開口部の側壁上に保護側壁スペーサを残すステップと、
前記ビア開口部の底部および半導体基板にエッチングによって基板貫通ビアを形成するステップと、
前記基板貫通ビアを充填するステップと
を含む方法。 - 前記低誘電率誘電体相互接続層は少なくとも1つの低誘電率金属間誘電体(IMD)層を備える、請求項1に記載の方法。
- 前記保護層は、非フッ素化シリカガラス(USG)、オルトケイ酸テトラエチル(TEOS)、酸化ケイ素、および有機膜からなる群から選択される材料から形成される、請求項1に記載の方法。
- 前記保護層の少なくとも前記一部をエッチングするステップは、指向性反応性イオンエッチング(RIE)を実行して前記低誘電率誘電体相互接続層の水平部分から前記保護層を除去するステップを含む、請求項1に記載の方法。
- 前記保護層を堆積させるステップは、前記低誘電率誘電体相互接続層の上面上に前記保護層を形成するステップを含む、請求項1に記載の方法。
- 有機保護層が前記基板貫通ビアの側壁を覆うように前記保護層をリフローさせるステップをさらに含む、請求項5に記載の方法。
- 前記基板貫通ビアを半導体ダイ内に組み込むステップと、
音楽プレーヤ、ビデオプレーヤ、娯楽ユニット、ナビゲーションデバイス、通信デバイス、携帯情報端末(PDA)、固定位置データユニット、およびコンピュータの少なくとも1つに、前記半導体ダイを組み込むステップと
をさらに含む、請求項1に記載の方法。 - 半導体基板と、
前記半導体基板上の低誘電率誘電体相互接続層と、
前記低誘電率誘電体相互接続層および前記半導体基板を貫通して延びる少なくとも1つの基板貫通ビアと、
前記少なくとも1つの基板貫通ビアと前記低誘電率誘電体相互接続層との間の保護スペーサと
を備える半導体ダイ。 - 前記低誘電率誘電体相互接続層は少なくとも1つの低誘電率金属間誘電体(IMD)層を備える、請求項8に記載の半導体ダイ。
- 前記保護スペーサは、非フッ素化シリカガラス(USG)、オルトケイ酸テトラエチル(TEOS)、酸化ケイ素、および有機膜からなる群から選択される材料で構成される、請求項8に記載の半導体ダイ。
- 前記保護スペーサは、前記低誘電率誘電体相互接続層の水平部分上に位置する、請求項8に記載の半導体ダイ。
- 前記半導体基板内のシャロートレンチアイソレーション(STI)領域と、
前記半導体基板の表面および前記STI領域上に位置し、上面上に前記低誘電率誘電体相互接続層が配設される層間誘電体(ILD)層と
をさらに備える、請求項8に記載の半導体ダイ。 - 音楽プレーヤ、ビデオプレーヤ、娯楽ユニット、ナビゲーションデバイス、通信デバイス、携帯情報端末(PDA)、固定位置データユニット、およびコンピュータの少なくとも1つに組み込まれる、請求項8に記載の半導体ダイ。
- 半導体基板と、
前記半導体基板上の低誘電率誘電体相互接続層と、
前記低誘電率誘電体相互接続層および前記半導体基板を貫通して延びる少なくとも1つの貫通ビアと、
前記少なくとも1つの貫通ビアと低誘電率誘電体相互接続層との間に配設された前記低誘電率誘電体相互接続層を保護するための手段と
を備える半導体ダイ。 - 前記低誘電率誘電体相互接続層は少なくとも1つの低誘電率金属間誘電体(IMD)層を備える、請求項14に記載の半導体ダイ。
- 前記低誘電率誘電体相互接続層を保護するための前記手段は、非フッ素化シリカガラス(USG)、オルトケイ酸テトラエチル(TEOS)、酸化ケイ素、および有機膜からなる群から選択される材料で構成される、請求項14に記載の半導体ダイ。
- 前記低誘電率誘電体相互接続層を保護するための前記手段は、前記低誘電率誘電体相互接続層の水平部分上に形成される、請求項14に記載の半導体ダイ。
- 前記半導体基板内に形成されたシャロートレンチアイソレーション(STI)領域と、
前記半導体基板の表面および前記STI領域上に形成され、上面上に前記低誘電率誘電体相互接続層が形成される層間誘電体(ILD)層と
をさらに備える、請求項14に記載の半導体ダイ。 - 音楽プレーヤ、ビデオプレーヤ、娯楽ユニット、ナビゲーションデバイス、通信デバイス、携帯情報端末(PDA)、固定位置データユニット、およびコンピュータの少なくとも1つに組み込まれる、請求項14に記載の半導体ダイ。
- 低誘電率誘電体相互接続層にエッチングによってビア開口部を形成するステップと、
前記ビア開口部内および前記低誘電率誘電体相互接続層上に保護層を堆積させるステップと、
前記ビア開口部の底部および前記低誘電率誘電体相互接続層の水平面から前記保護層をエッチングによって除去するステップであって、前記エッチングによって前記ビア開口部の側壁上に保護側壁スペーサを残すステップと、
前記保護側壁スペーサで覆われたビア開口部の底部および半導体基板にエッチングによって基板貫通ビアを形成するステップと、
前記基板貫通ビアを充填するステップと
を含む方法。 - 前記基板貫通ビアを半導体ダイ内に組み込むステップをさらに備える、請求項20に記載の方法。
- 音楽プレーヤ、ビデオプレーヤ、娯楽ユニット、ナビゲーションデバイス、通信デバイス、携帯情報端末(PDA)、固定位置データユニット、およびコンピュータの少なくとも1つに前記半導体ダイを組み込むステップをさらに含む、請求項21に記載の方法。
Applications Claiming Priority (5)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US201161557842P | 2011-11-09 | 2011-11-09 | |
US61/557,842 | 2011-11-09 | ||
US13/588,438 US9059263B2 (en) | 2011-11-09 | 2012-08-17 | Low-K dielectric protection spacer for patterning through substrate vias through a low-K wiring layer |
US13/588,438 | 2012-08-17 | ||
PCT/US2012/064531 WO2013071171A1 (en) | 2011-11-09 | 2012-11-09 | Low-k dielectric protection spacer for patterning through substrate vias through a low-k wiring layer |
Publications (2)
Publication Number | Publication Date |
---|---|
JP2014533000A true JP2014533000A (ja) | 2014-12-08 |
JP6068492B2 JP6068492B2 (ja) | 2017-01-25 |
Family
ID=48223131
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2014541352A Active JP6068492B2 (ja) | 2011-11-09 | 2012-11-09 | 低誘電率配線層に基板貫通ビアのパターンを形成するための低誘電率誘電体保護スペーサ |
Country Status (7)
Country | Link |
---|---|
US (1) | US9059263B2 (ja) |
EP (1) | EP2777071B1 (ja) |
JP (1) | JP6068492B2 (ja) |
KR (1) | KR101610323B1 (ja) |
CN (1) | CN103918068B (ja) |
IN (1) | IN2014CN03081A (ja) |
WO (1) | WO2013071171A1 (ja) |
Families Citing this family (19)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US9245790B2 (en) * | 2013-01-23 | 2016-01-26 | GlobalFoundries, Inc. | Integrated circuits and methods of forming the same with multiple embedded interconnect connection to same through-semiconductor via |
US10170396B2 (en) * | 2014-02-14 | 2019-01-01 | Taiwan Semiconductor Manufacturing Company, Ltd. | Through via structure extending to metallization layer |
US9343403B2 (en) | 2014-04-04 | 2016-05-17 | Qualcomm Incorporated | Stress mitigation structure for wafer warpage reduction |
CN105225976A (zh) * | 2014-06-25 | 2016-01-06 | 中芯国际集成电路制造(上海)有限公司 | 焊盘的制作方法及半导体器件 |
US9620454B2 (en) | 2014-09-12 | 2017-04-11 | Qualcomm Incorporated | Middle-of-line (MOL) manufactured integrated circuits (ICs) employing local interconnects of metal lines using an elongated via, and related methods |
KR102652854B1 (ko) * | 2016-08-17 | 2024-04-02 | 삼성전자주식회사 | 반도체 소자 및 그 제조 방법 |
US10269559B2 (en) * | 2017-09-13 | 2019-04-23 | Lam Research Corporation | Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer |
US10535636B2 (en) * | 2017-11-15 | 2020-01-14 | Taiwan Semiconductor Manufacturing Company, Ltd. | Integrating passive devices in package structures |
US10734234B2 (en) * | 2017-12-18 | 2020-08-04 | International Business Machines Corporation | Metal cut patterning and etching to minimize interlayer dielectric layer loss |
US10734278B2 (en) * | 2018-06-15 | 2020-08-04 | Tokyo Electron Limited | Method of protecting low-K layers |
US10784143B2 (en) | 2019-01-31 | 2020-09-22 | Globalfoundries Inc. | Trench isolation preservation during transistor fabrication |
US10832950B2 (en) | 2019-02-07 | 2020-11-10 | International Business Machines Corporation | Interconnect with high quality ultra-low-k dielectric |
US11532579B2 (en) * | 2020-07-13 | 2022-12-20 | Taiwan Semiconductor Manufacturing Co., Ltd. | Passivation structure with increased thickness for metal pads |
KR20220010852A (ko) | 2020-07-20 | 2022-01-27 | 삼성전자주식회사 | 반도체 장치 및 반도체 장치의 제조 방법 |
US11715704B2 (en) * | 2021-04-14 | 2023-08-01 | Micron Technology, Inc. | Scribe structure for memory device |
US11769736B2 (en) | 2021-04-14 | 2023-09-26 | Micron Technology, Inc. | Scribe structure for memory device |
US11600578B2 (en) | 2021-04-22 | 2023-03-07 | Micron Technology, Inc. | Scribe structure for memory device |
US20230061392A1 (en) * | 2021-09-02 | 2023-03-02 | Applied Materials, Inc. | Method of ultra thinning of wafer |
CN115000005A (zh) * | 2022-05-27 | 2022-09-02 | 华进半导体封装先导技术研发中心有限公司 | 一种保护low-k介质的有源芯片硅通孔制作方法 |
Citations (8)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2004228320A (ja) * | 2003-01-22 | 2004-08-12 | Toshiba Corp | 半導体装置 |
JP2004356617A (ja) * | 2003-05-06 | 2004-12-16 | Seiko Epson Corp | 半導体装置の製造方法、半導体装置、半導体デバイス、電子機器 |
JP2005243993A (ja) * | 2004-02-27 | 2005-09-08 | Fuji Electric Holdings Co Ltd | 半導体装置の製造方法 |
US20070029611A1 (en) * | 2005-08-02 | 2007-02-08 | Texas Instruments Incorporated | Integrated circuit having a top side wafer contact and a method of manufacture therefor |
US20070045858A1 (en) * | 2005-09-01 | 2007-03-01 | Micron Technology, Inc. | Microfeature workpieces and methods for forming interconnects in microfeature workpieces |
US20080150089A1 (en) * | 2006-11-06 | 2008-06-26 | Yong-Chai Kwon | Semiconductor device having through vias and method of manufacturing the same |
JP2011119432A (ja) * | 2009-12-03 | 2011-06-16 | Seiko Epson Corp | 半導体装置および半導体装置の製造方法 |
US20110260297A1 (en) * | 2010-04-27 | 2011-10-27 | Shian-Jyh Lin | Through-substrate via and fabrication method thereof |
Family Cites Families (13)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6509267B1 (en) * | 2001-06-20 | 2003-01-21 | Advanced Micro Devices, Inc. | Method of forming low resistance barrier on low k interconnect with electrolessly plated copper seed layer |
US7081407B2 (en) | 2003-12-16 | 2006-07-25 | Lam Research Corporation | Method of preventing damage to porous low-k materials during resist stripping |
US7169698B2 (en) | 2004-01-14 | 2007-01-30 | International Business Machines Corporation | Sacrificial inorganic polymer intermetal dielectric damascene wire and via liner |
US7205226B1 (en) | 2005-02-24 | 2007-04-17 | Lam Research Corporation | Sacrificial layer for protection during trench etch |
US7812459B2 (en) * | 2006-12-19 | 2010-10-12 | Taiwan Semiconductor Manufacturing Company, Ltd. | Three-dimensional integrated circuits with protection layers |
JP5282419B2 (ja) | 2007-04-18 | 2013-09-04 | ソニー株式会社 | 半導体装置及びその製造方法 |
US7781332B2 (en) | 2007-09-19 | 2010-08-24 | International Business Machines Corporation | Methods to mitigate plasma damage in organosilicate dielectrics using a protective sidewall spacer |
US20090087992A1 (en) | 2007-09-28 | 2009-04-02 | Chartered Semiconductor Manufacturing Ltd. | Method of minimizing via sidewall damages during dual damascene trench reactive ion etching in a via first scheme |
US7968460B2 (en) | 2008-06-19 | 2011-06-28 | Micron Technology, Inc. | Semiconductor with through-substrate interconnect |
US7923369B2 (en) | 2008-11-25 | 2011-04-12 | Freescale Semiconductor, Inc. | Through-via and method of forming |
US8501587B2 (en) | 2009-01-13 | 2013-08-06 | Taiwan Semiconductor Manufacturing Company, Ltd. | Stacked integrated chips and methods of fabrication thereof |
KR20120030782A (ko) * | 2010-09-20 | 2012-03-29 | 삼성전자주식회사 | 저유전 물질을 이용한 쓰루 실리콘 비아(tsv) 형성방법 |
US8803322B2 (en) * | 2011-10-13 | 2014-08-12 | Taiwan Semiconductor Manufacturing Company, Ltd. | Through substrate via structures and methods of forming the same |
-
2012
- 2012-08-17 US US13/588,438 patent/US9059263B2/en active Active
- 2012-11-09 EP EP12805811.2A patent/EP2777071B1/en active Active
- 2012-11-09 IN IN3081CHN2014 patent/IN2014CN03081A/en unknown
- 2012-11-09 JP JP2014541352A patent/JP6068492B2/ja active Active
- 2012-11-09 WO PCT/US2012/064531 patent/WO2013071171A1/en active Application Filing
- 2012-11-09 KR KR1020147015558A patent/KR101610323B1/ko active IP Right Grant
- 2012-11-09 CN CN201280054946.5A patent/CN103918068B/zh active Active
Patent Citations (10)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2004228320A (ja) * | 2003-01-22 | 2004-08-12 | Toshiba Corp | 半導体装置 |
US20040262767A1 (en) * | 2003-01-22 | 2004-12-30 | Kabushiki Kaisha Toshiba | Semiconductor device |
JP2004356617A (ja) * | 2003-05-06 | 2004-12-16 | Seiko Epson Corp | 半導体装置の製造方法、半導体装置、半導体デバイス、電子機器 |
US20050001326A1 (en) * | 2003-05-06 | 2005-01-06 | Seiko Epson Corporation | Semiconductor device, stacked semiconductor device, methods of manufacturing the same, circuit board, and electronic instrument |
JP2005243993A (ja) * | 2004-02-27 | 2005-09-08 | Fuji Electric Holdings Co Ltd | 半導体装置の製造方法 |
US20070029611A1 (en) * | 2005-08-02 | 2007-02-08 | Texas Instruments Incorporated | Integrated circuit having a top side wafer contact and a method of manufacture therefor |
US20070045858A1 (en) * | 2005-09-01 | 2007-03-01 | Micron Technology, Inc. | Microfeature workpieces and methods for forming interconnects in microfeature workpieces |
US20080150089A1 (en) * | 2006-11-06 | 2008-06-26 | Yong-Chai Kwon | Semiconductor device having through vias and method of manufacturing the same |
JP2011119432A (ja) * | 2009-12-03 | 2011-06-16 | Seiko Epson Corp | 半導体装置および半導体装置の製造方法 |
US20110260297A1 (en) * | 2010-04-27 | 2011-10-27 | Shian-Jyh Lin | Through-substrate via and fabrication method thereof |
Also Published As
Publication number | Publication date |
---|---|
JP6068492B2 (ja) | 2017-01-25 |
CN103918068B (zh) | 2016-11-09 |
EP2777071B1 (en) | 2017-01-04 |
IN2014CN03081A (ja) | 2015-07-03 |
US9059263B2 (en) | 2015-06-16 |
US20130113068A1 (en) | 2013-05-09 |
KR20140093980A (ko) | 2014-07-29 |
WO2013071171A1 (en) | 2013-05-16 |
KR101610323B1 (ko) | 2016-04-08 |
EP2777071A1 (en) | 2014-09-17 |
CN103918068A (zh) | 2014-07-09 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
JP6068492B2 (ja) | 低誘電率配線層に基板貫通ビアのパターンを形成するための低誘電率誘電体保護スペーサ | |
JP5706055B2 (ja) | Tsvの歪緩和のための構造および方法 | |
US8975729B2 (en) | Integrating through substrate vias into middle-of-line layers of integrated circuits | |
US7871923B2 (en) | Self-aligned air-gap in interconnect structures | |
JP4347637B2 (ja) | トレンチ側壁のバッファー層を使用して半導体装置用金属配線を形成する方法及びそれにより製造された装置 | |
US7790601B1 (en) | Forming interconnects with air gaps | |
TWI668728B (zh) | 用作互連之虛擬閘極及其製法 | |
US8722527B2 (en) | Integrated circuit manufacturing method and integrated circuit | |
CN108231659B (zh) | 互连结构及其制造方法 | |
KR20070033175A (ko) | 반도체 장치의 금속 배선 형성 방법 | |
KR100776141B1 (ko) | 반도체 장치의 금속 배선 형성 방법 | |
TW202244995A (zh) | 製造半導體元件之方法 | |
KR20030059467A (ko) | 반도체 소자의 제조방법 | |
CN104979270A (zh) | 互连结构的形成方法 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A977 | Report on retrieval |
Free format text: JAPANESE INTERMEDIATE CODE: A971007 Effective date: 20150513 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20150629 |
|
A601 | Written request for extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A601 Effective date: 20150929 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20151222 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20160620 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20160920 |
|
TRDD | Decision of grant or rejection written | ||
A01 | Written decision to grant a patent or to grant a registration (utility model) |
Free format text: JAPANESE INTERMEDIATE CODE: A01 Effective date: 20161128 |
|
A61 | First payment of annual fees (during grant procedure) |
Free format text: JAPANESE INTERMEDIATE CODE: A61 Effective date: 20161222 |
|
R150 | Certificate of patent or registration of utility model |
Ref document number: 6068492 Country of ref document: JP Free format text: JAPANESE INTERMEDIATE CODE: R150 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |