IN2014CN03081A - - Google Patents

Download PDF

Info

Publication number
IN2014CN03081A
IN2014CN03081A IN3081CHN2014A IN2014CN03081A IN 2014CN03081 A IN2014CN03081 A IN 2014CN03081A IN 3081CHN2014 A IN3081CHN2014 A IN 3081CHN2014A IN 2014CN03081 A IN2014CN03081 A IN 2014CN03081A
Authority
IN
India
Prior art keywords
low
via opening
value dielectric
value
substrate
Prior art date
Application number
Inventor
Vidhya Ramachandra
Shiqun Gu
Original Assignee
Qualcomm Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Qualcomm Inc filed Critical Qualcomm Inc
Publication of IN2014CN03081A publication Critical patent/IN2014CN03081A/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

A low K value dielectric protection spacer for patterning through substrate vias (TSVs) through a low K value wiring layer (130). A method for forming a low K value dielectric protection spacer (820) includes etching a via opening through a low K value dielectric interconnect layer (130). A protective layer is deposited in the via opening and on the low K value dielectric interconnect layer. At least a portion of the protective layer is etched from the bottom of the via opening and from a horizontal surface of the low K value dielectric interconnect layer. The etching leaving a protective sidewall spacer (820) on a sidewall of the via opening. A through substrate via is etched through the bottom of the via opening and through the semiconductor substrate. The through substrate via is filled with a conductive material (890).
IN3081CHN2014 2011-11-09 2012-11-09 IN2014CN03081A (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201161557842P 2011-11-09 2011-11-09
US13/588,438 US9059263B2 (en) 2011-11-09 2012-08-17 Low-K dielectric protection spacer for patterning through substrate vias through a low-K wiring layer
PCT/US2012/064531 WO2013071171A1 (en) 2011-11-09 2012-11-09 Low-k dielectric protection spacer for patterning through substrate vias through a low-k wiring layer

Publications (1)

Publication Number Publication Date
IN2014CN03081A true IN2014CN03081A (en) 2015-07-03

Family

ID=48223131

Family Applications (1)

Application Number Title Priority Date Filing Date
IN3081CHN2014 IN2014CN03081A (en) 2011-11-09 2012-11-09

Country Status (7)

Country Link
US (1) US9059263B2 (en)
EP (1) EP2777071B1 (en)
JP (1) JP6068492B2 (en)
KR (1) KR101610323B1 (en)
CN (1) CN103918068B (en)
IN (1) IN2014CN03081A (en)
WO (1) WO2013071171A1 (en)

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9245790B2 (en) * 2013-01-23 2016-01-26 GlobalFoundries, Inc. Integrated circuits and methods of forming the same with multiple embedded interconnect connection to same through-semiconductor via
US10170396B2 (en) * 2014-02-14 2019-01-01 Taiwan Semiconductor Manufacturing Company, Ltd. Through via structure extending to metallization layer
US9343403B2 (en) 2014-04-04 2016-05-17 Qualcomm Incorporated Stress mitigation structure for wafer warpage reduction
CN105225976A (en) * 2014-06-25 2016-01-06 中芯国际集成电路制造(上海)有限公司 The manufacture method of pad and semiconductor device
US9620454B2 (en) 2014-09-12 2017-04-11 Qualcomm Incorporated Middle-of-line (MOL) manufactured integrated circuits (ICs) employing local interconnects of metal lines using an elongated via, and related methods
KR102652854B1 (en) * 2016-08-17 2024-04-02 삼성전자주식회사 Semiconductor device and method for fabricating the same
US10269559B2 (en) * 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10535636B2 (en) * 2017-11-15 2020-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Integrating passive devices in package structures
US10734234B2 (en) 2017-12-18 2020-08-04 International Business Machines Corporation Metal cut patterning and etching to minimize interlayer dielectric layer loss
US10734278B2 (en) * 2018-06-15 2020-08-04 Tokyo Electron Limited Method of protecting low-K layers
US10784143B2 (en) 2019-01-31 2020-09-22 Globalfoundries Inc. Trench isolation preservation during transistor fabrication
US10832950B2 (en) 2019-02-07 2020-11-10 International Business Machines Corporation Interconnect with high quality ultra-low-k dielectric
US11532579B2 (en) 2020-07-13 2022-12-20 Taiwan Semiconductor Manufacturing Co., Ltd. Passivation structure with increased thickness for metal pads
KR20220010852A (en) 2020-07-20 2022-01-27 삼성전자주식회사 Semiconductor device and method of manufacturing the semiconductor device
US11769736B2 (en) 2021-04-14 2023-09-26 Micron Technology, Inc. Scribe structure for memory device
US11715704B2 (en) * 2021-04-14 2023-08-01 Micron Technology, Inc. Scribe structure for memory device
US11600578B2 (en) 2021-04-22 2023-03-07 Micron Technology, Inc. Scribe structure for memory device
US20230064183A1 (en) * 2021-09-02 2023-03-02 Applied Materials, Inc. Self-aligned wide backside power rail contacts to multiple transistor sources
CN115000005A (en) * 2022-05-27 2022-09-02 华进半导体封装先导技术研发中心有限公司 Manufacturing method of active chip silicon through hole for protecting low-k medium

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6509267B1 (en) * 2001-06-20 2003-01-21 Advanced Micro Devices, Inc. Method of forming low resistance barrier on low k interconnect with electrolessly plated copper seed layer
JP3696208B2 (en) * 2003-01-22 2005-09-14 株式会社東芝 Semiconductor device
JP3891299B2 (en) * 2003-05-06 2007-03-14 セイコーエプソン株式会社 Semiconductor device manufacturing method, semiconductor device, semiconductor device, electronic device
US7081407B2 (en) 2003-12-16 2006-07-25 Lam Research Corporation Method of preventing damage to porous low-k materials during resist stripping
US7169698B2 (en) 2004-01-14 2007-01-30 International Business Machines Corporation Sacrificial inorganic polymer intermetal dielectric damascene wire and via liner
JP2005243993A (en) * 2004-02-27 2005-09-08 Fuji Electric Holdings Co Ltd Manufacturing method of semiconductor device
US7205226B1 (en) 2005-02-24 2007-04-17 Lam Research Corporation Sacrificial layer for protection during trench etch
US7345343B2 (en) 2005-08-02 2008-03-18 Texas Instruments Incorporated Integrated circuit having a top side wafer contact and a method of manufacture therefor
US7262134B2 (en) * 2005-09-01 2007-08-28 Micron Technology, Inc. Microfeature workpieces and methods for forming interconnects in microfeature workpieces
KR100830581B1 (en) 2006-11-06 2008-05-22 삼성전자주식회사 Semiconductor device having through via and method for manufacturing the same
US7812459B2 (en) * 2006-12-19 2010-10-12 Taiwan Semiconductor Manufacturing Company, Ltd. Three-dimensional integrated circuits with protection layers
JP5282419B2 (en) 2007-04-18 2013-09-04 ソニー株式会社 Semiconductor device and manufacturing method thereof
US7781332B2 (en) 2007-09-19 2010-08-24 International Business Machines Corporation Methods to mitigate plasma damage in organosilicate dielectrics using a protective sidewall spacer
US20090087992A1 (en) 2007-09-28 2009-04-02 Chartered Semiconductor Manufacturing Ltd. Method of minimizing via sidewall damages during dual damascene trench reactive ion etching in a via first scheme
US7968460B2 (en) 2008-06-19 2011-06-28 Micron Technology, Inc. Semiconductor with through-substrate interconnect
US7923369B2 (en) 2008-11-25 2011-04-12 Freescale Semiconductor, Inc. Through-via and method of forming
US8501587B2 (en) 2009-01-13 2013-08-06 Taiwan Semiconductor Manufacturing Company, Ltd. Stacked integrated chips and methods of fabrication thereof
JP2011119432A (en) * 2009-12-03 2011-06-16 Seiko Epson Corp Semiconductor device and method of manufacturing semiconductor device
US20110260297A1 (en) * 2010-04-27 2011-10-27 Shian-Jyh Lin Through-substrate via and fabrication method thereof
KR20120030782A (en) * 2010-09-20 2012-03-29 삼성전자주식회사 Method of forming through silicon via using low-k material
US8803322B2 (en) * 2011-10-13 2014-08-12 Taiwan Semiconductor Manufacturing Company, Ltd. Through substrate via structures and methods of forming the same

Also Published As

Publication number Publication date
CN103918068A (en) 2014-07-09
CN103918068B (en) 2016-11-09
EP2777071B1 (en) 2017-01-04
US20130113068A1 (en) 2013-05-09
US9059263B2 (en) 2015-06-16
JP6068492B2 (en) 2017-01-25
JP2014533000A (en) 2014-12-08
KR101610323B1 (en) 2016-04-08
KR20140093980A (en) 2014-07-29
EP2777071A1 (en) 2014-09-17
WO2013071171A1 (en) 2013-05-16

Similar Documents

Publication Publication Date Title
IN2014CN03081A (en)
WO2016209668A3 (en) Structures and methods for reliable packages
TW201130099A (en) Semiconductor component and fabrication method thereof
WO2010145907A3 (en) Methods and systems for fabrication of mems cmos devices
WO2012048137A3 (en) Flexible circuits and methods for making the same
WO2010111601A3 (en) Methods of forming printable integrated circuit devices and devices formed thereby
GB2522825A (en) Cobalt based interconnects and methods of fabrication thereof
WO2012087613A3 (en) Fabrication of through-silicon vias on silicon wafers
WO2011156787A3 (en) Pillar structure for memory device and method
TW201613053A (en) Dual side solder resist layers for coreless packages and packages with an embedded interconnect bridge and their methods of fabrication
SG10201900070UA (en) Semiconductor device and method of forming double-sidedfan-out wafer level package
SG169948A1 (en) Reliable interconnect for semiconductor device
TW200733279A (en) Methods for fabricating and filling conductive vias and conductive vias so formed
TW201614769A (en) Selective formation of dielectric barriers for metal interconnects in semiconductor devices
WO2009108311A3 (en) Isolated transistors and diodes and isolation and termination structures for semiconductor die
SG192320A1 (en) Semiconductor devices with copper interconnects and methods for fabricating same
SG159451A1 (en) Reliable interconnects
SG10201403206VA (en) Semiconductor device and method of forming low profile 3d fan-out package
WO2011149616A3 (en) Planarizing etch hardmask to increase pattern density and aspect ratio
SG171522A1 (en) Semiconductor device and method of forming compliant stress relief buffer around large array wlcsp
GB2505576A (en) Optimized annular copper TSV
GB2517854A (en) Shallow trench isolation structures
GB2523500A (en) Landing structure for through-silicon via
TW200634916A (en) Semiconductor device and method for forming dummy vias
WO2008111199A1 (en) Semiconductor device, and its manufacturing method