JP2013539915A - 改善された信頼性のためにフローティング導電性プレートを備える3dビア・コンデンサ - Google Patents

改善された信頼性のためにフローティング導電性プレートを備える3dビア・コンデンサ Download PDF

Info

Publication number
JP2013539915A
JP2013539915A JP2013532088A JP2013532088A JP2013539915A JP 2013539915 A JP2013539915 A JP 2013539915A JP 2013532088 A JP2013532088 A JP 2013532088A JP 2013532088 A JP2013532088 A JP 2013532088A JP 2013539915 A JP2013539915 A JP 2013539915A
Authority
JP
Japan
Prior art keywords
layer
electrode
capacitor
dielectric
conductive
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2013532088A
Other languages
English (en)
Other versions
JP5754825B2 (ja
Inventor
チェン、フェン
ヤン、チ−チャオ
リー、バオツェン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JP2013539915A publication Critical patent/JP2013539915A/ja
Application granted granted Critical
Publication of JP5754825B2 publication Critical patent/JP5754825B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5222Capacitive arrangements or effects of, or between wiring layers
    • H01L23/5223Capacitor integral with wiring layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0657Stacked arrangements of devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/60Electrodes
    • H01L28/82Electrodes with an enlarged surface, e.g. formed by texturisation
    • H01L28/90Electrodes with an enlarged surface, e.g. formed by texturisation having vertical extensions
    • H01L28/91Electrodes with an enlarged surface, e.g. formed by texturisation having vertical extensions made by depositing layers, e.g. by depositing alternating conductive and insulating layers
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N97/00Electric solid-state thin-film or thick-film devices, not otherwise provided for
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76805Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics the opening being a via or contact hole penetrating the underlying conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06513Bump or bump-like direct electrical connections between devices, e.g. flip-chip connection, solder bumps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06541Conductive via connections through the device, e.g. vertical interconnects, through silicon via [TSV]
    • H01L2225/06544Design considerations for via connections, e.g. geometry or layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06555Geometry of the stack, e.g. form of the devices, geometry to facilitate stacking
    • H01L2225/06565Geometry of the stack, e.g. form of the devices, geometry to facilitate stacking the devices having the same size and there being no auxiliary carrier between the devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Production Of Multi-Layered Print Wiring Board (AREA)
  • Semiconductor Integrated Circuits (AREA)

Abstract

【課題】本発明は、3Dビア・コンデンサおよびそれを形成する方法を提供する。
【解決手段】コンデンサは、基板の上に絶縁層を含む。絶縁層は、側壁および底部を有するビアを有する。第1の電極が、ビアの側壁と、底部の少なくとも一部とに重なる。第1のhigh−k誘電体材料層が、第1の電極に重なる。第1の導電性プレートが、第1のhigh−k誘電体材料層上にある。第2のhigh−k誘電体材料層が、第1の導電性プレートに重なり、ビアの残りの部分は未充填のままにする。第2の電極が、ビアの残りの部分に形成される。第1の導電性プレートは、第1の電極に対して実質的に平行であり、第1および第2の電極に接触していない。上記の3Dビア・コンデンサの配列も提供される。
【選択図】図14

Description

本発明は、一般的に、半導体デバイスに関し、特に、フローティング導電性プレート(floating conductive plate)を備える3次元(3D:three−dimensional)ビア・コンデンサと、半導体メモリ・デバイスおよび同様のものなどの半導体デバイスで用いる、上記3Dビア・コンデンサの配列とに関する。
コンデンサは、半導体メモリ・デバイスなどの半導体デバイスの基本的な電気素子である。一般に、半導体メモリ・デバイスは、大量の情報を格納するために使用される複数のメモリ・セルを含む。各メモリ・セルは、電荷を格納するコンデンサと、コンデンサの充電および放電経路を開閉するための対応する電界効果トランジスタとを含む。半導体デバイスのサイズの縮小は続くため、半導体デバイスの各素子により占有される面積を減少させることが要求される。コンデンサは、ダイ上のコンデンサのサイズもしくはコンデンサの数またはその両方によっては、半導体ダイ上のかなりの面積を占有し得る素子の1つである。
コンデンサの一例は、金属−絶縁体−金属(MIM:metal−insulator−metal)コンデンサである。典型的にはMIMコンデンサは、基板の上に第1の金属プレートを形成し、第1の金属プレート上に誘電体材料の層を形成し、続いて誘電体材料の層上に第2の金属プレートを形成することによって作製される。従来のMIMコンデンサは2次元(2D:two−dimensional)であり、すなわち、対向する2つの金属プレートは、平らであり、互いに対しても基板に対しても実質的に平行である。MIMコンデンサの静電容量は、対向する2つの金属プレートの表面積と、誘電体材料の誘電率および2つのプレート間の間隔などのほかのパラメータとの関数である。したがって、MIMコンデンサの静電容量を増加させる主な手段の1つは、金属プレートのサイズを大きくすることである。しかし、これにより基板の表面積がより多く消費される。したがって、コンデンサの静電容量を犠牲にすることなく、コンデンサにより占有される基板上の表面積を小さくする必要がある。
さらに、電力増幅器および微小電子機械システム(MEMS:microelectromechanical system)の減結合コンデンサなど、一部の用途では、高電圧での動作がコンデンサには要求される。従来のコンデンサの信頼性は、高動作電圧のもとでは大きく低下する。したがって、信頼性が改善されたコンデンサを製作する必要性もある。
本発明は、上記の従来のMIMコンデンサよりも小さな基板空間要件と、改善された信頼性とを有する3次元(3D:three−dimensional)ビア・コンデンサを提供する。本発明は、そのようなコンデンサを形成する方法も提供する。
一実施形態によれば、本発明は、基板の上の絶縁層であって、側壁および底部を有するビアを含む、絶縁層と、ビアの側壁と、底部の少なくとも一部とに重なる第1の電極と、第1の電極に重なる第1のhigh−k誘電体材料層(high−k dielectric material layer)と、第1のhigh−k誘電体材料層上の第1の導電性プレートと、第1の導電性プレートに重なり、ビアの残りの部分は未充填のままにするよう形成された第2のhigh−k誘電体材料層と、ビアの残りの部分に形成された第2の電極であって、第1の導電性プレートは、第1の電極に対して実質的に平行であり、第1および第2の電極に接触していない、第2の電極とを含むコンデンサを提供する。
別の実施形態によれば、本発明は、第1のコンデンサを有する第1のチップの上に結合され、第2のコンデンサを有する第2のチップを含む、コンデンサの配列を提供する。第1および第2のコンデンサは、実質的に同じ構造を有する。第1および第2のコンデンサはそれぞれ、基板の上の絶縁層であって、側壁および底部を有するビアを含む、絶縁層と、ビアの側壁と、底部の少なくとも一部とに重なる第1の電極と、第1の電極に重なる第1のhigh−k誘電体材料層と、第1のhigh−k誘電体材料層上の第1の導電性プレートと、第1の導電性プレートに重なり、ビアの残りの部分は未充填のままにするよう形成された第2のhigh−k誘電体材料層と、ビアの残りの部分に形成された第2の電極であって、第1の導電性プレートは、第1の電極に対して実質的に平行であり、第1および第2の電極に接触していない、第2の電極と、基板と、絶縁層との間の下部相互接続レベル(lower interconnect level)であって、中に埋め込まれた第1の導電性機構(conductive feature)を有する第1の誘電体層を含む、下部相互接続レベルと、絶縁層の上方にある上部相互接続レベル(upper interconnect level)であって、中に埋め込まれた第2の導電性機構を有する第2の誘電体層を含む、上部相互接続レベルであって、第1の電極は、第2の導電性機構に接触し、第2の電極は、第1の導電性機構に接触している、上部相互接続レベルとを含む。第2のコンデンサの第1の導電性機構は、第1のコンデンサの第2の導電性機構に接触し、第2のコンデンサの第2の導電性機構は、導体により第1のコンデンサの第1の導電性機構に接続されている。
さらに別の実施形態によれば、本発明は、コンデンサを形成する方法であって、中に埋め込まれた第1の導電性機構を有する第1の誘電体層を含む下部相互接続レベルと、下部相互接続レベルの上の第1の誘電被覆層(dielectric capping layer)と、第1の誘電被覆層の上の絶縁層と、絶縁層の上にあり上面を有する、パターニングされたハードマスク層とを有する基板を含む初期構造を準備するステップであって、絶縁層は、第1の誘電被覆層の一部まで延在するビアを有し、ビアは、側壁および底部を有する、ステップと、ビアの側壁および底部ならびにハードマスク層の上面上に第1の電極層を形成するステップと、第1の電極層上に第1のhigh−k誘電体材料層を形成するステップと、第1のhigh−k誘電体材料層上に第1の導電性プレート層(conductive plate layer)を形成するステップと、第1の導電性プレート層の一部、第1のhigh−k誘電体材料層の一部、第1の電極層の一部、第1の誘電被覆層の一部および第1の導電性機構の一部を除去することによって、ビアの底部にビア・ガウジング(via gouging)を形成するステップであって、ビア・ガウジングは、側壁および底部を有し、第1の導電性機構の一部まで延在する、ステップと、第1の導電性プレート層上ならびにビア・ガウジングの側壁および底部上に、第2のhigh−k誘電体材料層を形成するステップと、ビア・ガウジングの底部と、側壁の下部とにある第2のhigh−k誘電体材料層を選択的に除去するステップと、ビアおよびビア・ガウジングを第2の電極材料で充填するステップと、ビアの上端に凹部を形成するために、第2の電極材料を一部除去するステップと、凹部内に第2の誘電被覆層を形成するステップと、中に埋め込まれた第2の導電性機構を有する第2の誘電体層を含む上部相互接続レベルを、絶縁層上に形成するステップであって、第2の導電性機構は、第1の導電性プレート層に接触する、ステップとを含む方法を提供する。
添付の図面は、本発明のさらなる理解をもたらすために含まれているものであり、本明細書に組み込まれてその一部を構成する。図面は、本発明の実施形態を示し、本記載とともに本発明の原理を説明するのに役立つ。
本発明の実施形態による、ある処理段階の、フローティング導電性プレートを備える3Dビア・コンデンサの断面図である。 本発明の実施形態による、ある処理段階の、フローティング導電性プレートを備える3Dビア・コンデンサの断面図である。 本発明の実施形態による、ある処理段階の、フローティング導電性プレートを備える3Dビア・コンデンサの断面図である。 本発明の実施形態による、ある処理段階の、フローティング導電性プレートを備える3Dビア・コンデンサの断面図である。 本発明の実施形態による、ある処理段階の、フローティング導電性プレートを備える3Dビア・コンデンサの断面図である。 本発明の実施形態による、ある処理段階の、フローティング導電性プレートを備える3Dビア・コンデンサの断面図である。 本発明の実施形態による、ある処理段階の、フローティング導電性プレートを備える3Dビア・コンデンサの断面図である。 本発明の実施形態による、ある処理段階の、フローティング導電性プレートを備える3Dビア・コンデンサの断面図である。 本発明の実施形態による、ある処理段階の、フローティング導電性プレートを備える3Dビア・コンデンサの断面図である。 本発明の実施形態による、ある処理段階の、フローティング導電性プレートを備える3Dビア・コンデンサの断面図である。 本発明の実施形態による、ある処理段階の、フローティング導電性プレートを備える3Dビア・コンデンサの断面図である。 本発明の実施形態による、ある処理段階の、フローティング導電性プレートを備える3Dビア・コンデンサの断面図である。 本発明の実施形態による、ある処理段階の、フローティング導電性プレートを備える3Dビア・コンデンサの断面図である。 本発明の実施形態による、ある処理段階の、フローティング導電性プレートを備える3Dビア・コンデンサの断面図である。 本発明の実施形態による、フローティング導電性プレートを備える2つの3Dビア・コンデンサの配列の断面図である。 本発明の実施形態による、フローティング導電性プレートを備える3つの3Dビア・コンデンサの配列の断面図である。
当然のことながら、説明を簡潔かつ明瞭にするために、図面に示されている構成要素は必ずしも一定の縮尺で描かれてはいない。例えば、明瞭にするために、一部の構成要素の寸法がほかの構成要素に比べて拡大されていることもある。
以下、本発明について、本発明の好適な実施形態が示されている添付の図面を参照しながら、ここでさらに詳しく説明する。なお、本発明は、異なる多数の形態で具現化でき、本願明細書に記載の、説明される実施形態に限定されると解釈されてはならない。むしろこれらの実施形態は、本開示が、完全かつ完結したものとなり、本発明の範囲を十分に当業者に伝えるように提供される。同じ数字は、全体にわたって同じ特徴を指す。
当然のことながら、層などの構成要素が、別の構成要素「の上」にあるまたは別の構成要素「上」にあるという場合、その構成要素は、別の構成要素の上に直接あってもよく、介在する構成要素がさらに存在してもよい。対照的に、構成要素が別の構成要素「の上に直接」あるまたは別の構成要素「上に直接」あるという場合、介在する構成要素は存在しない。
本発明は、2つの電極間に浮遊する少なくとも1つのフローティング導電性プレートを有する3次元(3D)ビア・コンデンサを提供する。「3D」という用語は、ビア・コンデンサの2つの電極の少なくとも一部分が、コンデンサが構築される基板に対して実質的に垂直であることを指す。この垂直構造は、従来のMIMコンデンサよりも小さい基板空間要件につながる。この少なくとも1つのフローティング導電性プレートは、2つの電極のうちの少なくとも1つに対して実質的に平行である。少なくとも1つのフローティング導電性プレートが存在することにより、本発明のコンデンサは、上記の従来のMIMコンデンサよりも改善された信頼性を有する。本発明は、さらに信頼性が改善された、上記の3Dビア・コンデンサの配列も提供する。
一実施形態において、本発明のコンデンサは、基板の上の絶縁層であって、側壁および底部を有するビアを含む、絶縁層と、ビアの側壁と、底部の少なくとも一部とに重なる第1の電極と、第1の電極に重なり、ビアの残りの部分は未充填のままにするよう形成されたhigh−k誘電体材料層と、ビアの残りの部分に形成された第2の電極と、high−k誘電体材料層内に埋め込まれた第1の導電性プレートとを含む。第1の導電性プレートは、第1の電極に対して実質的に平行であり、第1および第2の電極に接触しない。
図1を参照する。構造100が準備されている。構造100は、下部相互接続レベル102、下部相互接続レベル102上の絶縁層110および絶縁層110上のパターニングされたハードマスク層112を含む。下部相互接続レベル102は、1つ以上の半導体デバイスを含む半導体基板(図示せず)の上に位置するとよい。下部相互接続レベル102は、誘電体層104と、誘電体層104に埋め込まれた導電性機構106とを含む。下部相互接続レベル102はさらに、導電性機構106を誘電体層104から隔てる拡散障壁層(図示せず)を含むことが好ましい。
図1の絶縁層110は、その中に位置するビア114を有する。ビア114は、第1の導電性機構106の上方にある。初期構造100は、下部相互接続レベル102と、絶縁層110との間に位置する誘電被覆層108を有することが好ましい。ここでは、ビア114は、誘電被覆層108の一部まで延在し、誘電被覆層108のうち、第1の導電性機構106の真上に位置する部分を露出させる。ビア114は、円柱、立方体または直方体の形であるとよい。
パターニングされたハードマスク112は、絶縁層110内にビア114を形成するためのエッチ・マスクとして使用される。図1に示されている構造は、単一のビア114を示すが、本発明は、絶縁層110内に任意数の上記ビアを形成することを考慮している。
構造100は、当業者には周知の従来の技術によって作製されるとよい。例えば構造100は、まず、誘電体層104を基板(図示せず)の表面に塗布することによって形成できる。基板は、半導体材料、絶縁材料、導電材料または前述の材料のうちの2つ以上の組み合わせとされ得る。基板が半導体材料から成る場合、Si、SiGe、SiGeC、SiC、Ge合金、GaAs、InAs、InPなどの半導体材料またはIII/V族もしくはII/VI族のほかの半導体材料が使用されてもよい。これらの列挙された半導体材料の種類に加えて、本発明はさらに、基板が、例えばSi/SiGe、Si/SiC、シリコン・オン・インシュレータ(SOI:silicon−on−insulator)またはシリコン・ゲルマニウム・オン・インシュレータ(SGOI:silicon germanium−on−insulator)などの層状半導体である場合も考慮している。基板が半導体材料である場合、その上に、例えば相補型金属酸化膜半導体(CMOS:complementary metal oxide semiconductor)デバイスなどの1つ以上の半導体デバイスが製作され得る。
基板が絶縁材料である場合、その絶縁材料は、有機絶縁体、無機絶縁体または有機絶縁体と無機絶縁体との組み合わせとすることができる。基板は、単層または多層とすることができる。
基板が導電材料である場合、基板は、例えばポリシリコン、元素金属、元素金属の合金、金属シリサイド、窒化金属または前述の材料のうちの2つ以上の組み合わせを含み得る。基板は、単層または多層とすることができる。
下部相互接続レベル102の誘電体層104は、無機誘電体または有機誘電体を含む任意の層間または層内誘電体としてよい。誘電体層104は、多孔質または非多孔質としてよい。誘電体層104として使用できる適切な誘電体の例には、SiO、またはシルセスキオキサン、またはSi、C、OおよびHの原子を含むCドープ酸化物(すなわち有機シリケート)、または熱硬化性ポリアリーレンエーテルまたはそれらの多層があるが、これらに限定はされない。「ポリアリーレン」という用語は、本願では、結合、縮合環または例えば酸素、硫黄、スルホン、スルホキシド、カルボニルおよび同様のものなどの不活性結合基によって互いに結合されているアリール部分または置換アリール部分を意味するよう使用される。
誘電体層104の誘電率は、約4.0以下であることが好ましい。誘電体層104の誘電率は、約2.8以下であることがより好ましい。これらの誘電体は、一般に、誘電率が4.0より高い誘電体材料に比べて、より低い寄生クロストークを有する。本願明細書で言及する誘電率は、真空中で測定される。
誘電体層104の厚さは、使用される誘電体材料ならびに下部相互接続レベル102内の誘電体層の正確な数によって異なり得る。典型例、および通常の相互接続構造の場合では、誘電体層104の厚さは約200nm〜約450nmである。
下部相互接続レベル102の導電性機構106は、リソグラフィによって形成されてもよい。例えば、誘電体層104の表面にフォトレジスト層が塗布される。フォトレジスト層は、所望のパターンの放射線に露光される。露光されたフォトレジスト層は、従来のレジスト現像液を利用して現像される。パターニングされたフォトレジスト層は、パターンを誘電体層104へ転写するためのエッチ・マスクとして使用される。続いて、誘電体層104のエッチングされた領域が、導電性機構106を形成するために導電性材料で充填される。
導電性機構106は、ポリシリコン、導電性金属、2つ以上の導電性金属の合金、導電性金属シリサイドおよび前述の材料のうちの2つ以上の組み合わせを含むがこれらに限定はされない材料から形成され得る。導電性機構106は、Cu、W、Al、Ti、Ta、Auまたは前述の金属の合金などの導電性金属であることが好ましい。導電性機構106は、CuまたはCu合金(AlCuなど)であることがより好ましい。導電性機構106は、化学気相堆積(CVD:chemical vapor deposition)、プラズマ強化化学気相堆積(PECVD:plasma enhanced chemical vapor deposition)、スパッタリング、化学溶液堆積またはめっきを含むがこれらに限定はされない従来の堆積プロセスを使用して、誘電体層104のエッチングされた領域に充填される。堆積の後、誘電体層104の上部表面と実質的に同一平面上にある上部表面を導電性機構106が有する構造をもたらすために、例えば化学機械研磨(CMP:chemical mechanical polishing)などの従来の平坦化プロセスを使用可能である。
導電性機構106は、拡散障壁層(図示せず)によって誘電体層104から隔てられていることが好ましい。拡散障壁層は、Ta、TaN、Ti、TiN、Ru、RuTaN、RuTa、W、WNまたはそのほか導電性材料が誘電体材料層内に拡散することを防ぐ障壁としての機能を果たすことができる任意の材料を含んでよく、これらに限定はされない。拡散障壁層は、例えば原子層堆積(ALD:atomic layer deposition)、CVD、PECVD、物理気相堆積(PVD)、スパッタリング、化学溶液堆積またはめっきなどの堆積プロセスによって形成され得る。拡散障壁層はさらに、例えばTaNなどの金属窒化物の下部層と、例えばTaなどの上部金属層とを含む、二層構造を含んでもよい。
誘電体層104内に導電性機構106を形成した後、下部相互接続レベル102の表面上に誘電被覆層108が形成される。誘電被覆層108は、例えばCVD、PECVD、化学溶液堆積または蒸着などの従来の堆積プロセスにより形成される。誘電被覆層108は、SiC、SiNH、SiO、炭素ドープ酸化物、窒素および水素ドープ炭化ケイ素(SiC(N,H))またはそれらの多層を含むがこれらに限定はされない、任意の適切な誘電被覆材料であるとよい。誘電被覆層108の厚さは、堆積プロセスの正確な手段ならびに用いられる材料によって異なってよい。典型的には、誘電被覆層108の厚さは約15nm〜約55nmであり、約25nm〜約45nmの厚さがより典型的である。
次に、絶縁層110が、誘電被覆層108の露出した上部表面上に形成される。絶縁層110は、誘電体層104のものと同じまたは異なる誘電体材料とされ得る。絶縁層110は、第1の誘電体層104の誘電体材料と同じ誘電体材料であることが好ましい。絶縁層110として使用できる適切な誘電体の例には、SiO、またはシルセスキオキサン、またはSi、C、OおよびHの原子を含むCドープ酸化物(すなわち有機シリケート)、または熱硬化性ポリアリーレンエーテルまたはそれらの多層があるが、これらに限定はされない。絶縁層110の誘電率は、約4.0以下であることが好ましい。絶縁層110の誘電率は、約2.8以下であることがより好ましい。誘電体層104の処理技術および厚さ範囲を、ここで絶縁層110にも適用可能である。
絶縁層110は、2つの異なる材料、すなわち第1に1つの誘電体材料の堆積、続いて別の誘電体材料の堆積を含むこともできる。本発明の一実施形態では、絶縁層110は、多孔質low k誘電体材料および密な(すなわち非多孔質の)low k誘電体材料など、2つの異なるlow k誘電体材料を含む。そのような実施形態では、多孔質low k誘電体の誘電率は、約2.8以下であり、密な(非多孔質)low k誘電体の誘電率は、約4.0以下である。
次に、まずパターニングされたハードマスク層112を絶縁層110の上部表面の上に形成することによって、ビア114が絶縁層110内に形成される。ハードマスク層112は、酸化物、窒化物、酸窒化物または前述の材料のうちの2つ以上の組み合わせを含む。ハードマスク層112は、単層または多層構造を有し得る。ハードマスク層112は、SiOなどの酸化物またはSiなどの窒化物であることが好ましい。ハードマスク層112は、例えばCVD、PECVD、化学溶液堆積または蒸着などの従来の堆積プロセスを利用して形成される。堆積されたままのハードマスク層112の厚さは、形成されるハードマスク材料の種類、ハードマスク層112を構成する層の数およびそれを形成するのに使用される堆積技術によって異なり得る。典型的には、堆積されたままのハードマスク層112の厚さは約10nm〜約80nmであり、約20nm〜約60nmの厚さがより典型的である。
ハードマスク層112は、リソグラフィ・プロセスによってパターニングされる。フォトレジスト(図示せず)が、例えばスピン・オン・コーティングまたは化学溶液堆積など、従来の堆積プロセスによってハードマスク層112の上に形成される。フォトレジストは、ポジ型またはネガ型フォトレジストとされ得る。続いてフォトレジストは、放射線のパターンにフォトレジストを露光して、露光されたレジストを従来のレジスト現像液を利用して現像することを含む、リソグラフィ・プロセスにかけられる。リソグラフィ・ステップによって、ハードマスク層112の上にパターニングされたフォトレジストがもたらされ、これがビア114の幅を画定する。ビアのパターンは、ハードマスク層112に、続いてその後、絶縁層110および誘電被覆層108に、1つ以上のエッチング・プロセスを利用して転写される。
パターニングされたフォトレジストは、ビアのパターンがハードマスク層112に転写された後すぐに、従来の除去プロセスを利用して除去され得る。あるいは、パターニングされたフォトレジストは、ビアのパターンが絶縁層110および誘電被覆層108に転写された後に除去され得る。ビア・パターンを転写するのに使用されるエッチングは、ドライ・エッチング・プロセス、ウェット化学エッチング・プロセスまたはその組み合わせを含み得る。本願明細書では、「ドライ・エッチング」という用語は、反応性イオン・エッチング、イオン・ビーム・エッチング、プラズマ・エッチングまたはレーザ・アブレーションなどのエッチング技術を意味するよう使用される。
図2および3を参照する。第1の電極層118が、ビア114の側壁および底部上ならびにハードマスク層112の上面上に形成されている。第1の電極層118は、導電性材料であることが好ましい。第1の電極層118に適した導電性材料には、Ta、Ru、Co、Pt、W、Rh、Ir、Au、Al、Tiおよび前述の材料のうちの2つ以上の合金があるが、これらに限定はされない。第1の電極層118は、例えばPVD、CVD、PECVD、原子層堆積(ALD)プロセスまたはプラズマ強化原子層堆積(PEALD:plasma enhanced atomic layer deposition)などの従来の堆積プロセスを利用して形成され得る。典型的には、第1の電極層118の厚さは約1nm〜約50nmであり、約2nm〜約20nmの厚さがより典型的である。
続いて、第1のhigh−k誘電体材料層120が、第1の電極層118上に形成される。第1のhigh−k誘電体層120に適した材料には、酸化物−窒化物−酸化物、SiO、TaO、PSiN、Si、SiON、SiC、TaO、ZrO、HfO、HfSiO、Alおよび前述の材料のうちの2つ以上の任意の組み合わせがあるが、これらに限定はされない。第1のhigh−k誘電体材料層120の誘電率は、約5〜約60であることが好ましい。第1のhigh−k誘電体材料層120の誘電率は、約8〜約40であることがより好ましい。本願明細書で言及する誘電率は、真空中で測定される。第1のhigh−k誘電体材料層120は、例えばCVD、PECVD、ALDまたはPEALDなどの従来の堆積プロセスを利用して形成され得る。典型的には、第1のhigh−k誘電体材料層120の厚さは約1nm〜約40nmであり、約2nm〜約10nmの厚さがより典型的である。
第1の電極層118が形成される前に、障壁ライナ層(barrier liner layer)116が、ビア114の側壁および底部上ならびにハードマスク層112の上面上に形成されることが好ましい(図3)。続いて、第1の電極層118が障壁ライナ層116上に形成される。障壁ライナ層116は、絶縁層110と第1の電極層118との接着を強化する。さらに障壁ライナ層116は、ビア内の任意の金属材料が絶縁層110へと拡散することを防ぐ。障壁ライナ層116は、金属、絶縁または両方の組み合わせとされ得る。障壁ライナ層116に適した材料には、Ta(N)、Ti(N)、W(N)、SiO、SiおよびSiCが含まれるが、これらに限定はされない。障壁ライナ層116は、例えばPVD、CVD、PECVD、ALDまたはPEALDなどの従来の堆積プロセスを利用して形成され得る。典型的には、障壁ライナ層116の厚さは約2nm〜約30nmであり、約3nm〜約10nmの厚さがより典型的である。
図4では、第1の導電性プレート層122が、第1のhigh−k誘電体材料層120上に形成されている。第1の導電性プレート層122は、Ta、Ru、Co、Pt、W、Rh、Ir、Au、Al、Tiまたは前述の材料のうちの2つ以上の合金を含む材料から形成され得る。図4に示されているように、第1の導電性プレート層122は、第1の電極層118に対し実質的に平行である。第1の導電性プレート層122は、例えばPVD、CVD、PECVD、ALDまたはPEALDなどの従来の堆積プロセスを利用して形成され得る。典型的には、第1の導電性プレート層122の厚さは約1nm〜約50nmであり、約2nm〜約30nmの厚さがより典型的である。
図5および6では、第1の導電性プレート層122の一部、第1のhigh−k誘電体材料層120の一部、第1の電極層118の一部、障壁ライナ層116の一部、第1の誘電被覆層108の一部および第1の導電性機構106の一部が、ビア114の底部にビア・ガウジング124を形成するために除去されている。ビア・ガウジング124は、第1の導電性機構106の一部まで延在する。ビア・ガウジング124の形成には指向性スパッタリング・プロセスが用いられる。指向性スパッタリング・プロセスは、Ar、He、Xe、Ne、Kr、Rn、N、NHまたはHを含むがこれらに限定はされないガス源を用いて行われる。
さらに、ビア・ガウジング124を作るために使用される指向性スパッタリング・プロセスは、ビア114の外側およびハードマスク層112の上面上方のフィールド領域から、第1の導電性プレート層122を除去する。さらに、ビア114の上端に近い第1の導電性プレート層122の一部も除去される。結果として、第1の導電性プレート層122の上端部は、ハードマスク層112の上面より低くなる。指向性プロセス後の第1の導電性プレート層122の高さは、ガウジング機構を作るために使用される指向性スパッタリングの量により制御される。一実施形態では、指向性スパッタリング・プロセスの後、第1の導電性プレート層122の上端部は、ハードマスク層112の上面と底面との間にある(図5)。別の実施形態では、第1の導電性プレート層122の上端部は、ハードマスク層112の底面より下にある(図6)。
図7では、第2のhigh−k誘電体材料層126が、第1の導電性プレート層122上およびビア・ガウジング124の側壁および底部上に形成されている。第2のhigh−k誘電体層126に適した材料には、酸化物−窒化物−酸化物、SiO、TaO、PSiN、Si、SiON、SiC、TaO、ZrO、HfO、HfSiO、Alおよび前述の材料のうちの2つ以上の任意の組み合わせがあるが、これらに限定はされない。第2のhigh−k誘電体材料層126は、第1のhigh−k誘電体材料層120と同じ材料から形成されることが好ましい。第2のhigh−k誘電体材料層126の誘電率は、約5〜約60であることが好ましい。第2のhigh−k誘電体材料層126の誘電率は、約8〜約40であることがより好ましい。本願明細書で言及する誘電率は、真空中で測定される。第2のhigh−k誘電体材料層126は、例えばCVD、PECVD、ALDまたはPEALDなどの従来の堆積プロセスを利用して形成され得る。典型的には、第2のhigh−k誘電体材料層126の厚さは約1nm〜約40nmであり、約2nm〜約10nmの厚さがより典型的である。
わずかな指向性エッチング/スパッタリング・プロセスが使用されて、ビア・ガウジング124の底部と、側壁の下部とから、第2のhigh−k誘電体材料層126が選択的に除去される(図8)。このわずかな指向性スパッタリング・プロセスは、フィールド領域から、少量の第2のhigh−k誘電体層126も除去する。このプロセスの主目的は、コンデンサと、下にある相互接続レベル102との電気的接触を作ることである。このわずかな指向性スパッタリング・プロセスは、Ar、He、Xe、Ne、Kr、Rn、N、NHまたはHを含むがこれらに限定はされないガス源を用いて行われる。
図9では、ビア114の残りの部分と、ビア・ガウジング124とが、第2の電極128で充填されている。第2の電極128は、Cu、Al、W、Ru、Rh、Ir、Coまたは前述の金属のうちの2つ以上を含む合金であることが好ましい。第2の電極128は、CuまたはAlCu合金であることがより好ましい。第2の電極は、CVD、PECVD、スパッタリング、化学溶液堆積またはめっきによって形成され得る。図のように、第2の電極128は、第1の導電性機構106に接触している。
任意選択の接着/めっきシード層(図示せず)が、ビア114およびビア・ガウジング124を第2の電極128で充填する前に形成されてもよい。この任意選択の接着/めっきシード層は、元素周期表のVIIIA族からの金属または金属合金から成る。接着/めっきシード層に適切なVIIIA族の元素の例には、Ru、TaRu、Ir、Rh、Pt、Pd、Coおよびその合金があるが、これらに限定はされない。一部の実施形態では、接着/めっきシード層としてRu、Ir、CoまたはRhを使用することが好ましい。
接着/めっきシード層は、例えばCVD、PECVD、ALD、めっき、スパッタリングおよびPVDを含む、従来の堆積プロセスにより形成される。接着/めっきシード層の厚さは、例えば接着/めっきシード層の構成材料およびそれを形成するのに使用された技術を含む、いくつかの要素によって異なり得る。典型的には、接着/めっきシード層の厚さは約0.5nm〜約10nmであり、約0.5nm〜約6nmの厚さがより典型的である。
図10では、フィールド領域およびビア114の上端近くの第2の電極材料128が除去され、凹部130が形成されている。凹部130は、化学機械研磨(CMP)プロセスもしくは研削プロセスまたはその両方によって、まずビア114の外側の第2の電極材料を除去することによって形成されてもよい。続いて、第2の電極材料のうち、ビア114の上端に近い部分がウェット・エッチング・プロセスによって除去される。ウェット・エッチング・プロセスは、HF、HCl、HSOまたは前述の前記材料のうちの2つ以上の任意の組み合わせを含む薬品を使用して実行されることが好ましい。
第2の誘電被覆層132が、凹部130を充填するよう形成される(図11)。誘電被覆層108のように、第2の誘電被覆層132は、例えばCVD、PECVD、化学溶液堆積または蒸着などの従来の堆積プロセスにより形成可能である。第2の誘電被覆層132は、SiC、SiNH、SiO、炭素ドープ酸化物、窒素および水素ドープ炭化ケイ素(SiC(N,H))またはそれらの多層を含むがこれらに限定はされない、任意の適切な誘電被覆材料であるとよい。
次に、フィールド領域に残っている様々な層を除去するために、図11に示されている構造が研磨プロセスにかけられる。一実施形態では、研磨プロセスは、ハードマスク層112上で停止する(図12)。別の実施形態では、第1の導電性プレート層122の上端部がハードマスク層112の底面より下にあれば、研磨プロセスは、ハードマスク層112全体が除去されると停止する(図13)。
図14では、上位相互接続レベル134が絶縁層110上に形成されている。上位相互接続レベル134は、第2の誘電体層136を有し、第2の誘電体層136内に第2の導電性機構138が埋め込まれている。
第2の誘電体層136は、無機誘電体または有機誘電体を含む任意の層間または層内誘電体としてよい。第2の誘電体層136は、多孔質または非多孔質としてよい。第2の誘電体層136として使用できる適切な誘電体の例には、SiO、またはシルセスキオキサン、またはSi、C、OおよびHの原子を含むCドープ酸化物(すなわち有機シリケート)、または熱硬化性ポリアリーレンエーテルまたはそれらの多層があるが、これらに限定はされない。
第2の誘電体層136の誘電率は、約4.0以下であることが好ましい。第2の誘電体層136の誘電率は、約2.8以下であることがより好ましい。本願明細書で言及する誘電率は、真空中で測定される。
第2の誘電体層136の厚さは、使用される誘電体材料ならびに上部相互接続レベル134内の誘電体層の正確な数によって異なり得る。典型例、および通常の相互接続構造の場合では、第2の誘電体層136の厚さは約200nm〜約450nmである。
第2の導電性機構138は、導電性機構106と同じようにリソグラフィによって形成され得る。第2の導電性機構138は、ポリシリコン、導電性金属、2つ以上の導電性金属の合金、導電性金属シリサイドおよび前述の材料のうちの2つ以上の組み合わせを含むがこれらに限定はされない材料から形成され得る。第2の導電性機構138は、Cu、W、Alまたは前述の金属の合金などの導電性金属であることが好ましい。第2の導電性機構138は、CuまたはCu合金(AlCuなど)であることがより好ましい。第2の誘電体層136の上部表面と実質的に同一平面上にある上部表面を第2の導電性機構138が有する構造をもたらすために、例えばCMPなどの従来の平坦化プロセスが使用され得る。
第2の導電性機構138は、拡散障壁層(図示せず)によって第2の誘電体層136から隔てられていることが好ましい。拡散障壁層は、Ta、TaN、Ti、TiN、Ru、RuTaN、RuTa、W、WNまたはそのほか導電性材料が誘電体材料層内に拡散することを防ぐ障壁としての機能を果たす任意の材料を含んでよく、これらに限定はされない。拡散障壁層は、例えば原子層堆積(ALD)、CVD、PECVD、物理気相堆積(PVD)、スパッタリング、化学溶液堆積またはめっきなどの堆積プロセスによって形成され得る。拡散障壁層はさらに、例えばTaNなどの金属窒化物の下部層と、例えばTaなどの上部金属層とを含む、二層構造を含んでもよい。
図14に示されているように、この段階で、第1の電極層118は、下部相互接続レベル102および下の基板(図示せず)に対して実質的に垂直な第1の部分118aを有する。第1の電極層118はさらに、下部相互接続レベル102および下の基板に対して実質的に平行な第2の部分118bを有する。第1の部分118aの高さの、第2の部分118bの長さに対する比は、2倍より大きいことが好ましい。第1の部分118aの高さの、第2の部分118bの長さに対する比は、4倍より大きいことがより好ましい。第1の導電性プレート122は、第1のhigh−k誘電体材料層120および第2のhigh−k誘電体材料層126によって囲まれている。第1の導電性プレート122は、第1の電極層118および第2の電極128に接触していない。
なお、第2の電極128を形成する前に、第2のhigh−k誘電体材料層126を、第2の導電性プレート層および第3のhigh−k誘電体材料層で覆うことができる。これは、2つの電極間に2つのフローティング導電性プレートを備えるコンデンサの形成につながる。第2の導電性プレートおよび第3のhigh−k誘電体材料層は、第2のhigh−k誘電体材料層126と、第2の電極128との間にある。第2の導電性プレートは、第1の電極層118に対して実質的に平行であり、第1および第2の電極118および128ならびに第1の導電性プレート122に接触しない。第2の導電性プレート層は、上記のように、第1の導電性プレート層122を形成するのに使用される材料および技術により形成され得る。同じく、第3のhigh−k誘電体材料層は、上記のように、第1および第2のhigh−k誘電体材料層120および126を形成するのに使用される材料および技術により形成され得る。
図14に示されているコンデンサ構造の信頼性をさらに改善するために、上記のコンデンサの配列が形成される。図15に示されているコンデンサの配列200は、2つのチップ(チップ1および2)を互いに結合することにより形成される。チップ1は、第1のコンデンサを含む。チップ2は、第2のコンデンサを含む。第1および第2のコンデンサは、実質的に同じ構造を有する。チップ1は、チップ1上の第1のコンデンサの第1の導電性機構206が、チップ2上の第2のコンデンサの第2の導電性機構338に接触するように、チップ2の上に結合される。続いて、導体240が、チップ2上の第2のコンデンサの第1の導電性機構306と、チップ1上の第1のコンデンサの第2の導電性機構238とを接続するよう形成される。導体240は、導電性金属、2つ以上の導電性金属の合金、導電性金属シリサイドおよび前述の材料のうちの2つ以上の組み合わせとされ得る。導体240は、Cu、W、Alまたは前述の金属の合金などの導電性金属であることが好ましい。導体240は、CuまたはCu合金(AlCuなど)であるとより好ましい。
図16では、3つのコンデンサの配列300を形成するために、図15に示された構造に第3のチップ(チップ3)が結合されている。チップ3は、チップ1および2それぞれの第1および第2のコンデンサと実質的に同じ構造を有する第3のコンデンサを有する。チップ3は、チップ3上の第3のコンデンサの第2の導電性機構438が、チップ2上の第2のコンデンサの第1の導電性機構306に接触するように、チップ1および2の配列に結合される。続いて、第2の導体242が、チップ3上の第3のコンデンサの第1の導電性機構406と、チップ2上の第2のコンデンサの第2の導電性機構338とを接続するよう形成される。同じく、導体242は、導電性金属、2つ以上の導電性金属の合金、導電性金属シリサイドおよび前述の材料のうちの2つ以上の組み合わせとされ得る。導体242は、Cu、W、Alまたは前述の金属の合金などの導電性金属であることが好ましい。導体242は、CuまたはCu合金(AlCuなど)であるとより好ましい。
本発明は、好適な実施形態に関して詳しく示され、記載されたが、当業者には当然のことながら、形態および細部における前述の変更およびほかの変更が、本発明の意図および範囲から逸脱することなく加えられ得る。したがって、本発明は、記載および説明されたとおりの形態および細部に限定されず、添付の特許請求の範囲に記載の範囲内に入ることが意図される。

Claims (32)

  1. 基板の上の絶縁層であって、側壁および底部を有するビアを含む、前記絶縁層と、
    前記ビアの前記側壁と、前記底部の少なくとも一部とに重なる第1の電極と、
    前記第1の電極に重なる第1のhigh−k誘電体材料層と、
    前記第1のhigh−k誘電体材料層上の第1の導電性プレートと、
    前記第1の導電性プレートに重なり、前記ビアの残りの部分は未充填のままにするよう形成された第2のhigh−k誘電体材料層と、
    前記ビアの前記残りの部分に形成された第2の電極であって、前記第1の導電性プレートは、前記第1の電極に対して実質的に平行であり、前記第1および第2の電極に接触していない、前記第2の電極と、
    を含むコンデンサ。
  2. 前記第2のhigh−k誘電体材料層と、前記第2の電極との間に、第2の導電性プレートおよび第3のhigh−k誘電体材料層をさらに含み、前記第2の導電性プレートは、前記第1の電極に対して実質的に平行であり、前記第1および第2の電極ならびに前記第1の導電性プレートに接触していない、請求項1に記載のコンデンサ。
  3. 前記基板と、前記絶縁層との間の下部相互接続レベルであって、中に埋め込まれた第1の導電性機構を有する第1の誘電体層を含む、前記下部相互接続レベルと、
    前記絶縁層の上方にある上部相互接続レベルであって、中に埋め込まれた第2の導電性機構を有する第2の誘電体層を含む、前記上部相互接続レベルであって、前記第1の電極は、前記第2の導電性機構に接触し、前記第2の電極は、前記第1の導電性機構に接触している、前記上部相互接続レベルと、
    をさらに含む、請求項1に記載のコンデンサ。
  4. 前記ビアは、前記第1の導電性機構の一部まで延在するビア・ガウジングを有し、前記ビア・ガウジングは、前記第2の電極の材料で充填されている、請求項3に記載のコンデンサ。
  5. 前記下部相互接続レベルと、前記絶縁層との間に位置する第1の誘電被覆層をさらに含む、請求項3に記載のコンデンサ。
  6. 前記上部相互接続レベルと、前記第2の電極との間に位置する第2の誘電被覆層をさらに含む、請求項3に記載のコンデンサ。
  7. 前記上部相互接続レベルと、前記絶縁層との間に位置するハードマスク層をさらに含む、請求項3に記載のコンデンサ。
  8. 前記第1の電極と、前記絶縁層との間に位置する障壁ライナ層をさらに含む、請求項1に記載のコンデンサ。
  9. 前記第1の電極は、前記基板に対して実質的に垂直な第1の部分と、前記基板に対して実質的に平行な第2の部分とを有する、請求項1に記載のコンデンサ。
  10. 前記第1の部分の高さの、前記第2の部分の長さに対する比は、2倍より大きい、請求項9に記載のコンデンサ。
  11. 前記第1の電極は、Ta、Ru、Co、Pt、W、Rh、Ir、Au、AlもしくはTiまたは前述の材料のうちの2つ以上の合金を含む、請求項1に記載のコンデンサ。
  12. 前記第1および第2のhigh−k誘電体材料層は、同じ誘電体材料から形成され、前記誘電体材料は、酸化物−窒化物−酸化物、SiO、TaO、PSiN、Si、SiON、SiC、TaO、ZrO、HfO、HfSiOもしくはAlまたは前述の材料のうちの2つ以上の任意の組み合わせを含む、請求項1に記載のコンデンサ。
  13. 前記誘電体材料は、5〜60の範囲の誘電率を有する、請求項12に記載のコンデンサ。
  14. 前記第2の電極は、Cu、Al、W、Ru、Rh、IrもしくはCoまたは前述の材料のうちの2つ以上を含む合金を含む、請求項1に記載のコンデンサ。
  15. 前記第1の導電性プレートは、Ta、Ru、Co、Pt、W、Rh、Ir、Au、AlもしくはTiまたは前述の材料のうちの2つ以上の合金を含む、請求項1に記載のコンデンサ。
  16. 請求項3に記載の第1のコンデンサを有する第1のチップの上に結合された第2のチップであって、請求項3に記載の第2のコンデンサを有する、前記第2のチップを含む、コンデンサの配列。
  17. 前記配列は、前記第2のチップの上に結合され第3のコンデンサを有する第3のチップをさらに含み、前記第3のコンデンサは、前記第1および第2のコンデンサと実質的に同じ構造を有し、前記第3のコンデンサの前記第1の導電性機構は、前記第2のコンデンサの前記第2の導電性機構に接触し、前記第3のコンデンサの前記第2の導電性機構は、第2の導体により前記第2のコンデンサの前記第1の導電性機構に接続されている、請求項16に記載の配列。
  18. コンデンサを形成する方法であって、
    中に埋め込まれた第1の導電性機構を有する第1の誘電体層を含む下部相互接続レベルと、前記下部相互接続レベルの上の第1の誘電被覆層と、前記第1の誘電被覆層の上の絶縁層と、前記絶縁層の上にあり上面を有する、パターニングされたハードマスク層とを有する基板を準備するステップであって、前記絶縁層は、前記第1の誘電被覆層の一部まで延在するビアを有し、前記ビアは、側壁および底部を有する、前記ステップと、
    前記ビアの前記側壁および前記底部ならびに前記ハードマスク層の前記上面上に第1の電極層を形成するステップと、
    前記第1の電極層上に第1のhigh−k誘電体材料層を形成するステップと、
    前記第1のhigh−k誘電体材料層上に第1の導電性プレート層を形成するステップと、
    前記第1の導電性プレート層の一部、前記第1のhigh−k誘電体材料層の一部、前記第1の電極層の一部、前記第1の誘電被覆層の一部および前記第1の導電性機構の一部を除去することによって、前記ビアの前記底部にビア・ガウジングを形成するステップであって、前記ビア・ガウジングは、側壁および底部を有し、前記第1の導電性機構の一部まで延在する、前記ステップと、
    前記第1の導電性プレート層上ならびに前記ビア・ガウジングの前記側壁および前記底部上に、第2のhigh−k誘電体材料層を形成するステップと、
    前記ビア・ガウジングの前記底部と、側壁の下部とにある前記第2のhigh−k誘電体材料層を選択的に除去するステップと、
    前記ビアおよび前記ビア・ガウジングを第2の電極材料で充填するステップと、
    前記ビアの上端に凹部を形成するために、前記第2の電極材料を一部除去するステップと、
    前記凹部内に第2の誘電被覆層を形成するステップと、
    中に埋め込まれた第2の導電性機構を有する第2の誘電体層を含む上部相互接続レベルを、前記絶縁層上に形成するステップであって、第2の導電性機構は、前記第1の導電性プレート層に接触する、前記ステップと、
    を含む方法。
  19. 前記基板を準備する前記ステップは、
    前記下部相互接続レベルを形成するために、前記第1の誘電体層内に前記第1の導電性機構を形成するステップと、
    前記下部相互接続レベルの上に前記第1の誘電被覆層を形成するステップと、
    前記第1の誘電被覆層の上に前記絶縁層を形成するステップと、
    ビア・パターンを有するパターニングされたハードマスク層を前記絶縁層の上に形成するステップと、
    前記ビア・パターンを、前記絶縁層と、前記第1の誘電被覆層の一部とに転写するステップと、
    を含む、請求項18に記載の方法。
  20. 前記第1の電極層を形成する前記ステップより前に、前記ビアの前記側壁および前記底部ならびに前記ハードマスク層の前記上面上に障壁ライナ層を形成するステップをさらに含む、請求項18に記載の方法。
  21. 前記ビア・ガウジングを形成する前記ステップは、ガス源を用いた指向性スパッタリングを含む、請求項18に記載の方法。
  22. 前記ガス源は、Ar、He、Xe、Ne、Kr、Rn、N、NHまたはHを含む、請求項21に記載の方法。
  23. 前記第1の電極層を形成する前記ステップは、物理気相堆積(PVD)、化学気相堆積(CVD)、プラズマ強化化学気相堆積(PECVD)、原子層堆積(ALD)プロセスまたはプラズマ強化原子層堆積(PEALD)を含む、請求項18に記載の方法。
  24. 前記第1の電極層は、Ta、Ru、Co、Pt、W、Rh、Ir、Au、Al、Tiまたは前述の材料のうちの2つ以上の合金を含む、請求項23に記載の方法。
  25. 前記第1のhigh−k誘電体材料層を形成する前記ステップおよび前記第2のhigh−k誘電体材料層を形成する前記ステップは、化学気相堆積(CVD)、プラズマ強化化学気相堆積(PECVD)、原子層堆積(ALD)プロセスまたはプラズマ強化原子層堆積(PEALD)を含む、請求項18に記載の方法。
  26. 前記第1のhigh−k誘電体材料層および前記第2のhigh−k誘電体材料層は、同じ誘電体材料から形成され、前記誘電体材料は、酸化物−窒化物−酸化物、SiO、TaO、PSiN、Si、SiON、SiC、TaO、ZrO、HfO、Alまたは前述の材料のうちの2つ以上の任意の組み合わせを含む、請求項25に記載の方法。
  27. 前記第1の導電性プレート層を形成する前記ステップは、物理気相堆積(PVD)、化学気相堆積(CVD)、プラズマ強化化学気相堆積(PECVD)、原子層堆積(ALD)プロセスまたはプラズマ強化原子層堆積(PEALD)を含む、請求項18に記載の方法。
  28. 前記第1の導電性プレート層は、Ta、Ru、Co、Pt、W、Rh、Ir、Au、Al、Tiまたは前述の材料のうちの2つ以上の合金を含む、請求項27に記載の方法。
  29. 前記ビアおよび前記ビア・ガウジングを前記第2の電極材料で充填する前記ステップは、化学気相堆積(CVD)、プラズマ強化化学気相堆積(PECVD)、スパッタリング、化学溶液堆積またはめっきを含む、請求項18に記載の方法。
  30. 前記第2の電極材料は、Cu、Al、W、Ru、Rh、Ir、Coまたは前述の材料のうちの2つ以上を含む合金を含む、請求項29に記載の方法。
  31. 前記第2の電極材料を一部除去する前記ステップは、ウェット・エッチング・プロセスを含む、請求項18に記載の方法。
  32. 前記ウェット・エッチング・プロセスは、HF、HCl、HSOまたは前述の材料のうちの2つ以上の任意の組み合わせを含む薬品を使用して実行される、請求項31に記載の方法。
JP2013532088A 2010-10-05 2011-08-16 改善された信頼性のためにフローティング導電性プレートを備える3dビア・コンデンサ Active JP5754825B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/898,340 2010-10-05
US12/898,340 US8405135B2 (en) 2010-10-05 2010-10-05 3D via capacitor with a floating conductive plate for improved reliability
PCT/EP2011/064079 WO2012045509A1 (en) 2010-10-05 2011-08-16 3d via capacitor with a floating conductive plate for improved reliability

Publications (2)

Publication Number Publication Date
JP2013539915A true JP2013539915A (ja) 2013-10-28
JP5754825B2 JP5754825B2 (ja) 2015-07-29

Family

ID=44653267

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2013532088A Active JP5754825B2 (ja) 2010-10-05 2011-08-16 改善された信頼性のためにフローティング導電性プレートを備える3dビア・コンデンサ

Country Status (6)

Country Link
US (3) US8405135B2 (ja)
JP (1) JP5754825B2 (ja)
CN (1) CN103155098B (ja)
DE (1) DE112011102446B4 (ja)
GB (1) GB2497484B (ja)
WO (1) WO2012045509A1 (ja)

Families Citing this family (45)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101129909B1 (ko) * 2010-07-20 2012-03-23 주식회사 하이닉스반도체 반도체 소자의 필라형 캐패시터 및 그 형성방법
US8405135B2 (en) * 2010-10-05 2013-03-26 International Business Machines Corporation 3D via capacitor with a floating conductive plate for improved reliability
CN108091564A (zh) * 2012-06-29 2018-05-29 索尼公司 半导体装置、半导体装置的制造方法和电子设备
US9203373B2 (en) 2013-01-11 2015-12-01 Qualcomm Incorporated Diplexer design using through glass via technology
US9935166B2 (en) 2013-03-15 2018-04-03 Qualcomm Incorporated Capacitor with a dielectric between a via and a plate of the capacitor
US9634640B2 (en) 2013-05-06 2017-04-25 Qualcomm Incorporated Tunable diplexers in three-dimensional (3D) integrated circuits (IC) (3DIC) and related components and methods
US9264013B2 (en) 2013-06-04 2016-02-16 Qualcomm Incorporated Systems for reducing magnetic coupling in integrated circuits (ICS), and related components and methods
US8872149B1 (en) * 2013-07-30 2014-10-28 Taiwan Semiconductor Manufacturing Company, Ltd. RRAM structure and process using composite spacer
US10014843B2 (en) * 2013-08-08 2018-07-03 Zhuhai Advanced Chip Carriers & Electronic Substrate Solutions Technologies Co. Ltd. Multilayer electronic structures with embedded filters
US9502346B2 (en) * 2013-08-16 2016-11-22 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit with a sidewall layer and an ultra-thick metal layer and method of making
US9466663B2 (en) * 2013-10-25 2016-10-11 Taiwan Semiconductor Manufacturing Company Limited Semiconductor arrangement having capacitor separated from active region
CN103972080A (zh) * 2014-05-20 2014-08-06 上海华力微电子有限公司 Ono结构及ono电容的制作方法
CN105321886B (zh) * 2014-05-29 2019-07-05 联华电子股份有限公司 电容器结构及其制造方法
TWI559585B (zh) * 2014-10-28 2016-11-21 力晶科技股份有限公司 電阻式隨機存取記憶體及其製造方法
US10229866B2 (en) * 2015-06-22 2019-03-12 Intel Corporation On-chip through-body-via capacitors and techniques for forming same
TWI581441B (zh) * 2015-09-08 2017-05-01 力晶科技股份有限公司 多層王冠型金屬-絕緣體-金屬電容器結構及其製作方法
WO2017052471A1 (en) * 2015-09-23 2017-03-30 Nanyang Technological University Semiconductor devices and methods of forming the same
US9496326B1 (en) 2015-10-16 2016-11-15 International Business Machines Corporation High-density integrated circuit via capacitor
US9837309B2 (en) 2015-11-19 2017-12-05 International Business Machines Corporation Semiconductor via structure with lower electrical resistance
US9865703B2 (en) * 2015-12-31 2018-01-09 International Business Machines Corporation High-K layer chamfering to prevent oxygen ingress in replacement metal gate (RMG) process
US9640608B1 (en) * 2016-02-25 2017-05-02 Globalfoundries Inc. Serial capacitor device with middle electrode contact and methods of making same
US10115784B2 (en) * 2016-03-17 2018-10-30 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device, MIM capacitor and associated fabricating method
US9716088B1 (en) * 2016-06-30 2017-07-25 International Business Machines Corporation 3D bonded semiconductor structure with an embedded capacitor
US9941241B2 (en) 2016-06-30 2018-04-10 International Business Machines Corporation Method for wafer-wafer bonding
KR20190092584A (ko) 2016-12-29 2019-08-07 인벤사스 본딩 테크놀로지스 인코포레이티드 집적된 수동 컴포넌트를 구비한 접합된 구조체
CN108735741B (zh) * 2017-04-13 2020-10-09 联华电子股份有限公司 存储器元件中的存储点接触结构与其制作方法
CN109698274B (zh) 2017-10-23 2021-05-25 联华电子股份有限公司 电容的制作方法
CN108376739B (zh) * 2018-01-25 2021-12-21 厦门市三安集成电路有限公司 一种化合物半导体器件电容结构及其制作方法
CN108987374B (zh) * 2018-06-22 2020-06-26 西安理工大学 一种基于tsv和rdl的三维电容器
CN109727908B (zh) * 2018-11-26 2020-11-17 长江存储科技有限责任公司 3d nand存储器件中导电插塞的形成方法及3d nand存储器件
WO2020132884A1 (zh) 2018-12-25 2020-07-02 深圳市汇顶科技股份有限公司 电容器和制备电容器的方法
CN109887916B (zh) * 2018-12-27 2020-12-08 华中科技大学 非易失性三维半导体存储器的双向栅电极及其制备方法
CN110010588B (zh) * 2019-02-18 2020-09-22 西安电子科技大学 一种基于同轴硅通孔阵列的互补型三维宽带电容器
US11901281B2 (en) * 2019-03-11 2024-02-13 Adeia Semiconductor Bonding Technologies Inc. Bonded structures with integrated passive component
WO2020186460A1 (zh) * 2019-03-19 2020-09-24 深圳市汇顶科技股份有限公司 电容器及其制作方法
CN114761612A (zh) * 2019-12-02 2022-07-15 朗姆研究公司 原位pecvd覆盖层
TWI737087B (zh) * 2019-12-19 2021-08-21 力晶積成電子製造股份有限公司 半導體結構及其製造方法
US11211362B2 (en) * 2020-03-20 2021-12-28 Taiwan Semiconductor Manufacturing Company, Ltd. 3D trench capacitor for integrated passive devices
WO2021195997A1 (en) 2020-03-31 2021-10-07 Yangtze Memory Technologies Co., Ltd. Three-dimensional memory device and method for forming the same
CN113497186A (zh) 2020-04-01 2021-10-12 联华电子股份有限公司 并联的电容结构及其制作方法
US11081523B1 (en) * 2020-05-14 2021-08-03 Globalfoundries Singapore Pte. Ltd. Memory devices and methods of forming memory devices
CN111864063A (zh) * 2020-07-09 2020-10-30 复旦大学 三维电容制备方法
US11538751B2 (en) 2020-09-03 2022-12-27 Globalfoundries Singapore Pte. Ltd. Inductor capacitor filter in far back end of line and integration schemes
CN112151496B (zh) * 2020-09-10 2022-04-08 复旦大学 一种内嵌电感的tsv结构及其制备方法
US12015051B2 (en) 2021-09-30 2024-06-18 Macom Technology Solutions Holdings, Inc. Semiconductor device and method of forming monolithic surge protection resistor

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05251637A (ja) * 1992-03-05 1993-09-28 Oki Electric Ind Co Ltd 半導体装置およびその製造方法
JPH1093041A (ja) * 1996-09-13 1998-04-10 Toshiba Corp 半導体記憶装置
JP2006500772A (ja) * 2002-09-23 2006-01-05 インターナショナル・ビジネス・マシーンズ・コーポレーション デュアル・ダマシン構造におけるmimキャパシタの構造および製作方法
JP2006019455A (ja) * 2004-06-30 2006-01-19 Nec Electronics Corp 半導体装置およびその製造方法
US20070152258A1 (en) * 2005-12-29 2007-07-05 Do Hun Kim Semiconductor device with a capacitor

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5055966A (en) 1990-12-17 1991-10-08 Hughes Aircraft Company Via capacitors within multi-layer, 3 dimensional structures/substrates
JPH08279596A (ja) 1995-04-05 1996-10-22 Mitsubishi Electric Corp 集積回路装置,及びその製造方法
US5879985A (en) 1997-03-26 1999-03-09 International Business Machines Corporation Crown capacitor using a tapered etch of a damascene lower electrode
US6111285A (en) * 1998-03-17 2000-08-29 Micron Technology, Inc. Boride electrodes and barriers for cell dielectrics
US6261895B1 (en) * 1999-01-04 2001-07-17 International Business Machines Corporation Polysilicon capacitor having large capacitance and low resistance and process for forming the capacitor
US6153901A (en) 1999-01-26 2000-11-28 Lucent Technologies Inc. Integrated circuit capacitor including anchored plug
US6565730B2 (en) 1999-12-29 2003-05-20 Intel Corporation Self-aligned coaxial via capacitors
US6461914B1 (en) 2001-08-29 2002-10-08 Motorola, Inc. Process for making a MIM capacitor
US6888217B2 (en) 2001-08-30 2005-05-03 Micron Technology, Inc. Capacitor for use in an integrated circuit
US6720608B2 (en) 2002-05-22 2004-04-13 United Microelectronics Corp. Metal-insulator-metal capacitor structure
US6670237B1 (en) 2002-08-01 2003-12-30 Chartered Semiconductor Manufacturing Ltd. Method for an advanced MIM capacitor
DE10301243B4 (de) * 2003-01-15 2009-04-16 Infineon Technologies Ag Verfahren zum Herstellen einer integrierten Schaltungsanordnung, insbesondere mit Kondensatoranordnung
KR100640662B1 (ko) 2005-08-06 2006-11-01 삼성전자주식회사 장벽금속 스페이서를 구비하는 반도체 소자 및 그 제조방법
DE102005047111B3 (de) * 2005-09-30 2007-06-21 Infineon Technologies Ag Verfahren zur Herstellung eines MIM-Kondensators
KR100831268B1 (ko) 2006-12-29 2008-05-22 동부일렉트로닉스 주식회사 반도체 소자의 커패시터 및 그 형성방법
US20100224960A1 (en) * 2009-03-04 2010-09-09 Kevin John Fischer Embedded capacitor device and methods of fabrication
US8405135B2 (en) * 2010-10-05 2013-03-26 International Business Machines Corporation 3D via capacitor with a floating conductive plate for improved reliability

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05251637A (ja) * 1992-03-05 1993-09-28 Oki Electric Ind Co Ltd 半導体装置およびその製造方法
JPH1093041A (ja) * 1996-09-13 1998-04-10 Toshiba Corp 半導体記憶装置
JP2006500772A (ja) * 2002-09-23 2006-01-05 インターナショナル・ビジネス・マシーンズ・コーポレーション デュアル・ダマシン構造におけるmimキャパシタの構造および製作方法
JP2006019455A (ja) * 2004-06-30 2006-01-19 Nec Electronics Corp 半導体装置およびその製造方法
US20070152258A1 (en) * 2005-12-29 2007-07-05 Do Hun Kim Semiconductor device with a capacitor

Also Published As

Publication number Publication date
US20120080771A1 (en) 2012-04-05
US20130161791A1 (en) 2013-06-27
CN103155098B (zh) 2016-03-23
JP5754825B2 (ja) 2015-07-29
US8405135B2 (en) 2013-03-26
GB2497484A (en) 2013-06-12
US20130164905A1 (en) 2013-06-27
US8779491B2 (en) 2014-07-15
DE112011102446T5 (de) 2013-08-22
WO2012045509A1 (en) 2012-04-12
GB2497484B (en) 2014-04-23
DE112011102446B4 (de) 2018-04-26
CN103155098A (zh) 2013-06-12
GB201305594D0 (en) 2013-05-15
US8609504B2 (en) 2013-12-17

Similar Documents

Publication Publication Date Title
JP5754825B2 (ja) 改善された信頼性のためにフローティング導電性プレートを備える3dビア・コンデンサ
US9761655B1 (en) Stacked planar capacitors with scaled EOT
JP5638408B2 (ja) 半導体装置及び半導体装置の製造方法
CN103579175A (zh) 具有阻挡层的铜接触插塞
TWI719079B (zh) 半導體裝置、金屬-絕緣體-金屬電容器及相關聯之製作方法
US7439130B2 (en) Semiconductor device with capacitor and method for fabricating the same
US10141392B2 (en) Microstructure modulation for 3D bonded semiconductor structure with an embedded capacitor
US7560795B2 (en) Semiconductor device with a capacitor
US8048736B2 (en) Semiconductor device comprising a capacitor in the metallization system and a method of forming the capacitor
JP2007129233A (ja) 電子デバイス、マルチチップ・スタック、半導体デバイスおよび方法(アクセス可能チップ・スタックおよびその製造方法)
KR102554692B1 (ko) 집적 구조체 및 형성 방법
JP2009267435A (ja) 半導体素子のためのキャパシタおよびその製造方法
WO2011130891A1 (zh) 存储器件及其制造方法
KR100806034B1 (ko) Mim 캐패시터를 가지는 반도체 소자 및 그 제조방법
US7579643B2 (en) Capacitor having high electrostatic capacity, integrated circuit device including the capacitor and method of fabricating the same
CN110783259A (zh) 半导体装置
US10242943B2 (en) Forming a stacked capacitor
US7745280B2 (en) Metal-insulator-metal capacitor structure
TWI726231B (zh) 半導體元件
US7956398B2 (en) Capacitor of semiconductor device and method of fabricating the same
US20090051034A1 (en) Semiconductor device and method for the same
KR20180031900A (ko) 에어 갭을 포함하는 반도체 소자
CN108962818B (zh) 电容结构的制作方法以及电容结构
KR20070052484A (ko) 엠아이엠 캐패시터 및 그 형성방법
TWI841063B (zh) 記憶體裝置和其形成方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20140411

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20150127

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20150130

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20150302

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20150302

RD12 Notification of acceptance of power of sub attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7432

Effective date: 20150302

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20150304

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20150507

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20150508

RD14 Notification of resignation of power of sub attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7434

Effective date: 20150508

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20150522

R150 Certificate of patent or registration of utility model

Ref document number: 5754825

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150