JP2012231007A - 半導体装置の製造方法 - Google Patents

半導体装置の製造方法 Download PDF

Info

Publication number
JP2012231007A
JP2012231007A JP2011098269A JP2011098269A JP2012231007A JP 2012231007 A JP2012231007 A JP 2012231007A JP 2011098269 A JP2011098269 A JP 2011098269A JP 2011098269 A JP2011098269 A JP 2011098269A JP 2012231007 A JP2012231007 A JP 2012231007A
Authority
JP
Japan
Prior art keywords
element isolation
silicon nitride
film
nitride film
silicon oxide
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP2011098269A
Other languages
English (en)
Inventor
Jiro Miyahara
二朗 宮原
Nan Wu
楠 呉
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Micron Memory Japan Ltd
Original Assignee
Elpida Memory Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Elpida Memory Inc filed Critical Elpida Memory Inc
Priority to JP2011098269A priority Critical patent/JP2012231007A/ja
Priority to US13/455,660 priority patent/US8580649B2/en
Publication of JP2012231007A publication Critical patent/JP2012231007A/ja
Withdrawn legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Element Separation (AREA)

Abstract

【課題】高アスペクト比の要求される溝内に緻密な膜質の酸化シリコン膜を形成した素子分離領域を提供する。
【解決手段】半導体基板上にマスク窒化膜3をマスクに素子分離溝4Aを形成し、ライナー窒化膜形成後、気相法により流動性シラザン化合物膜7を溝4Aの上方でマスク窒化膜3の上面より高くなるように形成した後、酸化性雰囲気下で熱処理して第一の酸化シリコン膜8に変換すると同時に緻密化し、その後マスク窒化膜3上面まで平坦化する。
【選択図】図7

Description

本発明は半導体装置の製造方法に関し、詳しくは半導体基板上に分離幅の狭い素子分離領域をボイドや表面の窪み等がなく形成する方法に関する。また、分離幅の狭い素子分離領域と分離幅の広い素子分離領域を同時に形成する方法にも関する。
DRAM(Dynamic Random Access Memory)等の半導体装置において、半導体基板上にトランジスタ等のスイッチング素子を形成する場合、半導体基板中に素子分離領域を形成し、トランジスタ形成領域(活性領域)を画定する。昨今の半導体装置では、素子分離領域として、半導体基板中に形成した溝内に絶縁膜を埋設する浅溝分離(shallow trench isolation:STI)が一般的である。
半導体装置製造におけるSTI埋設は微細化による高アスペクト化により埋設方法が制限される傾向にあり、100nm以下の分離幅を有するSTIの形成には、従来の高密度プラズマ化学気相成長(High-Density Plasma Chemical Vapor Deposition: HDP−CVD)法では、埋設不良(ボイド)が生じるという問題がある。
これに対して、ボイドフリーに微細なSTIを埋設する方法として、塗布絶縁膜(Spin on dielectric:SOD膜)を用いる方法が提案されている。SOD膜では溶媒を用いることから、環境への影響が懸念される。また、形成される膜中に塗布溶媒などに由来する不純物が残存して、素子特性に影響する場合もある。そこで、SOD膜に代えて流動性CVD(Flowable CVD)法が提案されている。流動性CVD法による埋設は、原料として有機シランや有機シロキサンを用い、CVD法で流動性のあるシリコン化合物(主にシラノール(Si(OH)))膜を成膜した後、酸化反応で酸化シリコン膜へと改質させる方法であり、流動性のあるシリコン化合物膜は、狭スペースへと浸透できるために埋設性に優れ、ボイドが生じないという利点がある(特許文献1)。
しかしながら、流動性CVD法による埋設では、酸化膜への改質の過程でSOD膜ほどではないが膜収縮が起こり、微細な分離幅のSTI内の酸化膜ほど疎な膜質になり易い。疎な膜質の酸化膜はウェットエッチングレートが高く、加工不良を引き起こしやすいという問題がある。
そこで、非特許文献1では、流動性CVD法とHDP−CVD法とを組み合わせたSTI形成方法が提案されている。非特許文献1では、埋設に優れる流動性CVD法による酸化膜をボトムアップフィルとして使用し、その上に膜質の良いHDP−CVD法による酸化膜で埋設する積層構造を提案している。
米国特許第7582555明細書
Sung-Woong Chung, Sang-Tae Ahn, 他、"Novel shallow trench isolation process using flowable oxide CVD for sub-100nm DRAM", Electron Devices Meeting, 2002. IEDM '02. Digest. International, p. 233-236
しかし、このような積層構造においては、メモリセル領域でのボトムアップフィル酸化膜の高さの制御が重要となり、ボトムアップフィル酸化膜の高さが低いとHDP−CVD法による酸化膜には再び埋設不良(ボイド)となりやすい。
また、従来の流動性CVD法による酸化シリコン膜はポーラスな膜質となり易く、ウェットエッチングレートが高い。例えば、DRAM等の半導体装置においては、微細な素子分離領域と共に分離幅の広い素子分離領域も形成されるが、流動性CVD法による酸化シリコン膜では、分離幅によってボトムアップフィル酸化膜の高さが異なるという問題があり、素子分離領域の形成の最終工程でウェットエッチングを施すと、ウェットエッチングレートの低いHDP−CVD法による酸化膜からウェットエッチングレートの高い流動性CVD法による酸化シリコン膜が現れると急激にエッチングが進み、素子分離領域の表面高さが不揃いになる場合もある。
本発明者らは、DRAMのメモリセル領域などのSTIとして高アスペクト比の要求される溝内に、流動性CVD法による酸化膜で埋設する方法について鋭意検討した結果、ウェットエッチングレートの低い緻密な膜質の酸化シリコン膜を提供する方法として、以下の本発明に到達したものである。
すなわち、本発明の一実施形態によれば、
半導体基板上に、第一の素子分離領域で囲まれる第一の活性領域を有する半導体装置の製造方法において、
前記半導体基板表面に窒化シリコン膜を形成する工程と、
前記窒化シリコン膜をエッチングし、前記第一の活性領域に対応するマスク窒化シリコン膜のパターンを形成する工程と、
前記マスク窒化シリコン膜をマスクとして、前記半導体基板をエッチングし、前記第一の素子分離領域に対応する第一の素子分離溝を形成する工程と、
前記第一の素子分離溝の少なくとも内面にライナー窒化シリコン膜を形成する工程と、
前記第一の素子分離溝を埋設すると共に前記マスク窒化シリコン膜上面よりも上方に表面が位置するように、気相法により流動性シラザン化合物を形成する工程と、
前記流動性シラザン化合物膜の酸化性雰囲気中での熱処理を含み、前記流動性シラザン化合物膜を第一の酸化シリコン膜に変換すると共に緻密化する工程と、
緻密化された前記第一の酸化シリコン膜を前記マスク窒化シリコン膜の上面高さまで平坦化する工程と、
を備えることを特徴とする半導体装置の製造方法、
が提供される。
流動性シラザン化合物から変換された第一の酸化シリコン膜は、熱酸化により体積収縮されるが、流動性シラザン化合物をマスク窒化シリコン膜上に形成されたライナー窒化シリコン膜の上面より形成される表面高さを高く保持する、すなわち、オーバーフィルさせることで、縦方向の膜収縮スペースを確保することができ、膜質が疎になることを抑制することができる。
また、流動性シラザン化合物を用いることで、酸化膜への変換の際に従来の流動性シラノールのような脱水反応を伴わないため、体積収縮率が小さく、オーバーフィルと組み合わせることでより膜質が疎になる傾向を抑制できる。
本発明を適用する分離幅の狭い第一の素子分離領域と分離幅の広い第二の素子分離領域とを説明する平面図である。 本発明の一実施形態に係る製造方法を説明する工程断面図であり、(a)は図1のa−a’線での断面図、(b)は図1のb−b’線での断面図に相当する。 本発明の一実施形態に係る製造方法を説明する工程断面図であり、(a)は図1のa−a’線での断面図、(b)は図1のb−b’線での断面図に相当する。 本発明の一実施形態に係る製造方法を説明する工程断面図であり、(a)は図1のa−a’線での断面図、(b)は図1のb−b’線での断面図に相当する。 本発明の一実施形態に係る製造方法を説明する工程断面図であり、(a)は図1のa−a’線での断面図、(b)は図1のb−b’線での断面図に相当する。 本発明の一実施形態に係る製造方法を説明する工程断面図であり、(a)は図1のa−a’線での断面図、(b)は図1のb−b’線での断面図に相当する。 本発明の一実施形態に係る製造方法を説明する工程断面図であり、(a)は図1のa−a’線での断面図、(b)は図1のb−b’線での断面図に相当する。 本発明の一実施形態に係る製造方法を説明する工程断面図であり、(a)は図1のa−a’線での断面図、(b)は図1のb−b’線での断面図に相当する。 本発明の一実施形態に係る製造方法を説明する工程断面図であり、(a)は図1のa−a’線での断面図、(b)は図1のb−b’線での断面図に相当する。 流動性シラザン化合物膜のオーバーフィル量とウェットエッチングレートとの関係を示す図である。 流動性シラザン化合物膜のウェット酸化温度とウェットエッチングレートとの関係を示す図である。
以下、本発明について具体的に実施形態例を挙げて説明するが、本発明はこれに限定されるものではなく、本発明に記載の範囲内で当業者が適宜変更できるものである。
本発明は、100nm以下の分離幅を有する素子分離領域、特に65nm世代以降の微細な分離幅を有する素子分離領域の形成に適した方法である。以下、このような微細な分離幅を有する素子分離領域を第一の素子分離領域と称す。なお、第一の素子分離領域のアスペクト比(深さ/分離幅)としては、3以上である場合への適用が好ましい。
一方、半導体装置においては、第一の素子分離領域以外に、第一の素子分離領域よりも分離幅の広い素子分離領域(以下、第二の素子分離領域と称す)を有していることが多い。以下の説明では、第二の素子分離領域も同時形成する方法について説明するが、これに限定されるものではない。
製造工程としては、まず、半導体基板上にハードマスクとなる窒化シリコン膜を形成する。窒化シリコン膜の形成に先駆けて、半導体基板表面を保護するパッド酸化シリコン膜を形成しても良い。窒化シリコン膜の膜厚は、半導体基板に形成する素子分離領域の深さに応じて、適宜最適の膜厚となるように形成するが、通常、50〜100nm程度の厚みに形成する。
次に形成した窒化シリコン膜をハードマスクとして加工するため、フォトリソグラフィー技術を用いてパターニングする。これにより、第一の素子分離領域に囲まれる活性領域(以下、第一の活性領域と称す)に対応するマスク窒化シリコン膜のパターンが形成される。また、第二の素子分離領域に囲まれる活性領域(以下、第二の活性領域と称する。なお、第二の活性領域は第一の活性領域と同一形状であっても異なる形状であっても良い。)に対応するマスク窒化膜のパターンも形成される。なお、第一の素子分離領域と第二の素子分離領域とは隔離された領域である必要はなく、第一の素子分離領域から第二の素子分離領域に連続していても良い。このような場合、第一の素子分離領域と第二の素子分離領域とに囲まれた活性領域が存在する。以下の説明では、便宜上、第一及び第二の活性領域を区別することなく、単に活性領域と表示する場合がある。
図1は、半導体基板1表面に活性領域に対応するマスク窒化シリコン膜3を形成した一例を示す平面図であり、隣接するマスク窒化シリコン膜3間の間隔が狭い領域を第一の素子分離領域に対応する領域A、領域Aよりも幅広の領域を第二の素子分離領域に対応する領域Bとする。領域Aの間のマスク窒化シリコン膜3の下が第一の活性領域1A、領域Bの間のマスク窒化シリコン膜3の下が第二の活性領域1Bとなる。
形成されたマスク窒化シリコン膜3をマスクとして半導体基板1をエッチングし、第一の素子分離領域に対応する第一の素子分離溝を形成する。また、第二の素子分離領域に対応する第二の素子分離溝も形成する。
図2に半導体基板エッチング後の断面図を示す。図2(a)は図1のa−a’線での断面図であり、図2(b)は図1のb−b’線での断面図をそれぞれ示す。以下の図3〜図10も同様である。なお、この例ではパッド酸化シリコン膜2が半導体基板1とマスク窒化シリコン膜3の間に形成されている。このようにして、第一の素子分離溝4Aと第二の素子分離溝4Bが形成される。例えば、第一の素子分離溝4Aは開口幅50nm、第二の素子分離溝4Bは開口幅は200nmとし、深さを150〜300nm程度に形成する。
次に、図3に示すように、第一の素子分離溝4Aの内壁を含む全面にライナー窒化シリコン膜6を形成する。ライナー窒化シリコン膜6は第二の素子分離溝4Bの内壁にも形成され、また、マスク窒化シリコン膜3の側壁から上面にかけても形成される。なお、ライナー窒化シリコン膜6の形成に先駆けて、第一及び第二の素子分離溝の内壁に薄いライナー酸化シリコン膜5を形成しても良い。このようなライナー酸化シリコン膜5は、ISSG(In Situ Steam Generation)酸化法で第一及び第二の素子分離溝内に露出した半導体基板(シリコン基板)を酸化することで形成することができる。さらに、ライナー窒化シリコン膜6は窒化シリコン膜と酸窒化シリコン(SiON)膜の積層膜としても良い。ここで、ライナー酸化シリコン膜5の厚さは例えば1〜4nm、ライナー窒化シリコン膜6の厚さは例えば2〜8nmとすることができる。なお、ライナー窒化シリコン膜6はライナー酸化シリコン膜5を厚く形成した後、窒化処理して形成することもできる。その場合には、マスク窒化シリコン膜3の表面にはライナー窒化シリコン膜6は形成されない。
次に、図4に示すように、ライナー窒化シリコン膜6上に流動性シラザン化合物膜7を形成する。流動性シラザン化合物膜7は、第一の素子分離溝4Aを完全に埋め込んで、第一の活性領域上に残存するマスク窒化シリコン膜3の上面(ライナー窒化シリコン膜6が形成されている場合はその上面とし、本発明では、ライナー窒化シリコン膜6がマスク窒化シリコン膜3上に形成されている場合を含めて「マスク窒化シリコン膜上面」と定義する)よりその表面高さが高くなるように形成する(以下、オーバーフィルと称す)。オーバーフィルするのは、後工程で流動性シラザン化合物膜7から酸化シリコン膜への変換において縦方向の膜収縮スペースを確保して、さらに、マスク窒化シリコン膜3上と第一の素子分離溝4A上との高さを同程度とし、熱処理後にも単独での平坦化を可能とするためである。但し、オーバーフィルする高さ(オーバーフィル量という)が余り大きいと、後工程の酸化シリコン膜への変換が第一の分離溝4Aの底部に行くにしたがって不十分となる。その結果、マスク窒化シリコン膜3をエッチングストッパとしたCMPによる平坦化後にウェットエッチングで半導体基板の上面高さまで酸化シリコン膜を後退させる工程で、疎な膜質の酸化シリコン膜が第一の分離溝4Aの開口部近傍に残り、大きくえぐれてしまう場合がある。したがって、本発明では所定のオーバーフィル量となるように形成する。オーバーフィル量については後述する。
第二の素子分離溝4Bでは、第二の素子分離領域の分離幅により、流動性シラザン化合物膜7の表面高さは異なる。これは、流動性シラザン化合物が高い表面エネルギーを有する流動体であることで、幅の狭い第一の素子分離溝4Aでは、第一の素子分離溝4Aの開口上面から流動性シラザンが流動充填され、さらに表面張力により第一の素子分離溝4Aの上部にオーバーフィルとして滞留するのに対して、幅の広い第二の素子分離溝4Bでは、マスク窒化シリコン膜3上の堆積物は第二の素子分離溝4B壁面から底部に引っ張られ、第二の素子分離溝4B間の活性領域の幅にもよるが、マスク窒化シリコン膜3上の滞留はわずかである。また、幅の広い第二の素子分離溝4Bを埋め込むには堆積量が少ないため、第二の素子分離溝4Bでは流動性シラザン化合物膜7の表面高さがマスク窒化シリコン膜3の上面より低く、さらには図示するように半導体基板1の表面よりも低くなる。第二の素子分離溝4Bを全て埋め込むように流動性シラザン化合物膜7を形成してもよいが、第一の分離溝4A上の所定のオーバーフィル量を満たせなくなる場合もある。なお、第二の素子分離溝4Bの壁面には流動性シラザン化合物の表面張力によりせり上がりが見られる。
本発明で使用する「流動性シラザン化合物」とは、構造中にSi−NH−結合を有する化合物である。これは、SOD膜として一般的に多用されるポリシラザンとは異なり、溶媒に溶解して塗布するものではなく、気相法により得られるシラザン系化合物の液状(ゲル化)物である。
流動性シラザン化合物膜7は、通常、CVD法により形成され、SiとNを含む原料化合物(例えばアミノシラン類、シラザン類など)を気化して、必要により一部改質してシラザン化合物として堆積すると同時に、流動化して第一の素子分離溝を充填して埋設することができる。
次に、図5に示すように、形成された流動性シラザン化合物膜7は熱処理することにより所望の第一の酸化シリコン膜8に変換することができる。この熱処理では、第一の酸化シリコン膜8への変換と同時に緻密化を行うことが好ましく、また、残存するSi−NH−結合をSi−O−結合に変換するために、少なくとも酸化性雰囲気下で熱処理する。熱処理に際して半導体基板の酸化を抑制するため、前述のように溝内にはライナー窒化シリコン膜を形成しておくことが好ましい。流動性シラザン化合物膜7を酸化して得られる第一の酸化シリコン膜8のウェットエッチングレートは熱処理温度に依存しており、高温で処理するほどエッチングレートは低くなる。200℃以上で酸化シリコン膜への変換は可能であるが、緻密化を同時に行うために、700℃以上で熱処理することが好ましい。一方、本発明を適用する素子分離領域の分離幅は、世代が進むにつれてさらに狭くなっていく。このような状況下では、基板の酸化を抑制するライナー窒化シリコン膜の膜厚がより高い温度での酸化抑制を満たす厚い膜厚での形成は困難となる。したがって、熱処理温度としては、1000℃以下、特に酸化性雰囲気下では900℃以下で実施する。また、熱処理は、一段で行う以外に多段に行うことができる。例えば、200℃〜500℃程度の低温の酸化性雰囲気下でSi−NH−結合をSi−O−結合に変換する1段目の熱処理と、700℃〜900℃の酸化性雰囲気下で残存するSi−NH−結合をSi−O−結合に変換すると共に緻密化を行う2段目の熱処理と、さらに700℃〜1000℃の不活性ガス雰囲気下で緻密化を行う3段目の熱処理を行うことができる。このように、多段で熱処理することにより、1段目の熱処理では酸化シリコン膜が緻密化される前に、酸化シリコン膜からの脱ガスが容易となり、さらに酸化性雰囲気で緻密化した後、不活性ガス雰囲気下での緻密化を行うことで、半導体基板の酸化を抑制することができる。また、酸化性雰囲気としては、酸素(O)、オゾン(O)、水(HO)等の公知の酸化剤の存在下で行う方法が挙げられるが、特に水(水蒸気)を用いるウェット酸化が好ましい。ウェット酸化による2段目の700℃〜900℃の熱処理では、30〜120分の範囲で実施することが好ましい。900℃のウェット酸化では、後述するHDP−CVD法による酸化シリコン膜とほぼ同等のウェットエッチングレートが得られることを確認している。熱処理温度とウェットエッチングレートとの関係については後述する。
次に、図6に示すように、第一の酸化シリコン膜8を形成した後、HDP−CVD法による酸化シリコン膜(第二の酸化シリコン膜9という)の堆積を行う。この第二の酸化シリコン膜9の堆積では、第二の素子分離溝4Bを埋め込むように実施される。この時、第一の素子分離溝4A上の第一の酸化シリコン膜8上にも第二の酸化シリコン膜9が堆積する。
次に、図7に示すように、マスク窒化シリコン膜3をエッチングストッパとするCMPにより平坦化する。これにより、第一の素子分離溝4Aには第一の酸化シリコン膜8のみが残り、第二の素子分離溝4Bでは第一の酸化シリコン膜8上に第二の酸化シリコン膜9を有する積層構造となる。
次に、図8に示すように、マスク窒化シリコン膜3及びライナー窒化シリコン膜6を除去する。これらの除去には熱リン酸を用いたウェットエッチングを適用することができる。
最後に、図9に示すように、第一の酸化シリコン膜8及び第二の酸化シリコン膜9を基板表面高さまでエッチングにより後退させる。パッド酸化シリコン膜2を形成している場合には、パッド酸化シリコン膜2も除去される。このエッチングには希フッ酸又はバッファードフッ酸を薬液としたウェットエッチングを適用することができる。なお、図9に示す第一の酸化シリコン膜8及び第二の酸化シリコン膜9のウェットエッチングは、マスク窒化シリコン膜3及びライナー窒化シリコン膜6の除去前に実施しても良い。また、第一の酸化シリコン膜8及び第二の酸化シリコン膜9のウェットエッチングを基板表面高さまでは実施せず、途中まで行った後にマスク窒化シリコン膜3及びライナー窒化シリコン膜6の除去を行い、その後、残りの第一の酸化シリコン膜8及び第二の酸化シリコン膜9のウェットエッチングを基板表面高さまで行うこともできる。
以上により、分離幅の狭い第一の素子分離領域と分離幅の広い第二の素子分離領域とが完成する。
なお、以上の説明では、第二の酸化シリコン膜をHDP−CVD法により形成しているがこれに限定されず、その他の公知の酸化シリコン膜形成方法を適用することができる。
(実験例1:オーバーフィル量)
次に、前述の流動性シラザン化合物膜7のオーバーフィル量について説明する。試験として、オーバーフィル量を変えて流動性シラザン化合物膜7を形成した後、300℃ウェット酸化(30分)+800℃ウェット酸化(60分)+800℃窒素処理(30分)の熱処理を行って第一の酸化シリコン膜7への変換及び緻密化を実施し、前述の工程と同様にCMPによる平坦化後、ウェットエッチングにおけるエッチングレートを測定した。オーバーフィル量として、0nm(つまり、第一の素子分離溝上の流動性シラザン化合物膜7の上面の高さがマスク窒化シリコン膜3上のライナー窒化シリコン膜6の上面高さと同じ)、50nm、300nmとした。なお、HDP−CVD膜の成膜は行っていない。また、0nmの場合はCMPによる平坦化を行っていない。したがって、50nm及び300nmの場合のエッチングレートは、第一の酸化シリコン膜7への変換後の表面のエッチングレートではなく、オーバーフィル量を研磨後の表面からのエッチングレートである。結果を図10に示す。同図に示すように、オーバーフィル量が0nmの水準に対して、300nmの水準では約1.5倍のエッチングレート比があるが、50nmの水準ではほぼ同等のエッチングレート比が得られている。本発明者らの検討によれば、オーバーフィル量が30nm以上で、緻密化後に体積収縮しても第一の酸化シリコン膜単独での平坦化が可能であり、一方、70nm以下であれば、エッチングレート比も0nm水準とほぼ同等であることが確認されている。
(実験例2:熱処理温度)
次に、熱処理温度についての検討を行った。なお、この熱処理温度は緻密化のための高温での熱処理温度について検討したものである。試験に供したサンプルは、オーバーフィル量を50nmとして、300℃ウェット酸化(30分)を実施した後、その後の高温でのウェット酸化(60分)、不活性ガス雰囲気下での熱処理(ウェット酸化と同温度で30分)の温度を変えて実施した。また、対照としてHDP−CVDにより形成された第二の酸化シリコン膜と比較した。結果を図11に示す。同図に示すように、熱処理温度が高くなるほどウェットエッチングレートが低くなることが分かる。前述の通り、1000℃でのウェット酸化ではライナー窒化シリコン膜の要求膜厚が厚くなることで、微細な素子分離領域の形成が困難となる場合がある。900℃でのウェット酸化ではHDP−CVDによる第二の酸化シリコン膜とほぼ同等のエッチングレートが得られていることから、この例では900℃の熱処理条件が最も好ましいといえる。なお、不活性ガス雰囲気下での熱処理では半導体基板の酸化の影響が少ないことから、ウェット酸化を700℃で実施した後、不活性ガス雰囲気下での熱処理を900℃で実施することもできる。
これらの結果から、第一の酸化シリコン膜のウェットエッチングレートを第二の酸化シリコン膜に対するウェットエッチングレート比として、0.7〜1.3、より好ましくは0.8〜1.2の範囲、最適にはほぼ同等となるように熱処理条件を調整することが好ましい。
本発明の適用範囲として、DRAM等のメモリセル領域における微細な素子分離領域を第一の素子分離領域として形成し、メモリセル領域と周辺回路領域の境界領域及び周辺回路領域の素子分離領域を第二の素子分離領域として形成することができる。また、第二の素子分離領域としては、第一の素子分離領域よりも分離幅が大きければ、分離幅の異なる複数の素子分離領域が存在していても良い。なお、第二の素子分離領域として分離幅の異なる複数の素子分離領域が存在している場合、少なくとも一つの素子分離領域が第一の酸化シリコン膜と第二の酸化シリコン膜の積層構造であれば、それよりも分離幅の狭い素子分離領域では第一の酸化シリコン膜のみで構成されていても良い。
1 半導体基板
2 パッド酸化シリコン膜
3 マスク窒化シリコン膜
4A 第一の素子分離溝
4B 第二の素子分離溝
5 ライナー酸化シリコン膜
6 ライナー窒化シリコン膜
7 流動性シラザン化合物膜
8 第一の酸化シリコン膜
9 第二の酸化シリコン膜

Claims (16)

  1. 半導体基板上に、第一の素子分離領域で囲まれる第一の活性領域を有する半導体装置の製造方法において、
    前記半導体基板表面に窒化シリコン膜を形成する工程と、
    前記窒化シリコン膜をエッチングし、前記第一の活性領域に対応するマスク窒化シリコン膜のパターンを形成する工程と、
    前記マスク窒化シリコン膜をマスクとして、前記半導体基板をエッチングし、前記第一の素子分離領域に対応する第一の素子分離溝を形成する工程と、
    前記第一の素子分離溝の少なくとも内面にライナー窒化シリコン膜を形成する工程と、
    前記第一の素子分離溝を埋設すると共に前記マスク窒化シリコン膜上面よりも上方に表面が位置するように、気相法により流動性シラザン化合物を形成する工程と、
    前記流動性シラザン化合物膜の酸化性雰囲気中での熱処理を含み、前記流動性シラザン化合物膜を第一の酸化シリコン膜に変換すると共に緻密化する工程と、
    緻密化された前記第一の酸化シリコン膜を前記マスク窒化シリコン膜の上面高さまで平坦化する工程と、
    を備えることを特徴とする半導体装置の製造方法。
  2. 前記流動性シラザン化合物を形成する工程において、前記流動性シラザン化合物の表面が前記マスク窒化シリコン膜上面より30〜70nm上方に位置するように形成することを特徴とする請求項1に記載の半導体装置の製造方法。
  3. 前記酸化性雰囲気中での熱処理は、水蒸気含有雰囲気中、700〜900℃、30〜120分の熱処理を含むことを特徴とする請求項1又は2に記載の半導体装置の製造方法。
  4. 前記第一の酸化シリコン膜に変換すると共に緻密化する工程は、酸化性雰囲気中での熱処理として、水蒸気含有雰囲気中、200〜500℃の1段目の熱処理と、700〜900℃の2段目の熱処理を含み、さらに不活性ガス雰囲気中で700℃〜1000℃の3段目の緻密化のための熱処理を含む請求項3に記載の半導体装置の製造方法。
  5. 前記ライナー窒化シリコン膜は、前記マスク窒化シリコン膜の表面にも形成されており、前記マスク窒化シリコン膜上面は、前記ライナー窒化シリコン膜の上面である請求項1乃至3のいずれか1項に記載の半導体装置の製造方法。
  6. 前記マスク窒化シリコン膜の膜厚が50〜100nmの範囲である請求項1乃至5のいずれか1項に記載の半導体装置の製造方法。
  7. 前記マスク窒化シリコン膜の形成前に半導体基板表面にパッド酸化シリコン膜を形成する工程を有する請求項1乃至6のいずれか1項に記載の半導体装置の製造方法。
  8. 前記ライナー窒化シリコン膜の形成前に、前記第一の素子分離溝の内壁にライナー酸化シリコン膜を形成する工程を有する請求項1乃至7のいずれか1項に記載の半導体装置の製造方法。
  9. 前記ライナー酸化シリコン膜の膜厚が1〜4nmである請求項8に記載の半導体装置の製造方法。
  10. 前記ライナー窒化シリコン膜の膜厚が2〜8nmである請求項1乃至9のいずれか1項に記載の半導体装置の製造方法。
  11. 前記ライナー窒化シリコン膜は窒化シリコン膜と酸窒化シリコン膜の積層膜である請求項1乃至10のいずれか1項に記載の半導体装置の製造方法。
  12. 半導体基板上に、前記第一の素子分離領域と、前記第一の素子分離領域よりも分離幅の広い第二の素子分離領域を同時に形成する工程を備え、
    前記第一の素子分離領域に対応する第一の素子分離溝を形成する工程では、同時に第二の素子分離領域に対応する第二の素子分離溝を形成し、第一の素子分離溝と同じ工程を経て第一の酸化シリコン膜の形成を行った後、第一の酸化シリコン膜上に第二の酸化シリコン膜を形成する工程をさらに有し、
    第二の酸化シリコン膜の形成後に平坦化を行う請求項1乃至11のいずれか1項に記載の半導体装置の製造方法。
  13. 前記第二の酸化シリコン膜は高密度プラズマ化学気相成長による形成される請求項12に記載の半導体装置の製造方法。
  14. 前記第二の素子分離溝内に形成される第一の酸化シリコン膜は、前記第二の素子分離溝内を埋設しない膜厚に形成され、前記第二の酸化シリコン膜により前記第二の素子分離溝が埋設される請求項12又は13に記載の半導体装置の製造方法。
  15. 前記平坦化後に、
    前記マスク窒化シリコン膜を除去する工程と、
    ウェットエッチングにより前記第一及び第二の酸化シリコン膜を半導体基板表面高さまで後退させる工程と、
    を備える請求項12乃至14のいずれか1項に記載の半導体装置の製造方法。
  16. 前記第一の酸化シリコン膜のウェットエッチングレートを前記第二の酸化シリコン膜に対するウェットエッチングレート比として、0.7〜1.3の範囲内となるように、第一の酸化シリコン膜の熱処理条件を調節する請求項15に記載の半導体装置の製造方法。
JP2011098269A 2011-04-26 2011-04-26 半導体装置の製造方法 Withdrawn JP2012231007A (ja)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP2011098269A JP2012231007A (ja) 2011-04-26 2011-04-26 半導体装置の製造方法
US13/455,660 US8580649B2 (en) 2011-04-26 2012-04-25 Method for manufacturing semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2011098269A JP2012231007A (ja) 2011-04-26 2011-04-26 半導体装置の製造方法

Publications (1)

Publication Number Publication Date
JP2012231007A true JP2012231007A (ja) 2012-11-22

Family

ID=47068202

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2011098269A Withdrawn JP2012231007A (ja) 2011-04-26 2011-04-26 半導体装置の製造方法

Country Status (2)

Country Link
US (1) US8580649B2 (ja)
JP (1) JP2012231007A (ja)

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2014084132A1 (ja) * 2012-11-30 2014-06-05 ピーエスフォー ルクスコ エスエイアールエル 装置及びその製造方法
US9082866B2 (en) 2013-02-22 2015-07-14 Kabushiki Kaisha Toshiba Semiconductor storage device and method of manufacturing the same
US9331144B2 (en) 2012-09-04 2016-05-03 Ps4 Luxco S.A.R.L. Semiconductor device and method for producing the same
US9385112B1 (en) 2015-06-22 2016-07-05 Micron Technology, Inc. Semiconductor devices
US9741723B2 (en) 2015-02-10 2017-08-22 Micron Technology, Inc. Semiconductor device having shallow trench isolation structure
JP2017537455A (ja) * 2014-09-12 2017-12-14 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 注入を用いた流動性膜特性のチューニング
JP2019503590A (ja) * 2015-12-21 2019-02-07 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー ケイ素含有膜の堆積のための組成物及びそれを用いた方法
JP2020533803A (ja) * 2017-09-12 2020-11-19 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 保護バリア層を使用して半導体構造を製造する装置および方法
KR20240016956A (ko) 2021-05-31 2024-02-06 도소 가부시키가이샤 평탄화막의 제조 방법, 평탄화막용 재료 및 평탄화막

Families Citing this family (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8946048B2 (en) 2010-06-19 2015-02-03 Sandisk Technologies Inc. Method of fabricating non-volatile memory with flat cell structures and air gap isolation
US8603890B2 (en) 2010-06-19 2013-12-10 Sandisk Technologies Inc. Air gap isolation in non-volatile memory
US8492224B2 (en) 2010-06-20 2013-07-23 Sandisk Technologies Inc. Metal control gate structures and air gap isolation in non-volatile memory
US9123714B2 (en) 2012-02-16 2015-09-01 Sandisk Technologies Inc. Metal layer air gap formation
US9123577B2 (en) * 2012-12-12 2015-09-01 Sandisk Technologies Inc. Air gap isolation in non-volatile memory using sacrificial films
US20140315371A1 (en) * 2013-04-17 2014-10-23 International Business Machines Corporation Methods of forming isolation regions for bulk finfet semiconductor devices
US9184089B2 (en) * 2013-10-04 2015-11-10 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanism of forming a trench structure
US20150140819A1 (en) * 2013-11-19 2015-05-21 United Microelectronics Corp. Semiconductor process
US9349740B2 (en) 2014-01-24 2016-05-24 Sandisk Technologies Inc. Non-volatile storage element with suspended charge storage region
CN106328702B (zh) * 2015-06-15 2020-03-06 联华电子股份有限公司 填充半导体元件间隙的方法及其形成的半导体元件
US9871100B2 (en) 2015-07-29 2018-01-16 Taiwan Semiconductor Manufacturing Co., Ltd. Trench structure of semiconductor device having uneven nitrogen distribution liner
US9799529B2 (en) * 2016-03-17 2017-10-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method of planarizing a film layer
KR102481479B1 (ko) 2016-04-29 2022-12-26 삼성전자 주식회사 집적회로 소자 및 그 제조 방법
US9847245B1 (en) 2016-06-16 2017-12-19 Samsung Electronics Co., Ltd. Filling processes
CN110676221B (zh) 2018-07-02 2022-04-19 联华电子股份有限公司 半导体元件及其制作方法
CN110707037A (zh) * 2018-08-29 2020-01-17 联华电子股份有限公司 形成绝缘结构的方法
US11120997B2 (en) * 2018-08-31 2021-09-14 Taiwan Semiconductor Manufacturing Co., Ltd. Surface treatment for etch tuning
CN110943031B (zh) * 2018-09-21 2022-03-04 长鑫存储技术有限公司 半导体器件的制备方法
US10847409B2 (en) * 2018-09-27 2020-11-24 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
TWI706452B (zh) * 2019-04-11 2020-10-01 台灣茂矽電子股份有限公司 閘結構之製造方法及閘結構
CN111211090B (zh) * 2019-12-11 2020-11-13 合肥晶合集成电路有限公司 沟槽制作方法及半导体隔离结构制作方法
US20210233764A1 (en) * 2020-01-28 2021-07-29 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor Device and Method of Forming Thereof

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7582555B1 (en) 2005-12-29 2009-09-01 Novellus Systems, Inc. CVD flowable gap fill
KR100556527B1 (ko) * 2004-11-04 2006-03-06 삼성전자주식회사 트렌치 소자 분리막 형성 방법 및 불휘발성 메모리 장치의제조 방법

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9331144B2 (en) 2012-09-04 2016-05-03 Ps4 Luxco S.A.R.L. Semiconductor device and method for producing the same
DE112013004335B4 (de) 2012-09-04 2018-10-31 Longitude Semiconductor S.à.r.l. Halbleiterbauelement mit Elementisolationsgebieten und Verfahren zu dessen Herstellung
WO2014084132A1 (ja) * 2012-11-30 2014-06-05 ピーエスフォー ルクスコ エスエイアールエル 装置及びその製造方法
US9082866B2 (en) 2013-02-22 2015-07-14 Kabushiki Kaisha Toshiba Semiconductor storage device and method of manufacturing the same
JP2017537455A (ja) * 2014-09-12 2017-12-14 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 注入を用いた流動性膜特性のチューニング
US9741723B2 (en) 2015-02-10 2017-08-22 Micron Technology, Inc. Semiconductor device having shallow trench isolation structure
US9385112B1 (en) 2015-06-22 2016-07-05 Micron Technology, Inc. Semiconductor devices
JP2019503590A (ja) * 2015-12-21 2019-02-07 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー ケイ素含有膜の堆積のための組成物及びそれを用いた方法
JP2020533803A (ja) * 2017-09-12 2020-11-19 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 保護バリア層を使用して半導体構造を製造する装置および方法
JP7274461B2 (ja) 2017-09-12 2023-05-16 アプライド マテリアルズ インコーポレイテッド 保護バリア層を使用して半導体構造を製造する装置および方法
KR20240016956A (ko) 2021-05-31 2024-02-06 도소 가부시키가이샤 평탄화막의 제조 방법, 평탄화막용 재료 및 평탄화막

Also Published As

Publication number Publication date
US20120276713A1 (en) 2012-11-01
US8580649B2 (en) 2013-11-12

Similar Documents

Publication Publication Date Title
JP2012231007A (ja) 半導体装置の製造方法
KR100280107B1 (ko) 트렌치 격리 형성 방법
US6566229B2 (en) Method of forming an insulating layer in a trench isolation type semiconductor device
US7238586B2 (en) Seamless trench fill method utilizing sub-atmospheric pressure chemical vapor deposition technique
US20150357232A1 (en) Method for manufacturing semiconductor device
JP2008103645A (ja) 半導体装置の製造方法
US7902037B2 (en) Isolation structure in memory device and method for fabricating the same
US20090036629A1 (en) Polysilazane perhydride solution and method of manufacturing a semiconductor device using the same
US20010006839A1 (en) Method for manufacturing shallow trench isolation in semiconductor device
JP2006344659A (ja) 半導体装置及びその製造方法
WO2014038683A1 (ja) 半導体装置及びその製造方法
JP2009099909A (ja) 半導体装置の製造方法
CN110867408B (zh) 沟槽的填充方法
US8163627B2 (en) Method of forming isolation layer of semiconductor device
US20080160716A1 (en) Method for fabricating an isolation layer in a semiconductor device
JP2010263104A (ja) 半導体装置及びその製造方法
KR20070070967A (ko) 반도체 소자의 소자분리막 형성방법
KR100745954B1 (ko) 플래쉬 메모리 소자의 제조방법
KR100611781B1 (ko) 반도체 장치의 소자분리막 및 그 형성방법
KR100979711B1 (ko) 반도체장치의 트렌치 갭필 방법
JP2015061015A (ja) 半導体装置の製造方法
KR100533966B1 (ko) 트렌치 구조의 소자분리막 및 그 제조 방법
KR20080029646A (ko) 반도체 소자의 소자분리막 형성 방법
JP2014212230A (ja) 半導体装置の製造方法
KR100344771B1 (ko) 반도체장치의 소자격리방법

Legal Events

Date Code Title Description
A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A711

Effective date: 20130730

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20140407

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20140411

A761 Written withdrawal of application

Free format text: JAPANESE INTERMEDIATE CODE: A761

Effective date: 20150119