JP2012004170A - Method of manufacturing semiconductor integrated circuit device - Google Patents

Method of manufacturing semiconductor integrated circuit device Download PDF

Info

Publication number
JP2012004170A
JP2012004170A JP2010135165A JP2010135165A JP2012004170A JP 2012004170 A JP2012004170 A JP 2012004170A JP 2010135165 A JP2010135165 A JP 2010135165A JP 2010135165 A JP2010135165 A JP 2010135165A JP 2012004170 A JP2012004170 A JP 2012004170A
Authority
JP
Japan
Prior art keywords
film
integrated circuit
semiconductor integrated
manufacturing
circuit device
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP2010135165A
Other languages
Japanese (ja)
Inventor
Takuya Hagiwara
琢也 萩原
Mamoru Terai
護 寺井
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Renesas Electronics Corp
Original Assignee
Renesas Electronics Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Renesas Electronics Corp filed Critical Renesas Electronics Corp
Priority to JP2010135165A priority Critical patent/JP2012004170A/en
Publication of JP2012004170A publication Critical patent/JP2012004170A/en
Withdrawn legal-status Critical Current

Links

Images

Abstract

PROBLEM TO BE SOLVED: To provide a method of manufacturing a semiconductor integrated circuit device to solve the problem in which: in mass production processes of semiconductor integrated circuit devices it is difficult, when reconstructing multilayer resist patterns, to remove a silicon containing intermediate film in a multilayer resist film by using a normal resist stripping liquid or an oxygen plasma treatment because the liquid for removing resist containing no silicon or the treatment by oxygen plasma normally has a low removing ability of silicon.SOLUTION: A wet treatment using a medicinal solution is executed on a multilayer resist film after an ozone treatment in a step of removing a silicon-contained intermediate layer of a multilayer resist film on a wafer in a process of manufacturing a semiconductor integrated circuit device.

Description

本発明は、半導体集積回路装置(または半導体装置)の製造方法におけるリソグラフィ技術に適用して有効な技術に関する。   The present invention relates to a technique effective when applied to a lithography technique in a method for manufacturing a semiconductor integrated circuit device (or a semiconductor device).

日本特開2003−332313号公報(特許文献1)には、単層レジストによるドライエッチング後のレジスト膜の除去工程において、最初に遠紫外線を照射した後、プラズマアッシングまたは剥離液によりレジスト膜を除去する技術が開示されている。ここで、遠紫外線の照射は、照射により生成したオゾンによって、レジストを構成する有機物の結合を切断する作用がある。   In Japanese Patent Application Laid-Open No. 2003-332313 (Patent Document 1), in the step of removing a resist film after dry etching with a single layer resist, after first irradiating far ultraviolet rays, the resist film is removed by plasma ashing or a stripping solution. Techniques to do this are disclosed. Here, irradiation with far ultraviolet rays has an action of cutting bonds of organic substances constituting the resist by ozone generated by irradiation.

日本特開2009−170554号公報(特許文献2)または国際公開第2009/87958号パンフレット(特許文献3)には、高濃度のイオン注入のマスクとして使用され、硬化したレジスト膜を除去する工程において、まず、レジスト表面の硬化層を酸化処理により酸化し、続いて、レジスト溶剤によりレジスト膜を膨潤させ、最後に、同溶剤により、レジスト膜を除去する技術が開示されている。ここで、酸化処理は、エキシマランプの照射により、オゾンを発生させて、それにより硬化層を酸化させるものである。   Japanese Patent Application Laid-Open No. 2009-170554 (Patent Document 2) or International Publication No. 2009/87958 (Patent Document 3) uses a mask for high-concentration ion implantation and removes a cured resist film. First, a technique is disclosed in which a cured layer on a resist surface is oxidized by an oxidation treatment, followed by swelling the resist film with a resist solvent, and finally removing the resist film with the solvent. Here, the oxidation treatment is to generate ozone by irradiating an excimer lamp and thereby oxidize the hardened layer.

日本特開2008−39815号公報(特許文献4)または、これに対応する米国特許公開2008−32231号公報(特許文献5)には、上層にシリコンを含まない感光性レジスト膜、中間にシリコンを含む中間膜、および、下層に有機系の下地膜を有する三層レジストを使用するリソグラフィプロセスが開示されている。   In Japanese Unexamined Patent Application Publication No. 2008-39815 (Patent Document 4) or US Patent Publication No. 2008-322231 (Patent Document 5) corresponding thereto, a photosensitive resist film containing no silicon in the upper layer and silicon in the middle is disclosed. A lithographic process is disclosed that uses a three-layer resist having an intermediate film including the organic film and an organic base film as a lower layer.

日本特開2005−311350号公報(特許文献6)には、上層に感光性レジスト膜、中間にSOG膜、および、下層に厚いレジスト膜を有する三層レジストを使用するリソグラフィプロセスが開示されている。   Japanese Unexamined Patent Application Publication No. 2005-31350 (Patent Document 6) discloses a lithography process using a three-layer resist having a photosensitive resist film as an upper layer, an SOG film as an intermediate layer, and a thick resist film as a lower layer. .

特開2003−332313号公報JP 2003-332313 A 特開2009−170554号公報JP 2009-170554 A 国際公開第2009/87958号パンフレットInternational Publication No. 2009/87958 Pamphlet 特開2008−39815号公報JP 2008-39815 A 米国特許公開2008−32231号公報US Patent Publication No. 2008-32231 特開2005−311350号公報JP 2005-311350 A

近年、半導体回路パターンの微細化は、光リソグラフィ技術の進展によるところが大きく、それは主に露光光源の短波長化によりもたらされてきた。しかし、単なる短波長露光装置の価格の高騰による経済的問題のみならず、短波長リソグラフィ技術に必要となる装置、材料、マスク等の多岐にわたる技術課題を解消すること自体の難易度が高く、短波長化以外の方法によるパターンの微細化の検討が多方面で進められている。
32nmノードデバイスの製造には、高屈折率液体を用いたNA1.6近傍の液浸リソグラフィまたは、波長13.5nmのEUV(Extreme Ultraviolet)露光技術レベルの解像性が必要とされるが、現状の両の技術的完成度を考慮すると、市場ニーズに開発が間に合わない恐れがある。また、EUV露光技術は、巨額の投資が必要なため、通常の規模のウエハラインには不向きであるとも見られている。そこで、本願発明者等は、水または高屈折率液体を用いた液浸ArFエキシマレーザ露光の延命を図るため、多層レジスト技術の量産への適用上の問題点を検討した。その結果、量産プロセスにおいては、多層レジストパターンの再生時等に、多層レジスト膜の中間膜であるシリコン含有レジスト膜を除去する必要があるが、シリコンを含有するため通常のレジスト剥離液や酸素プラズマ処理では、除去困難であることが明らかとなった。これは、シリコンを含まないレジスト等の除去液や酸素プラズマによる処理は、通常、シリコンの除去能力が低いからである。また、下層の被加工膜(被加工部材)と元素組成が類似しているため、シリコンを強力に除去する活性種を用いたプラズマ処理が適用できないという制限もある。
In recent years, miniaturization of semiconductor circuit patterns is largely due to the progress of photolithography technology, which has been mainly brought about by shortening the wavelength of the exposure light source. However, it is difficult not only to solve the economic problems caused by the mere rise in the price of short-wavelength exposure equipment, but also to solve various technical issues such as equipment, materials, and masks required for short-wavelength lithography technology. Studies on pattern miniaturization by methods other than wavelength conversion are being promoted in various fields.
The manufacture of a 32 nm node device requires immersion lithography near NA 1.6 using a high refractive index liquid or EUV (Extreme Ultraviolet) exposure technology level resolution at a wavelength of 13.5 nm. Considering both technical perfections, development may not be in time for market needs. Also, the EUV exposure technology is considered unsuitable for a normal scale wafer line because of the huge investment required. Therefore, the inventors of the present application examined problems in applying the multilayer resist technology to mass production in order to extend the life of immersion ArF excimer laser exposure using water or a high refractive index liquid. As a result, in the mass production process, it is necessary to remove the silicon-containing resist film, which is an intermediate film of the multilayer resist film, when the multilayer resist pattern is regenerated. It became clear that the treatment was difficult to remove. This is because a removal solution such as a resist not containing silicon or a treatment with oxygen plasma usually has a low silicon removal capability. In addition, since the elemental composition is similar to that of the lower film to be processed (processed member), there is a limitation that plasma treatment using active species that strongly removes silicon cannot be applied.

本願発明は、これらの課題を解決するためになされたものである。   The present invention has been made to solve these problems.

本発明の目的は、信頼性の高い半導体集積回路装置の製造プロセスを提供することにある。   An object of the present invention is to provide a manufacturing process of a highly reliable semiconductor integrated circuit device.

本発明の前記並びにその他の目的と新規な特徴は本明細書の記述及び添付図面から明らかになるであろう。   The above and other objects and novel features of the present invention will be apparent from the description of this specification and the accompanying drawings.

本願において開示される発明のうち代表的なものの概要を簡単に説明すれば下記の通りである。   The following is a brief description of an outline of typical inventions disclosed in the present application.

すなわち、本願の一つの発明は、半導体集積回路装置の製造プロセス中におけるウエハ上の多層レジスト膜のシリコン含有中間層の除去工程において、多層レジスト膜に対して、オゾン処理を施した後、薬液を用いたウエット処理を実施するものである。   That is, according to one aspect of the present invention, in the step of removing the silicon-containing intermediate layer of the multilayer resist film on the wafer during the manufacturing process of the semiconductor integrated circuit device, the chemical treatment is performed after the multilayer resist film is subjected to ozone treatment. The used wet processing is performed.

本願において開示される発明のうち代表的なものによって得られる効果を簡単に説明すれば下記のとおりである。   The effects obtained by the representative ones of the inventions disclosed in the present application will be briefly described as follows.

すなわち、半導体集積回路装置の製造プロセス中におけるウエハ上の多層レジスト膜のシリコン含有中間層の除去工程において、多層レジスト膜に対して、オゾン処理を施した後、薬液を用いたウエット処理を実施するので、下地の被処理膜に対する悪影響を低減しつつ、シリコン含有中間層を除去することができる。   That is, in the process of removing the silicon-containing intermediate layer of the multilayer resist film on the wafer during the manufacturing process of the semiconductor integrated circuit device, the multilayer resist film is subjected to ozone treatment and then wet treatment using a chemical solution. Therefore, the silicon-containing intermediate layer can be removed while reducing the adverse effect on the underlying film to be processed.

本願の各実施の形態における半導体集積回路装置の製造方法の対象デバイスの一例のチップ断面構造の概要を示すデバイス断面図である。It is a device sectional view showing an outline of a chip section structure of an example of a target device of a manufacturing method of a semiconductor integrated circuit device in each embodiment of this application. 本願の各実施の形態の半導体集積回路装置の製造方法におけるオゾン処理に使用するウエハオゾン処理装置の模式断面図である。1 is a schematic cross-sectional view of a wafer ozone processing apparatus used for ozone processing in a method for manufacturing a semiconductor integrated circuit device according to each embodiment of the present application. 本願の実施の形態1(ビアファーストプロセス)の半導体集積回路装置の製造方法におけるウエハプロセスの要部を示すデバイス断面図(ビアファーストプロセスにおける第2層層間絶縁膜形成完了時点)である。1 is a device cross-sectional view (at the time of completion of formation of a second layer interlayer insulating film in a via first process) showing a main part of a wafer process in a manufacturing method of a semiconductor integrated circuit device according to a first embodiment (via first process) of the present application; 本願の実施の形態1(ビアファーストプロセス)の半導体集積回路装置の製造方法におけるウエハプロセスの要部を示すデバイス断面図(ビア形成用下層レジスト膜塗布工程)である。It is a device sectional view (via formation lower layer resist film application process) showing the main part of the wafer process in the manufacturing method of the semiconductor integrated circuit device of the first embodiment (via first process) of the present application. 本願の実施の形態1(ビアファーストプロセス)の半導体集積回路装置の製造方法におけるウエハプロセスの要部を示すデバイス断面図(ビア形成用中間層レジスト膜塗布工程)である。It is device sectional drawing (intermediate layer resist film application | coating process for via formation) which shows the principal part of the wafer process in the manufacturing method of the semiconductor integrated circuit device of Embodiment 1 (via first process) of this application. 本願の実施の形態1(ビアファーストプロセス)の半導体集積回路装置の製造方法におけるウエハプロセスの要部を示すデバイス断面図(ビア形成用上層レジスト膜塗布工程)である。It is a device sectional view (via formation upper layer resist film application process) showing the main part of the wafer process in the manufacturing method of the semiconductor integrated circuit device of the first embodiment (via first process) of the present application. 本願の実施の形態1(ビアファーストプロセス)の半導体集積回路装置の製造方法におけるウエハプロセスの要部を示すデバイス断面図(ビア形成用上層レジスト膜現像工程)である。It is a device sectional view (via formation upper resist film development process) showing the main part of the wafer process in the manufacturing method of the semiconductor integrated circuit device of the first embodiment (via first process) of the present application. 本願の実施の形態1(ビアファーストプロセス)の半導体集積回路装置の製造方法におけるウエハプロセスの要部を示すデバイス断面図(ビア形成用中間層レジスト膜パターニング工程)である。It is device sectional drawing (intermediate layer resist film patterning process for via formation) which shows the principal part of the wafer process in the manufacturing method of the semiconductor integrated circuit device of Embodiment 1 (via first process) of this application. 本願の実施の形態1(ビアファーストプロセス)の半導体集積回路装置の製造方法におけるウエハプロセスの要部を示すデバイス断面図(正常箇所のビア形成用下層レジスト膜パターニング完了時点)である。FIG. 3 is a device cross-sectional view (at the time of completion of patterning of a lower-layer resist film for forming a via at a normal position) showing the main part of the wafer process in the method for manufacturing a semiconductor integrated circuit device according to the first embodiment (via first process) of the present application; 本願の実施の形態1(ビアファーストプロセス)の半導体集積回路装置の製造方法におけるウエハプロセスの要部を示すデバイス断面図(不良箇所のビア形成用下層レジスト膜パターニング完了時点)である。FIG. 3 is a device cross-sectional view (at the time of completion of patterning of a lower-layer resist film for forming a via in a defective portion) showing the main part of the wafer process in the manufacturing method of the semiconductor integrated circuit device according to the first embodiment (via first process) of the present application; 本願の実施の形態1(ビアファーストプロセス)の半導体集積回路装置の製造方法におけるウエハプロセスの要部を示すデバイス断面図(ビア形成用中間層レジスト膜に対するオゾン処理工程)である。FIG. 3 is a device cross-sectional view (ozone treatment process for via forming intermediate layer resist film) showing the main part of the wafer process in the manufacturing method of the semiconductor integrated circuit device according to the first embodiment (via first process) of the present application; 本願の実施の形態1の半導体集積回路装置の製造方法におけるウエハプロセスの要部を示すデバイス断面図(ビア開口工程)である。It is device sectional drawing (via opening process) which shows the principal part of the wafer process in the manufacturing method of the semiconductor integrated circuit device of Embodiment 1 of this application. 本願の実施の形態1(ビアファーストプロセス)の半導体集積回路装置の製造方法におけるウエハプロセスの要部を示すデバイス断面図(ビア形成用下層レジスト膜除去工程)である。It is a device sectional view (via formation lower layer resist film removal process) showing the main part of the wafer process in the manufacturing method of the semiconductor integrated circuit device of the first embodiment (via first process) of the present application. 本願の実施の形態1(ビアファーストプロセス)の半導体集積回路装置の製造方法におけるウエハプロセスの要部を示すデバイス断面図(ギャップフィル膜塗布工程)である。It is a device sectional view (gap fill film application process) which shows the principal part of the wafer process in the manufacturing method of the semiconductor integrated circuit device of Embodiment 1 (via first process) of this application. 本願の実施の形態1(ビアファーストプロセス)の半導体集積回路装置の製造方法におけるウエハプロセスの要部を示すデバイス断面図(ギャップフィル膜エッチバック工程)である。FIG. 3 is a device cross-sectional view (gap fill film etchback step) showing a substantial part of a wafer process in the method for manufacturing a semiconductor integrated circuit device according to the first embodiment (via first process) of the present application. 本願の実施の形態1(ビアファーストプロセス)の半導体集積回路装置の製造方法におけるウエハプロセスの要部を示すデバイス断面図(トレンチ形成用下層レジスト膜塗布工程)である。FIG. 3 is a device cross-sectional view (trench forming lower layer resist film coating step) showing the main part of the wafer process in the method of manufacturing a semiconductor integrated circuit device according to the first embodiment (via first process) of the present application. 本願の実施の形態1(ビアファーストプロセス)の半導体集積回路装置の製造方法におけるウエハプロセスの要部を示すデバイス断面図(トレンチ形成用中間層レジスト膜塗布工程)である。It is device sectional drawing (intermediate layer resist film application process for trench formation) which shows the principal part of the wafer process in the manufacturing method of the semiconductor integrated circuit device of Embodiment 1 (via first process) of this application. 本願の実施の形態1(ビアファーストプロセス)の半導体集積回路装置の製造方法におけるウエハプロセスの要部を示すデバイス断面図(トレンチ形成用上層レジスト膜塗布工程)である。It is a device sectional view (upper layer resist film application process for trench formation) showing the principal part of the wafer process in the manufacturing method of the semiconductor integrated circuit device of Embodiment 1 (via first process) of the present application. 本願の実施の形態1(ビアファーストプロセス)の半導体集積回路装置の製造方法におけるウエハプロセスの要部を示すデバイス断面図(トレンチ形成用上層レジスト膜現像工程)である。It is a device sectional view (upper resist film development process for trench formation) showing the principal part of a wafer process in a manufacturing method of a semiconductor integrated circuit device of Embodiment 1 (via first process) of this application. 本願の実施の形態1(ビアファーストプロセス)の半導体集積回路装置の製造方法におけるウエハプロセスの要部を示すデバイス断面図(トレンチ形成用中間層レジスト膜パターニング工程)である。It is device sectional drawing (intermediate layer resist film patterning process for trench formation) which shows the principal part of the wafer process in the manufacturing method of the semiconductor integrated circuit device of Embodiment 1 (via first process) of this application. 本願の実施の形態1(ビアファーストプロセス)の半導体集積回路装置の製造方法におけるウエハプロセスの要部を示すデバイス断面図(正常箇所のトレンチ形成用下層レジスト膜パターニング完了時点)である。FIG. 3 is a device cross-sectional view (at the time of completion of patterning of a lower-layer resist film for forming a trench at a normal location) showing the main part of the wafer process in the manufacturing method of the semiconductor integrated circuit device according to the first embodiment (via first process) of the present application; 本願の実施の形態1(ビアファーストプロセス)の半導体集積回路装置の製造方法におけるウエハプロセスの要部を示すデバイス断面図(不良箇所のトレンチ形成用下層レジスト膜パターニング完了時点)である。1 is a device cross-sectional view (at the time of completion of patterning of a lower-layer resist film for forming a trench in a defective portion) showing the main part of a wafer process in a manufacturing method of a semiconductor integrated circuit device according to Embodiment 1 (via first process) of the present application; 本願の実施の形態1(ビアファーストプロセス)の半導体集積回路装置の製造方法におけるウエハプロセスの要部を示すデバイス断面図(トレンチ形成用中間層レジスト膜に対するオゾン処理工程)である。It is a device sectional view showing the principal part of the wafer process in the manufacturing method of the semiconductor integrated circuit device of Embodiment 1 (via first process) of the present application (ozone treatment process for the interlayer resist film for trench formation). 本願の実施の形態1(ビアファーストプロセス)の半導体集積回路装置の製造方法におけるウエハプロセスの要部を示すデバイス断面図(トレンチ開口工程)である。It is device sectional drawing (trench opening process) which shows the principal part of the wafer process in the manufacturing method of the semiconductor integrated circuit device of Embodiment 1 (via first process) of this application. 本願の実施の形態1(ビアファーストプロセス)の半導体集積回路装置の製造方法におけるウエハプロセスの要部を示すデバイス断面図(トレンチ形成用下層レジスト膜除去工程)である。It is device sectional drawing (lower trench resist film removal process for trench formation) which shows the principal part of the wafer process in the manufacturing method of the semiconductor integrated circuit device of Embodiment 1 (via first process) of this application. 本願の実施の形態1(ビアファーストプロセス)の半導体集積回路装置の製造方法におけるウエハプロセスの要部を示すデバイス断面図(ビア底エッチストップ膜除去工程)である。It is a device sectional view (via bottom etch stop film removal process) showing the principal part of the wafer process in the manufacturing method of the semiconductor integrated circuit device of Embodiment 1 (via first process) of the present application. 本願の実施の形態1(ビアファーストプロセス)の半導体集積回路装置の製造方法におけるウエハプロセスの要部を示すデバイス断面図(配線金属埋め込み工程)である。It is device sectional drawing (wiring metal embedding process) which shows the principal part of the wafer process in the manufacturing method of the semiconductor integrated circuit device of Embodiment 1 (via first process) of this application. 本願の実施の形態1(ビアファーストプロセス)の半導体集積回路装置の製造方法におけるウエハプロセスの要部を示すデバイス断面図(メタルCMP工程)である。It is device sectional drawing (metal CMP process) which shows the principal part of the wafer process in the manufacturing method of the semiconductor integrated circuit device of Embodiment 1 (via first process) of this application. 本願の実施の形態2(トレンチファーストプロセス)の半導体集積回路装置の製造方法におけるウエハプロセスの要部を示すデバイス断面図(トレンチファーストプロセスにおける第2層層間絶縁膜形成完了時点)である。It is device sectional drawing (at the time of completion of the 2nd layer interlayer insulation film formation in a trench first process) which shows the principal part of the wafer process in the manufacturing method of the semiconductor integrated circuit device of Embodiment 2 (trench first process) of this application. 本願の実施の形態2(トレンチファーストプロセス)の半導体集積回路装置の製造方法におけるウエハプロセスの要部を示すデバイス断面図(トレンチ形成用下層レジスト膜塗布工程)である。It is a device sectional view (lower trench formation film application process for trench formation) showing the main part of the wafer process in the manufacturing method of the semiconductor integrated circuit device of Embodiment 2 (trench first process) of the present application. 本願の実施の形態2(トレンチファーストプロセス)の半導体集積回路装置の製造方法におけるウエハプロセスの要部を示すデバイス断面図(トレンチ形成用中間層レジスト膜塗布工程)である。It is device sectional drawing (intermediate layer resist film application process for trench formation) which shows the principal part of the wafer process in the manufacturing method of the semiconductor integrated circuit device of Embodiment 2 (trench first process) of this application. 本願の実施の形態2(トレンチファーストプロセス)の半導体集積回路装置の製造方法におけるウエハプロセスの要部を示すデバイス断面図(トレンチ形成用上層レジスト膜塗布工程)である。It is device sectional drawing (upper-layer resist film application process for trench formation) which shows the principal part of the wafer process in the manufacturing method of the semiconductor integrated circuit device of Embodiment 2 (trench first process) of this application. 本願の実施の形態2(トレンチファーストプロセス)の半導体集積回路装置の製造方法におけるウエハプロセスの要部を示すデバイス断面図(トレンチ形成用上層レジスト膜現像工程)である。It is device sectional drawing (upper-layer resist film development process for trench formation) which shows the principal part of the wafer process in the manufacturing method of the semiconductor integrated circuit device of Embodiment 2 (trench first process) of this application. 本願の実施の形態2(トレンチファーストプロセス)の半導体集積回路装置の製造方法におけるウエハプロセスの要部を示すデバイス断面図(トレンチ形成用中間層レジスト膜パターニング工程)である。It is device sectional drawing (intermediate resist film patterning process for trench formation) which shows the principal part of the wafer process in the manufacturing method of the semiconductor integrated circuit device of Embodiment 2 (trench first process) of this application. 本願の実施の形態2(トレンチファーストプロセス)の半導体集積回路装置の製造方法におけるウエハプロセスの要部を示すデバイス断面図(正常箇所のトレンチ形成用下層レジスト膜パターニング完了時点)である。It is device sectional drawing (at the time of completion of patterning of the lower layer resist film for trench formation of a normal part) which shows the principal part of the wafer process in the manufacturing method of the semiconductor integrated circuit device of Embodiment 2 (trench first process) of this application. 本願の実施の形態2(トレンチファーストプロセス)の半導体集積回路装置の製造方法におけるウエハプロセスの要部を示すデバイス断面図(不良箇所のトレンチ形成用下層レジスト膜パターニング完了時点)である。It is device sectional drawing which shows the principal part of the wafer process in the manufacturing method of the semiconductor integrated circuit device of Embodiment 2 (trench first process) of this application (at the time of completion of lower layer resist film patterning for trench formation of a defective part). 本願の実施の形態2(トレンチファーストプロセス)の半導体集積回路装置の製造方法におけるウエハプロセスの要部を示すデバイス断面図(トレンチ形成用中間層レジスト膜に対するオゾン処理工程)である。It is device sectional drawing (ozone treatment process with respect to the interlayer resist film for trench formation) which shows the principal part of the wafer process in the manufacturing method of the semiconductor integrated circuit device of Embodiment 2 (trench first process) of this application. 本願の実施の形態2(トレンチファーストプロセス)の半導体集積回路装置の製造方法におけるウエハプロセスの要部を示すデバイス断面図(トレンチ開口工程)である。It is device sectional drawing (trench opening process) which shows the principal part of the wafer process in the manufacturing method of the semiconductor integrated circuit device of Embodiment 2 (trench first process) of this application. 本願の実施の形態2(トレンチファーストプロセス)の半導体集積回路装置の製造方法におけるウエハプロセスの要部を示すデバイス断面図(トレンチ形成用下層レジスト膜除去工程)である。It is device sectional drawing (lower trench resist film removal process for trench formation) which shows the principal part of the wafer process in the manufacturing method of the semiconductor integrated circuit device of Embodiment 2 (trench first process) of this application. 本願の実施の形態2(トレンチファーストプロセス)の半導体集積回路装置の製造方法におけるウエハプロセスの要部を示すデバイス断面図(ビア形成用下層レジスト膜塗布工程)である。It is a device sectional view (via formation lower layer resist film application process) showing the main part of the wafer process in the manufacturing method of the semiconductor integrated circuit device of the second embodiment (trench first process) of the present application. 本願の実施の形態2(トレンチファーストプロセス)の半導体集積回路装置の製造方法におけるウエハプロセスの要部を示すデバイス断面図(ビア形成用中間層レジスト膜塗布工程)である。It is device sectional drawing (intermediate layer resist film application process for via formation) which shows the principal part of the wafer process in the manufacturing method of the semiconductor integrated circuit device of Embodiment 2 (trench first process) of this application. 本願の実施の形態2(トレンチファーストプロセス)の半導体集積回路装置の製造方法におけるウエハプロセスの要部を示すデバイス断面図(ビア形成用上層レジスト膜塗布工程)である。It is a device sectional view (via formation upper layer resist film application process) showing the main part of the wafer process in the manufacturing method of the semiconductor integrated circuit device of the second embodiment (trench first process) of the present application. 本願の実施の形態2(トレンチファーストプロセス)の半導体集積回路装置の製造方法におけるウエハプロセスの要部を示すデバイス断面図(ビア形成用上層レジスト膜現像工程)である。It is a device sectional view (via formation upper layer resist film development process) showing the main part of the wafer process in the manufacturing method of the semiconductor integrated circuit device of the second embodiment (trench first process) of the present application. 本願の実施の形態2(トレンチファーストプロセス)の半導体集積回路装置の製造方法におけるウエハプロセスの要部を示すデバイス断面図(ビア形成用中間層レジスト膜パターニング工程)である。It is device sectional drawing (intermediate layer resist film patterning process for via formation) which shows the principal part of the wafer process in the manufacturing method of the semiconductor integrated circuit device of Embodiment 2 (trench first process) of this application. 本願の実施の形態2(トレンチファーストプロセス)の半導体集積回路装置の製造方法におけるウエハプロセスの要部を示すデバイス断面図(正常箇所ビア形成用下層レジスト膜パターニング完了時点)である。It is device sectional drawing (at the time of completion of normal part via formation lower layer resist film patterning) which shows the principal part of the wafer process in the manufacturing method of the semiconductor integrated circuit device of Embodiment 2 (trench first process) of this application. 本願の実施の形態2(トレンチファーストプロセス)の半導体集積回路装置の製造方法におけるウエハプロセスの要部を示すデバイス断面図(不良箇所ビア形成用下層レジスト膜パターニング完了時点)である。It is device sectional drawing which shows the principal part of the wafer process in the manufacturing method of the semiconductor integrated circuit device of Embodiment 2 (trench first process) of this application (at the time of completion of lower layer resist film patterning for defective part via formation). 本願の実施の形態2(トレンチファーストプロセス)の半導体集積回路装置の製造方法におけるウエハプロセスの要部を示すデバイス断面図(ビア形成用中間層レジスト膜に対するオゾン処理工程)である。It is device sectional drawing (ozone treatment process with respect to the interlayer resist film for via formation) which shows the principal part of the wafer process in the manufacturing method of the semiconductor integrated circuit device of Embodiment 2 (trench first process) of this application. 本願の実施の形態2(トレンチファーストプロセス)の半導体集積回路装置の製造方法におけるウエハプロセスの要部を示すデバイス断面図(ビア開口工程)である。It is device sectional drawing (via opening process) which shows the principal part of the wafer process in the manufacturing method of the semiconductor integrated circuit device of Embodiment 2 (trench first process) of this application. 本願の実施の形態2(トレンチファーストプロセス)の半導体集積回路装置の製造方法におけるウエハプロセスの要部を示すデバイス断面図(正常箇所ビア形成用下層レジスト膜除去工程)である。It is device sectional drawing which shows the principal part of the wafer process in the manufacturing method of the semiconductor integrated circuit device of Embodiment 2 (trench first process) of this application (lower part resist film removal process for normal location via formation). 本願の実施の形態2(トレンチファーストプロセス)の半導体集積回路装置の製造方法におけるウエハプロセスの要部を示すデバイス断面図(ビア底エッチストップ膜除去工程)である。It is device sectional drawing (via bottom etch stop film removal process) which shows the principal part of the wafer process in the manufacturing method of the semiconductor integrated circuit device of Embodiment 2 (trench first process) of this application. 本願の実施の形態2(トレンチファーストプロセス)の半導体集積回路装置の製造方法におけるウエハプロセスの要部を示すデバイス断面図(配線金属埋め込み工程)である。It is device sectional drawing (wiring metal embedding process) which shows the principal part of the wafer process in the manufacturing method of the semiconductor integrated circuit device of Embodiment 2 (trench first process) of this application. 本願の実施の形態2(トレンチファーストプロセス)の半導体集積回路装置の製造方法におけるウエハプロセスの要部を示すデバイス断面図(メタルCMP工程)である。It is device sectional drawing (metal CMP process) which shows the principal part of the wafer process in the manufacturing method of the semiconductor integrated circuit device of Embodiment 2 (trench first process) of this application. 本願の各実施の形態の半導体集積回路装置の製造方法におけるオゾン処理の効果を検証するための実験条件一覧表である。It is an experiment condition list for verifying the effect of ozone treatment in the manufacturing method of the semiconductor integrated circuit device of each embodiment of this application. 図53の各実験条件におけるオゾン処理後の中間層レジスト膜の静的接触角の変化、オゾン処理後、およびアルカリ現像液処理後の膜減量を示す実測データである。FIG. 56 is actual measurement data showing changes in the static contact angle of the intermediate layer resist film after ozone treatment under the respective experimental conditions of FIG. 53, film loss after ozone treatment, and after alkali developer treatment. 図53の各実験条件におけるオゾン処理後、および溶媒処理後の膜減量の実測データである。FIG. 54 is actual measurement data of film loss after ozone treatment and after solvent treatment in each experimental condition of FIG. 図53の各実験条件におけるオゾン処理後、および硫酸過酸化水素混合液処理後の膜減量の実測データである。FIG. 54 is actual measurement data of film loss after ozone treatment and after sulfuric acid hydrogen peroxide mixed solution treatment in each experimental condition of FIG. 図53の各実験条件において、オゾン処理とアルカリ現像液処理を繰り返したときの膜減量の実測データである。53 is actual measurement data of film loss when ozone treatment and alkali developer treatment are repeated under each experimental condition of FIG. 本願の各実施の形態の半導体集積回路装置の製造方法における縮小投影露光に使用する露光装置の要部模式断面図である。It is a principal part schematic cross section of the exposure apparatus used for the reduction projection exposure in the manufacturing method of the semiconductor integrated circuit device of each embodiment of this application. 図58の露光装置のステップ動作を説明するためのウエハ全体上面図である。FIG. 59 is an overall top view of the wafer for illustrating the step operation of the exposure apparatus in FIG. 58. 図58の露光装置のステップ&スキャン動作を説明するためのウエハ部分拡大上面図である。FIG. 59 is an enlarged top plan view of a wafer portion for illustrating a step-and-scan operation of the exposure apparatus of FIG. 58.

〔実施の形態の概要〕
先ず、本願において開示される発明の代表的な実施の形態について概要を説明する。
[Outline of Embodiment]
First, an outline of a typical embodiment of the invention disclosed in the present application will be described.

1.以下の工程を含む半導体集積回路装置の製造方法:
(a)ウエハの第1の主面上の被加工膜上に、炭素を主要な成分として含む第1の膜を塗布する工程;
(b)前記第1の膜上に、炭素及びシリコンを主要な成分として含む第2の膜を形成する工程;
(c)前記第2の膜上に、感光性有機レジスト膜を塗布する工程;
(d)前記感光性有機レジスト膜を露光することによって、集積回路パターンを転写する工程;
(e)前記工程(d)の後、前記感光性有機レジスト膜を現像する工程;
(f)前記工程(e)の後、前記ウエハの前記第1の主面側に対して、オゾンまたはヒドロキシラジカルを含む気相雰囲気中で、酸化処理を実行する工程;
(g)前記工程(f)の後、前記第2の膜を薬液により、除去する工程。
1. A method of manufacturing a semiconductor integrated circuit device including the following steps:
(A) The process of apply | coating the 1st film | membrane containing carbon as a main component on the to-be-processed film | membrane on the 1st main surface of a wafer;
(B) forming a second film containing carbon and silicon as main components on the first film;
(C) applying a photosensitive organic resist film on the second film;
(D) transferring the integrated circuit pattern by exposing the photosensitive organic resist film;
(E) a step of developing the photosensitive organic resist film after the step (d);
(F) After the step (e), performing an oxidation process on the first main surface side of the wafer in a gas phase atmosphere containing ozone or hydroxy radicals;
(G) A step of removing the second film with a chemical solution after the step (f).

2.前記1項の半導体集積回路装置の製造方法において、前記被加工膜は、シリコンを主要な成分の一つとして含む膜である。   2. In the method for manufacturing a semiconductor integrated circuit device according to the item 1, the film to be processed is a film containing silicon as one of main components.

3.前記1または2項の半導体集積回路装置の製造方法において、前記工程(b)における前記第2の膜の形成は、塗布により実行される。   3. In the method for manufacturing a semiconductor integrated circuit device according to the item 1 or 2, the formation of the second film in the step (b) is performed by coating.

4.前記1から3項のいずれか一つの半導体集積回路装置の製造方法において、前記工程(d)における露光は、液浸方式による縮小投影露光によって実行される。   4). 4. In the method for manufacturing a semiconductor integrated circuit device according to any one of items 1 to 3, the exposure in the step (d) is performed by reduced projection exposure using a liquid immersion method.

5.前記1から4項のいずれか一つの半導体集積回路装置の製造方法において、更に、以下の工程を含む:
(h)前記工程(g)の後、前記ウエハの前記第1の主面側に対して、気相雰囲気中で、酸素プラズマ処理を施すことにより、前記第1の膜を除去する工程。
5). The method for manufacturing a semiconductor integrated circuit device according to any one of 1 to 4 further includes the following steps:
(H) After the step (g), a step of removing the first film by performing an oxygen plasma treatment in a gas phase atmosphere on the first main surface side of the wafer.

6.前記5項の半導体集積回路装置の製造方法において、更に、以下の工程を含む:
(i)前記工程(h)の後、再び前記工程(a)から(e)を実行する工程;
(j)前記工程(i)の後、現像された前記有機レジスト膜をマスクとして、前記第2の膜をパターニングする工程;
(k)パターニングされた前記第2の膜をマスクとして、前記第1の膜をパターニングする工程;
(l)前記工程(k)の後、前記第1の膜および前記第2の膜がある状態で、前記被加工膜のドライエッチングを開始することによって、前記被加工膜を加工する工程。
6). The method for manufacturing a semiconductor integrated circuit device according to the item 5, further includes the following steps:
(I) A step of performing the steps (a) to (e) again after the step (h);
(J) after the step (i), patterning the second film using the developed organic resist film as a mask;
(K) patterning the first film using the patterned second film as a mask;
(L) A step of processing the film to be processed by starting dry etching of the film to be processed with the first film and the second film after the step (k).

7.前記6項の半導体集積回路装置の製造方法において、更に、以下の工程を含む:
(m)前記工程(l)の後、前記ウエハの前記第1の主面側に対して、気相雰囲気中で、酸素プラズマ処理を施すことにより、前記第1の膜を除去する工程。
7). The method for manufacturing a semiconductor integrated circuit device according to the item 6, further includes the following steps:
(M) After the step (l), a step of removing the first film by performing oxygen plasma treatment in a gas phase atmosphere on the first main surface side of the wafer.

8.前記1から7項のいずれか一つの半導体集積回路装置の製造方法において、前記被加工膜は、シリコン及び酸素を主要な成分として含む膜である。   8). 8. The method for manufacturing a semiconductor integrated circuit device according to any one of 1 to 7, wherein the film to be processed is a film containing silicon and oxygen as main components.

9.前記1から7項のいずれか一つの半導体集積回路装置の製造方法において、前記被加工膜は、炭素、シリコン及び酸素を主要な成分として含む膜である。   9. 8. The method for manufacturing a semiconductor integrated circuit device according to any one of 1 to 7, wherein the film to be processed is a film containing carbon, silicon, and oxygen as main components.

10.前記1から9項のいずれか一つの半導体集積回路装置の製造方法において、前記被加工膜は、多層埋め込み配線の一つの配線層の層間絶縁膜である。   10. 10. In the method for manufacturing a semiconductor integrated circuit device according to any one of 1 to 9, the processed film is an interlayer insulating film of one wiring layer of a multilayer embedded wiring.

11.前記10項の半導体集積回路装置の製造方法において、前記一つの配線層はファイン配線層である。   11. 11. The method for manufacturing a semiconductor integrated circuit device according to the item 10, wherein the one wiring layer is a fine wiring layer.

12.前記1から11項のいずれか一つの半導体集積回路装置の製造方法において、前記第2の膜のシリコン含有率は、15重量%以上、60重量%以下である。   12 12. In the method of manufacturing a semiconductor integrated circuit device according to any one of 1 to 11, the silicon content of the second film is 15 wt% or more and 60 wt% or less.

13.前記1から12項のいずれか一つの半導体集積回路装置の製造方法において、前記第1の膜の炭素含有率は、50重量%以上、95重量%以下である。   13. In the method for manufacturing a semiconductor integrated circuit device according to any one of Items 1 to 12, the carbon content of the first film is not less than 50% by weight and not more than 95% by weight.

14.前記1から13項のいずれか一つの半導体集積回路装置の製造方法において、前記第2の膜は、オルガノシリケイトを主要な成分として含む。   14 14. In the method for manufacturing a semiconductor integrated circuit device according to any one of items 1 to 13, the second film includes an organosilicate as a main component.

15.前記1から14項のいずれか一つの半導体集積回路装置の製造方法において、前記工程(f)および(g)の工程を2回以上繰り返し実行する。   15. 15. In the method for manufacturing a semiconductor integrated circuit device according to any one of items 1 to 14, the steps (f) and (g) are repeatedly performed twice or more.

16.前記1から15項のいずれか一つの半導体集積回路装置の製造方法において、前記工程(f)の実行の際、前記ウエハの前記第1の主面側に対して、紫外線を照射する。   16. 16. In the method for manufacturing a semiconductor integrated circuit device according to any one of 1 to 15, the ultraviolet ray is irradiated to the first main surface side of the wafer when the step (f) is executed.

17.前記1から15項のいずれか一つの半導体集積回路装置の製造方法において、前記工程(f)の実行の際、前記ウエハに対して、加熱処理を実行する。   17. 16. In the method for manufacturing a semiconductor integrated circuit device according to any one of 1 to 15, heat treatment is performed on the wafer when the step (f) is performed.

18.前記1から17項のいずれか一つの半導体集積回路装置の製造方法において、前記薬液は、テトラメチルアンモニウムハイドロオキサイド系水溶液、または硫酸と過酸化水素水を主要な成分として含む溶液である。   18. 18. In the method for manufacturing a semiconductor integrated circuit device according to any one of 1 to 17, the chemical solution is a tetramethylammonium hydroxide aqueous solution or a solution containing sulfuric acid and hydrogen peroxide as main components.

19.前記1から18項のいずれか一つの半導体集積回路装置の製造方法において、前記薬液は、プロピレングリコールモノメチルエーテルアセテート、プロピレングリコールモノメチルエーテル、シクロヘキサン、ガンマブチルラクトンまたは、これらの内、二つ以上を含む混合液である。   19. 21. In the method of manufacturing a semiconductor integrated circuit device according to any one of 1 to 18, the chemical solution includes propylene glycol monomethyl ether acetate, propylene glycol monomethyl ether, cyclohexane, gamma butyl lactone, or two or more thereof. It is a mixed solution.

20.前記1から18項のいずれか一つの半導体集積回路装置の製造方法において、前記薬液は、プロピレングリコールモノメチルエーテルアセテートおよびプロピレングリコールモノメチルエーテルを主要な成分として含む混合溶媒である。   20. 21. In the method for manufacturing a semiconductor integrated circuit device according to any one of 1 to 18, the chemical solution is a mixed solvent containing propylene glycol monomethyl ether acetate and propylene glycol monomethyl ether as main components.

〔本願における記載形式、基本的用語、用法の説明〕
1.本願において、実施の態様の記載は、必要に応じて、便宜上複数のセクションに分けて記載する場合もあるが、特にそうでない旨明示した場合を除き、これらは相互に独立別個のものではなく、単一の例の各部分、一方が他方の一部詳細または一部または全部の変形例等である。また、原則として、同様の部分は繰り返しを省略する。また、実施の態様における各構成要素は、特にそうでない旨明示した場合、理論的にその数に限定される場合および文脈から明らかにそうでない場合を除き、必須のものではない。
[Description format, basic terms, usage in this application]
1. In the present application, the description of the embodiment may be divided into a plurality of sections for convenience, if necessary, but these are not independent from each other unless otherwise specified. Each part of a single example, one part is the other part of the details, or part or all of the modifications. Moreover, as a general rule, the same part is not repeated. In addition, each component in the embodiment is not indispensable unless specifically stated otherwise, unless it is theoretically limited to the number, and obviously not in context.

更に、本願において、「半導体装置」または「半導体集積回路装置」というときは、主に、各種トランジスタ(能動素子)単体、および、それらを中心に、抵抗、コンデンサ等を半導体チップ等(たとえば単結晶シリコン基板)上に集積したものをいう。ここで、各種トランジスタの代表的なものとしては、MOSFET(Metal Oxide Semiconductor Field Effect Transistor)に代表されるMISFET(Metal Insulator Semiconductor Field Effect Transistor)を例示することができる。このとき、集積回路構成の代表的なものとしては、Nチャネル型MISFETとPチャネル型MISFETを組み合わせたCMOS(Complemetary Metal Oxide Semiconductor)型集積回路に代表されるCMIS(Complemetary Metal Insulator Semiconductor)型集積回路を例示することができる。   Further, in the present application, the term “semiconductor device” or “semiconductor integrated circuit device” mainly refers to various types of transistors (active elements) alone, and resistors, capacitors, etc. as semiconductor chips (eg, single crystal). The one integrated on the silicon substrate). Here, as a representative of various transistors, a MISFET (Metal Insulator Semiconductor Effect Transistor) typified by a MOSFET (Metal Oxide Field Effect Transistor) can be exemplified. At this time, as a typical integrated circuit configuration, a CMIS (Complementary Metal Insulator Semiconductor) integrated circuit represented by a CMOS (Complementary Metal Oxide Semiconductor) integrated circuit combining an N-channel MISFET and a P-channel MISFET. Can be illustrated.

今日の半導体集積回路装置、すなわち、LSI(Large Scale Integration)のウエハ工程は、通常、原材料としてのシリコンウエハの搬入からプリメタル(Premetal)工程(M1配線層下端とゲート電極構造の間の層間絶縁膜等の形成、コンタクトホール形成、タングステンプラグ、埋め込み等からなる工程)あたりまでのFEOL(Front End of Line)工程と、M1配線層形成から始まり、アルミニウム系パッド電極上のファイナルパッシベーション膜へのパッド開口の形成あたりまで(ウエハレベルパッケージプロセスにおいては、当該プロセスも含む)のBEOL(Back End of Line)工程に大別できる。FEOL工程の内、ゲート電極パターニング工程、コンタクトホール形成工程等は、特に微細な加工が要求される微細加工工程である。一方、BEOL工程においては、ビアおよびトレンチ形成工程、特に、比較的下層のローカル配線(たとえば4層程度の構成の埋め込み配線では、M1からM3あたりまで、十数層程度の構成の埋め込み配線では、M1からM7あたりまでの微細埋め込み配線)等において、特に微細加工が要求される。なお、「MN(通常N=1から15程度)」で、下から第N層配線を表す。M1は第1層配線であり、M3は第3層配線である。   A semiconductor process of today's semiconductor integrated circuit device, that is, a LSI (Large Scale Integration) wafer process, is usually performed by carrying a silicon wafer as a raw material to a premetal process (an interlayer insulating film between the lower end of the M1 wiring layer and the gate electrode structure). Etc., contact hole formation, tungsten plug, embedding, etc.) (FEOL (Front End of Line) process) and M1 wiring layer formation, pad opening to the final passivation film on the aluminum-based pad electrode Can be roughly divided into BEOL (Back End of Line) processes up to the formation of the wafer (including the process in the wafer level package process). Among the FEOL processes, the gate electrode patterning process, the contact hole forming process, and the like are microfabrication processes that require particularly fine processing. On the other hand, in the BEOL process, in the via and trench formation process, in particular, in the relatively lower layer local wiring (for example, in the embedded wiring having a structure of about 4 layers, the embedded wiring having a structure of about a dozen layers from M1 to M3, In particular, fine processing is required for fine embedded wiring from M1 to M7. Note that “MN (usually N = 1 to 15)” represents the N-th layer wiring from the bottom. M1 is a first layer wiring, and M3 is a third layer wiring.

2.同様に実施の態様等の記載において、材料、組成等について、「AからなるX」等といっても、特にそうでない旨明示した場合および文脈から明らかに、そうでない場合を除き、A以外の要素を主要な構成要素のひとつとするものを排除するものではない。たとえば、成分についていえば、「Aを主要な成分として含むX」等の意味である。たとえば、「シリコン部材」等といっても、純粋なシリコンに限定されるものではなく、SiGe合金やその他シリコンを主要な成分とする多元合金、その他の添加物等を含む部材も含むものであることはいうまでもない。同様に、「酸化シリコン膜」、「酸化シリコン系絶縁膜」等と言っても、比較的純粋な非ドープ酸化シリコン(Undoped Silicon Dioxide)だけでなく、FSG(Fluorosilicate Glass)、TEOSベース酸化シリコン(TEOS-based silicon oxide)、SiOC(Silicon Oxicarbide)またはカーボンドープ酸化シリコン(Carbon-doped Silicon oxide)またはOSG(Organosilicate glass)、PSG(Phosphorus Silicate Glass)、BPSG(Borophosphosilicate Glass)等の熱酸化膜、CVD酸化膜、SOG(Spin ON Glass)、ナノクラスタリングシリカ(Nano-Clustering Silica:NCS)等の塗布系酸化シリコン、これらと同様な部材に空孔を導入したシリカ系Low-k絶縁膜(ポーラス系絶縁膜)、およびこれらを主要な構成要素とする他のシリコン系絶縁膜との複合膜等を含むことは言うまでもない。   2. Similarly, in the description of the embodiment and the like, the material, composition, etc. may be referred to as “X consisting of A”, etc., except when clearly stated otherwise and clearly from the context, except for A It does not exclude what makes an element one of the main components. For example, as for the component, it means “X containing A as a main component”. For example, “silicon member” is not limited to pure silicon, but also includes SiGe alloys, other multi-component alloys containing silicon as a main component, and members containing other additives. Needless to say. Similarly, “silicon oxide film”, “silicon oxide insulating film”, etc. are not only relatively pure undoped silicon oxide (FS), but also FSG (Fluorosilicate Glass), TEOS-based silicon oxide ( Thermal oxide films such as TEOS-based silicon oxide), SiOC (Silicon Oxicarbide) or carbon-doped silicon oxide or OSG (Organosilicate glass), PSG (Phosphorus Silicate Glass), BPSG (Borophosphosilicate Glass), CVD Oxide film, SOG (Spin ON Glass), nano-clustering silica (Nano-Clustering Silica: NCS) and other coating-type silicon oxide, silica-based low-k insulating film (porous insulating) Needless to say, a film) and a composite film with other silicon-based insulating films including these as main constituent elements are included.

また、酸化シリコン系絶縁膜と並んで、半導体分野で常用されているシリコン系絶縁膜としては、窒化シリコン系絶縁膜がある。この系統の属する材料としては、SiN,SiCN,SiNH,SiCNH等がある。ここで、「窒化シリコン」というときは、特にそうでない旨明示したときを除き、SiNおよびSiNHの両方を含む。同様に、「SiCN」というときは、特にそうでない旨明示したときを除き、SiCNおよびSiCNHの両方を含む。   In addition to silicon oxide insulating films, silicon nitride insulating films that are commonly used in the semiconductor field include silicon nitride insulating films. Materials belonging to this system include SiN, SiCN, SiNH, SiCNH, and the like. Here, “silicon nitride” includes both SiN and SiNH unless otherwise specified. Similarly, “SiCN” includes both SiCN and SiCNH, unless otherwise specified.

なお、SiCは、SiNと類似の性質を有するが、SiONは、むしろ、酸化シリコン系絶縁膜に分類すべき場合が多い。   Note that SiC has similar properties to SiN, but SiON is often rather classified as a silicon oxide insulating film.

窒化シリコン膜は、SAC(Self−Aligned Contact)技術におけるエッチストップ膜として、多用されるほか、SMT(Stress Memorization Technique)における応力付与膜としても使用される。   The silicon nitride film is frequently used as an etch stop film in SAC (Self-Aligned Contact) technology, and also used as a stress applying film in SMT (Stress Memory Technique).

3.同様に、図形、位置、属性等に関して、好適な例示をするが、特にそうでない旨明示した場合および文脈から明らかにそうでない場合を除き、厳密にそれに限定されるものではないことは言うまでもない。   3. Similarly, suitable examples of graphics, positions, attributes, and the like are given, but it is needless to say that the present invention is not strictly limited to those cases unless explicitly stated otherwise, and unless otherwise apparent from the context.

4.さらに、特定の数値、数量に言及したときも、特にそうでない旨明示した場合、理論的にその数に限定される場合および文脈から明らかにそうでない場合を除き、その特定の数値を超える数値であってもよいし、その特定の数値未満の数値でもよい。   4). In addition, when a specific number or quantity is mentioned, a numerical value exceeding that specific number will be used unless specifically stated otherwise, unless theoretically limited to that number, or unless otherwise clearly indicated by the context. There may be a numerical value less than the specific numerical value.

5.「ウエハ」というときは、通常は半導体集積回路装置(半導体装置、電子装置も同じ)をその上に形成する単結晶シリコンウエハを指すが、エピタキシャルウエハ、SOI基板、LCDガラス基板等の絶縁基板と半導体層等の複合ウエハ等も含むことは言うまでもない。   5. “Wafer” usually refers to a single crystal silicon wafer on which a semiconductor integrated circuit device (same as a semiconductor device and an electronic device) is formed, but an insulating substrate such as an epitaxial wafer, an SOI substrate, an LCD glass substrate and the like. Needless to say, a composite wafer such as a semiconductor layer is also included.

6.「多層レジストプロセス」は、複数の膜からなるレジスト膜を用い、より上層のレジスト膜のパターンをより下層のレジスト膜に転写し、最下層のレジスト膜パターンを被加工膜に転写することを特徴としており、この目的以外に使用するトップコート等の単なるリソグラフィ補助膜は、原則として、ここで言うレジスト膜ではない。   6). "Multilayer resist process" is characterized by using a resist film consisting of multiple films, transferring the upper resist film pattern to the lower resist film, and transferring the lowermost resist film pattern to the work film. In principle, a simple lithography auxiliary film such as a top coat used for purposes other than this purpose is not a resist film here.

7.本願においては、シロキサン(Siloxane)系化合物、シリセスキオキサン(Silsesquioxane)系化合物等のシロキサン結合を主要な化学結合とするシリコン含有化合物内、少なくとも側鎖に有機官能基を有し、シリコン、酸素、および炭素を主要な構成要素とするものをオルガノシリケイト(Organosilicate)又は、有機珪酸化合物という。   7). In the present application, a silicon-containing compound having a siloxane bond as a main chemical bond, such as a siloxane compound or a silsesquioxane compound, has an organic functional group in at least a side chain, silicon, oxygen Those having carbon as a main constituent are called organosilicates or organosilicate compounds.

〔実施の形態の詳細〕
実施の形態について更に詳述する。各図中において、同一または同様の部分は同一または類似の記号または参照番号で示し、説明は原則として繰り返さない。
[Details of the embodiment]
The embodiment will be further described in detail. In the drawings, the same or similar parts are denoted by the same or similar symbols or reference numerals, and description thereof will not be repeated in principle.

また、添付図面においては、却って、煩雑になる場合または空隙との区別が明確である場合には、断面であってもハッチング等を省略する場合がある。これに関連して、説明等から明らかである場合等には、平面的に閉じた孔であっても、背景の輪郭線を省略する場合がある。更に、断面でなくとも、空隙でないことを明示するために、ハッチングを付すことがある。   In the accompanying drawings, hatching or the like may be omitted even in a cross section when it becomes complicated or when the distinction from the gap is clear. In relation to this, when it is clear from the description etc., the contour line of the background may be omitted even if the hole is planarly closed. Furthermore, even if it is not a cross section, it may be hatched to clearly indicate that it is not a void.

以下の各実施の形態では、本願の多層レジストプロセスをいわゆる3層プロセス(ギャップフィル部材は、ここでは「多層レジスト」の一部とはしていない)を例にとって具体的に説明するが、中間層自体に感光性を持たせて2層プロセスとしたり、中間層と上層の間等に有機BARC(Bottom Antireflection Coating)膜等の補助的な膜を介在させる4層プロセスとすることもできることは言うまでもない。なお、以下の例では、トップコートを使用しない例を示すが、必要に応じて、トップコートを適用しても良い。通常、トップコート膜は、現像で全部除去されるので、多層レジストの一部とはしていない。また、以下では、銅系埋め込み配線を主な配線構造とするものについて、説明するが、アルミニウム系非埋め込み配線を主な配線構造とするものでもよいことはいうまでもない。   In each of the following embodiments, the multilayer resist process of the present application will be specifically described by taking a so-called three-layer process (the gap fill member is not part of the “multilayer resist” here) as an example. It goes without saying that the layer itself can be made to have a photosensitivity to form a two-layer process, or a four-layer process in which an auxiliary film such as an organic BARC (Bottom Antireflection Coating) film is interposed between the intermediate layer and the upper layer. Yes. In the following example, an example in which a top coat is not used is shown, but a top coat may be applied if necessary. Usually, since the top coat film is entirely removed by development, it is not part of the multilayer resist. In the following description, the copper-based embedded wiring is used as the main wiring structure, but it goes without saying that the aluminum-based non-buried wiring may be used as the main wiring structure.

1.本願の各実施の形態の半導体集積回路装置の製造方法における対象デバイスの一例の断面構造の説明(主に図1)
ここでは、45nmテクノロジノード(Technology Node)のCMOS−SOC(Complementary Metal Oxide Semiconductor−System On Chip)デバイスを例にとり説明するが、28nmテクノロジノード(それ以降や45nmテクノロジノード以前の製品も含む)やその他のテクノロジノードのSOC製品やその他のカテゴリーの製品等にも同様に適用できることは言うまでもない。
1. Description of a cross-sectional structure of an example of a target device in the method of manufacturing a semiconductor integrated circuit device according to each embodiment of the present application (mainly FIG. 1)
Here, a CMOS-SOC (Complementary Metal Oxide Semiconductor-System On Chip) device of 45 nm technology node (Technology Node) will be described as an example, but 28 nm technology node (including products after that and 45 nm technology node) and others Needless to say, the present invention can also be applied to SOC products of other technology nodes and products of other categories.

図1は本願の各実施の形態における半導体集積回路装置の製造方法の対象デバイスの一例のチップ断面構造の概要を示すデバイス断面図である。これに基づいて、本願の各実施の形態の半導体集積回路装置の製造方法における対象デバイスの一例の断面構造を説明する。   FIG. 1 is a device sectional view showing an outline of a chip sectional structure of an example of a target device of a manufacturing method of a semiconductor integrated circuit device in each embodiment of the present application. Based on this, a cross-sectional structure of an example of a target device in the method for manufacturing a semiconductor integrated circuit device of each embodiment of the present application will be described.

図1に示すように、たとえば、P型単結晶シリコン基板1(半導体基板領域21)の表側主面1a(第1の主面、すなわち、裏側主面1bの反対の面)の表面領域及びその近傍には、ゲート電極5、ソースドレイン領域4等からなるMOSFET7が形成されており、このMOSFET7は、STI領域3によって、その他のMOSFET等の素子から分離されている。半導体基板1の表側主面1a上には、プリメタル絶縁膜11が形成されており、このプリメタル絶縁膜11(プリメタル領域22)を貫通するように、タングステンプラグ6が埋め込まれている。   As shown in FIG. 1, for example, a surface region of a front main surface 1a (first main surface, ie, a surface opposite to the back main surface 1b) of a P-type single crystal silicon substrate 1 (semiconductor substrate region 21) and its surface A MOSFET 7 including a gate electrode 5 and a source / drain region 4 is formed in the vicinity. The MOSFET 7 is separated from other elements such as a MOSFET by the STI region 3. A premetal insulating film 11 is formed on the front main surface 1a of the semiconductor substrate 1, and a tungsten plug 6 is embedded so as to penetrate the premetal insulating film 11 (premetal region 22).

プリメタル領域22上には、下から順に、下層配線領域23(ローカル配線領域)、中間層配線領域24(セミグローバル配線領域)、上層配線領域25(グローバル配線領域)、およびパッド層配線領域26が設けられている。この例の場合、下層配線領域23は、第1層銅系埋め込み配線M1、第2層銅系埋め込み配線M2、第7層銅系埋め込み配線M7等からなるファイン(Fine)配線群であり、各層は層間絶縁膜8、埋め込み配線9等から構成されている(他の配線領域も同じ)。同様に、中間層配線領域24は、第8層銅系埋め込み配線M8、第11層銅系埋め込み配線M11等からなるセミファイン(Semifine)配線群である。更に、上層配線領域25は、第12層銅系埋め込み配線M12および第13層銅系埋め込み配線M13からなるラフ(Rough)配線群である。最上層であるパッド層配線領域26は、通常、パッド下タングステンプラグ、アルミニウム系ボンディングパッド27、ファイナルパッシベーション膜28、そこに開けられたパッド開口29等から構成されている。   On the premetal region 22, a lower layer wiring region 23 (local wiring region), an intermediate layer wiring region 24 (semi-global wiring region), an upper layer wiring region 25 (global wiring region), and a pad layer wiring region 26 are sequentially arranged from the bottom. Is provided. In the case of this example, the lower layer wiring region 23 is a fine wiring group composed of the first layer copper embedded wiring M1, the second layer copper embedded wiring M2, the seventh layer copper embedded wiring M7, etc. Consists of an interlayer insulating film 8, a buried wiring 9, and the like (the same is true for other wiring regions). Similarly, the intermediate layer wiring region 24 is a semifine wiring group including an eighth layer copper-based embedded wiring M8, an eleventh layer copper-based embedded wiring M11, and the like. Further, the upper layer wiring region 25 is a rough wiring group including a twelfth layer copper-based embedded wiring M12 and a thirteenth layer copper-based embedded wiring M13. The uppermost pad layer wiring region 26 is normally composed of a pad tungsten plug, an aluminum bonding pad 27, a final passivation film 28, a pad opening 29 opened there, and the like.

2.本願の各実施の形態の半導体集積回路装置の製造方法における要部プロセスであるオゾン処理に使用するオゾン処理装置、ならびに、それに続く再生のための残存レジスト除去工程の説明(主に図2)
このセクションでは、再生処理のためのオゾン処理&残存レジスト除去工程を説明する。
(1)オゾン処理及びオゾン処理装置:
ここでは、図11、図23、図37、及び図47等で説明するオゾン処理プロセスに使用するオゾン処理の概要を説明する。
2. Description of an ozone treatment apparatus used for ozone treatment, which is a main process in the method of manufacturing a semiconductor integrated circuit device according to each embodiment of the present application, and a subsequent resist removal process for regeneration (mainly FIG. 2)
In this section, the ozone treatment & residual resist removal process for the regeneration process is described.
(1) Ozone treatment and ozone treatment equipment:
Here, an outline of the ozone treatment used in the ozone treatment process described with reference to FIGS. 11, 23, 37, 47 and the like will be described.

図2は本願の各実施の形態の半導体集積回路装置の製造方法におけるオゾン処理に使用するウエハオゾン処理装置の模式断面図である。これに基づいて、本願の各実施の形態の半導体集積回路装置の製造方法における要部プロセスであるオゾン処理に使用するオゾン処理装置を説明する。以下の例では、オゾンを含む気相雰囲気中での処理を説明するが、オゾンのほか、たとえば、ヒドロキシラジカルを含む気相雰囲気中で処理しても同様の効果が得られる。   FIG. 2 is a schematic cross-sectional view of a wafer ozone treatment apparatus used for ozone treatment in the method of manufacturing a semiconductor integrated circuit device according to each embodiment of the present application. Based on this, an ozone treatment apparatus used for ozone treatment, which is a main process in the method of manufacturing a semiconductor integrated circuit device according to each embodiment of the present application, will be described. In the following example, processing in a gas phase atmosphere containing ozone will be described, but the same effect can be obtained by processing in a gas phase atmosphere containing hydroxy radicals in addition to ozone.

図2に示すように、オゾン処理装置51は、オゾン発生部52(内部のガス圧は、ウエハ処理部よりも若干高めに設定されている)とウエハ処理チャンバ54に分かれており、その間には、ゲートバルブ53が設けられている。処理時には、ウエハ処理チャンバ54は、一定の気圧(たとえば、ほぼ常圧)に保持されるようになっており、多層レジスト膜15(この場合は、主に、下層レジスト膜15aおよび中間層レジスト膜)が形成されたウエハ1は、ホットプレート(処理時温度は、たとえば、摂氏80度から300度程度が好適である。この加熱処理は、必須ではないが、実施しない場合は、その分、処理時間を延長する必要がある)を兼ねたウエハステージ55上に、表側主面1aを上に向けてセットされる。ウエハ1の上方には、UV(Ultraviolet)光ランプ56が設けられている(UV光照射は、必須ではないが、実施しない場合は、その分、処理時間を延長する必要がある)。オゾン発生部52の誘電体バリア放電部58で作られたオゾンを含むガスは気圧の差を利用して、ウエハ処理チャンバ54へ輸送され、その後、排気口57から排出される。オゾンソースガス59としては、酸素ガスが好適であるが、各種の添加ガスを添加することができる。ただし、酸素ガスを主要な組成とするガスであることが、オゾン濃度を上げる観点から望ましい。   As shown in FIG. 2, the ozone processing apparatus 51 is divided into an ozone generating section 52 (the internal gas pressure is set slightly higher than that of the wafer processing section) and a wafer processing chamber 54. A gate valve 53 is provided. During processing, the wafer processing chamber 54 is maintained at a constant atmospheric pressure (for example, approximately normal pressure), and the multilayer resist film 15 (in this case, mainly the lower layer resist film 15a and the intermediate layer resist film). ) Is formed on a hot plate (processing temperature is preferably about 80 to 300 degrees Celsius, for example. This heat treatment is not indispensable, but if it is not carried out, it is processed accordingly. It is set on the wafer stage 55 that also needs to extend the time) with the front main surface 1a facing up. Above the wafer 1, a UV (ultraviolet) light lamp 56 is provided (UV light irradiation is not indispensable, but if it is not performed, it is necessary to extend the processing time accordingly). The ozone-containing gas produced by the dielectric barrier discharge unit 58 of the ozone generation unit 52 is transported to the wafer processing chamber 54 using the difference in atmospheric pressure, and then discharged from the exhaust port 57. The ozone source gas 59 is preferably oxygen gas, but various additive gases can be added. However, a gas having oxygen gas as the main composition is desirable from the viewpoint of increasing the ozone concentration.

ウエハ処理チャンバ54内のオゾン濃度としては、たとえば30g/Nmから450g/Nm程度が好適であり、処理時間を短縮するためには、180g/Nmから450g/Nm程度が更に好適である。この濃度を、典型的な酸素プラズマ処理の濃度と比較すると、おおよそ、以下のようになる。すなわち、133パスカルの酸素ガスに13.56MHzの高周波電力を印加した場合、オゾン濃度は、精々、1.9g/Nm程度と考えられるから、オゾン処理の場合と比較して、一桁以上、低い濃度である。 The ozone concentration in the wafer processing chamber 54, for example, preferably about 450 g / Nm 3 from 30 g / Nm 3, in order to shorten the processing time, 180 g / Nm 3 from 450 g / Nm 3 about is more preferred is there. When this concentration is compared with the concentration of a typical oxygen plasma treatment, it is approximately as follows. That is, when high frequency power of 13.56 MHz is applied to 133 Pascal oxygen gas, the ozone concentration is considered to be about 1.9 g / Nm 3 at the most. Low concentration.

一方、オゾン処理時間としては、たとえば1分から120分程度が好適と考えられるが、量産効率を考慮すると、1分から30分程度が更に好適である。   On the other hand, as the ozone treatment time, for example, about 1 minute to 120 minutes is considered preferable, but considering the mass production efficiency, about 1 minute to 30 minutes is more preferable.

セクション3から6で使用したオゾン処理の条件は、特にそうでない旨明示した場合を除き、以下の標準的なオゾン処理条件、すなわち、オゾン濃度:180g/Nm程度、ウエハ温度(ホットプレート温度):摂氏105度程度、処理時間3分程度(180秒程度)、紫外線ランプ:オン状態である。
(2)オゾン処理後の中間層レジスト膜除去および中間層レジスト膜除去後の下層レジスト膜除去:
オゾン処理の後、たとえば図7で説明するようなアルカリ現像液等のレジスト剥離液等を用いて、残存する中間層レジスト膜15bの除去が行われる(再生処理等としての中間層レジスト膜除去工程)。更に、通常の酸素プラズマ処理(アッシング処理)等により、残存する下層レジスト膜15aが除去される(再生処理等としての下層レジスト膜除去工程)。
The ozone treatment conditions used in Sections 3 to 6 are the following standard ozone treatment conditions, ie, ozone concentration: about 180 g / Nm 3 , wafer temperature (hot plate temperature), unless otherwise specified. : About 105 degrees Celsius, processing time is about 3 minutes (about 180 seconds), UV lamp: is on.
(2) Intermediate layer resist film removal after ozone treatment and lower layer resist film removal after intermediate layer resist film removal:
After the ozone treatment, the remaining intermediate layer resist film 15b is removed using, for example, a resist stripping solution such as an alkali developer as described in FIG. 7 (interlayer layer resist film removal step as a regeneration process or the like). ). Further, the remaining lower resist film 15a is removed by a normal oxygen plasma treatment (ashing treatment) or the like (lower resist film removal step as a regeneration treatment or the like).

この再生処理としての中間層レジスト膜除去工程に用いるレジスト剥離液(薬液)としては、テトラメチルアンモニウムハイドロオキサイド(略称TMAH:Tetramethylammoniumhydoxide)系水溶液(たとえば、TMAH濃度2.38重量%程度)等のアルカリ現像液等が好適である。処理条件としては、たとえば、液温:摂氏25度程度(常温)、処理時間:2分程度(セクション6の実験条件と同じ、以下も同じ)を例示することができる。   As a resist stripping solution (chemical solution) used in the intermediate layer resist film removing step as the regeneration treatment, an alkali such as a tetramethylammonium hydroxide (abbreviation TMAH: Tetramethylammonium hydroxide) aqueous solution (for example, a TMAH concentration of about 2.38 wt%) is used. A developer or the like is preferred. Examples of the processing conditions include liquid temperature: about 25 degrees Celsius (room temperature), processing time: about 2 minutes (same as the experimental conditions in Section 6, and the same below).

このようなアルカリ現像液以外としては、たとえばプロピレングリコールモノメチルエーテルアセテート(略称PGMEA:Propyleneglycolmonomethyl etheracetae)とプロピレングリコールモノメチルエーテル(略称PGME:Propyleneglycolmonomethyl ether)の重量比7:3混合溶媒、すなわち、プロピレングリコールモノメチルエーテルアセテートおよびプロピレングリコールモノメチルエーテルを主要な成分として含む混合溶媒(処理条件としては、たとえば、液温:摂氏25度程度、処理時間:2分程度)や、過酸化水素水と硫酸の混合水溶液(たとえば、重量比1:0.5程度)等を例示することができる(処理条件としては、たとえば、液温:摂氏120度程度、処理時間:1分程度)。更に、一般に、プロピレングリコールモノメチルエーテルアセテート、プロピレングリコールモノメチルエーテル、シクロヘキサン、ガンマブチルラクトンまたは、これらの内、二つ以上を含む混合液等(無極性有機溶媒等)を例示することができる。   Examples of such an alkali developer include propylene glycol monomethyl ether acetate (abbreviation PGMEA: Propyleneglycol etherether) and propylene glycol monomethyl ether (abbreviation PGME: Propyleneglycol ether ether) in a 7: 3 mixed solvent, that is, propylene glycol monomethyl ether. A mixed solvent containing acetate and propylene glycol monomethyl ether as main components (processing conditions are, for example, liquid temperature: about 25 degrees Celsius, processing time: about 2 minutes), a mixed aqueous solution of hydrogen peroxide and sulfuric acid (for example, (Weight ratio of about 1: 0.5) and the like can be exemplified (processing conditions include, for example, liquid temperature: Celsius) 120 degree, processing time: about 1 minute). Furthermore, generally, propylene glycol monomethyl ether acetate, propylene glycol monomethyl ether, cyclohexane, gamma butyl lactone, or a mixed solution containing two or more of these (nonpolar organic solvent etc.) can be exemplified.

なお、セクション6に示すように、オゾン処理とその後の薬液処理の組み合わせを2度以上繰り返すと、更に良好な除去特性が得られる。   As shown in section 6, when the combination of ozone treatment and subsequent chemical treatment is repeated twice or more, better removal characteristics can be obtained.

3.本願の各実施の形態の半導体集積回路装置の製造方法における露光工程に使用する液浸露光装置の説明(主に図58から図60)
ここでは、図6、図18、図32、および図42等で説明する縮小投影露光に使用する液浸露光装置について簡単に説明する。なお、本願で説明する技術は、液浸方式以外の露光にも適用できることは言うまでもない。ここでは、ノッチ31(図59)を有する300φウエハを例にとって説明するが、対象とするウエハの径は、200ファイでも450ファイでも、その他でも良い。なお、言うまでもないことであるが、ノッチ31は、オリエンテーションフラットであっても良い。また、露光方式は、ステップアンドスキャン方式に限らない。
3. Description of immersion exposure apparatus used for exposure process in manufacturing method of semiconductor integrated circuit device of each embodiment of the present application (mainly FIG. 58 to FIG. 60)
Here, an immersion exposure apparatus used for the reduced projection exposure described with reference to FIGS. 6, 18, 32, and 42 will be briefly described. Needless to say, the technique described in the present application can be applied to exposure other than the immersion method. Here, a 300φ wafer having a notch 31 (FIG. 59) will be described as an example, but the diameter of the target wafer may be 200 phi, 450 phi, or others. Needless to say, the notch 31 may be an orientation flat. Further, the exposure method is not limited to the step-and-scan method.

図58は本願の各実施の形態の半導体集積回路装置の製造方法における縮小投影露光に使用する露光装置の要部模式断面図である。図59は図58の露光装置のステップ動作を説明するためのウエハ全体上面図である。図60は図58の露光装置のステップ&スキャン動作を説明するためのウエハ部分拡大上面図である。これらに基づいて、本願の各実施の形態の半導体集積回路装置の製造方法における露光工程に使用する液浸露光装置の一例を説明する。   FIG. 58 is a schematic cross-sectional view of the relevant part of an exposure apparatus used for reduced projection exposure in the method of manufacturing a semiconductor integrated circuit device according to each embodiment of the present application. FIG. 59 is a top view of the entire wafer for explaining the step operation of the exposure apparatus of FIG. FIG. 60 is an enlarged top view of a wafer portion for explaining the step & scan operation of the exposure apparatus of FIG. Based on these, an example of an immersion exposure apparatus used in the exposure process in the method of manufacturing a semiconductor integrated circuit device according to each embodiment of the present application will be described.

図58に示すように、ステップアンドスキャン液浸縮小投影露光装置60(縮小率は、たとえば、4:1)内のウエハステージ61上に多層レジスト膜15(この場合は、下層レジスト膜15a、中間層レジスト膜15b、上層レジスト膜15c等からなる)が形成されたウエハ1が、その表側主面1aを上に向けた状態で真空吸着されている。ウエハ1の上方には、たとえば、反射屈折投影光学系(Catadioptric System)等で構成された投影光学系63(屈折投影光学系、反射投影光学系などでもよい)およびレチクル67を保持したレチクルホルダ66が設けられている。ArFエキシマレーザ光68(193nm露光光)は、集積回路パターン69が設けられたレチクル67を透過して、投影光学系63によって、多層レジスト膜15上に、集積回路パターン69の実像を結像するようになっている。露光の際には、ウエハステージ61とレチクルホルダ66は、反対方向に平行移動するようになっており、このとき、鏡筒64とウエハ1の間には、液浸用液体62(ここでは、水を主要な成分とするものについて説明するが、水よりも誘電率の高い高誘電率液体であっても良い)が介在するようになっている。この鏡筒64内には、通常、数十枚の投影レンズ65a,65b,65c,65d,65eと複数の反射鏡が設置されている。   As shown in FIG. 58, a multilayer resist film 15 (in this case, a lower resist film 15a, an intermediate resist film 15) on a wafer stage 61 in a step-and-scan immersion reduction projection exposure apparatus 60 (reduction ratio is 4: 1, for example). The wafer 1 on which the layer resist film 15b and the upper layer resist film 15c are formed is vacuum-sucked with the front main surface 1a facing upward. Above the wafer 1, for example, a projection optical system 63 (which may be a refractive projection optical system, a reflective projection optical system, or the like) constituted by a catadioptric projection optical system or the like, and a reticle holder 66 holding a reticle 67, for example. Is provided. The ArF excimer laser beam 68 (193 nm exposure light) passes through the reticle 67 provided with the integrated circuit pattern 69 and forms a real image of the integrated circuit pattern 69 on the multilayer resist film 15 by the projection optical system 63. It is like that. At the time of exposure, the wafer stage 61 and the reticle holder 66 are moved in parallel in opposite directions. At this time, an immersion liquid 62 (here, between the lens barrel 64 and the wafer 1). The main component is water, but it may be a high dielectric constant liquid having a higher dielectric constant than water). In the lens barrel 64, usually, several tens of projection lenses 65a, 65b, 65c, 65d, 65e and a plurality of reflecting mirrors are installed.

次に、これを用いた一般的な露光動作を図59及び図60により説明する。図59に示すように、主にショット領域32(単位露光領域)ごとのステップ移動によって、ステップパス33に沿ってウエハ1の全有効領域を露光する。図60に示すように、単位露光領域32には、通常、1または複数のチップ領域2が含まれる。単位露光領域32内のスキャンは、スリット状露光帯35(通常はウエハが移動)が直線的なスキャンパス34に沿って相対的に平行移動することによって行われる。スキャンパス34は、通常、前後の単位露光領域32で逆向きとなる。   Next, a general exposure operation using this will be described with reference to FIGS. As shown in FIG. 59, the entire effective area of the wafer 1 is exposed along the step path 33 mainly by step movement for each shot area 32 (unit exposure area). As shown in FIG. 60, the unit exposure area 32 usually includes one or a plurality of chip areas 2. Scanning in the unit exposure region 32 is performed by relatively translating a slit-like exposure zone 35 (usually the wafer moves) along a linear scan path 34. The scan path 34 is usually reversed in the front and rear unit exposure regions 32.

4.本願の実施の形態1(ビアファーストプロセス)の半導体集積回路装置の製造方法におけるウエハプロセスの要部の説明(主に図3から図28)
埋め込み配線プロセス、具体的にはデュアルダマシンプロセス(Dual DamasceneProcess)は、各種の処理手順で実行可能であるが、本願では、代表的なビアファーストプロセス(本セクション)およびトレンチファーストプロセス(次セクション)に本願の多層レジストプロセスを適用した例を説明する。従って、他の処理手順についても、ほぼそのまま適用できることは言うまでもない。
4). Description of the main part of the wafer process in the manufacturing method of the semiconductor integrated circuit device of the first embodiment (via first process) of the present application (mainly FIGS. 3 to 28)
The embedded wiring process, specifically the dual damascene process (Dual Damascene Process) can be executed by various processing procedures. In this application, the typical via first process (this section) and the trench first process (next section) are used. An example in which the multilayer resist process of the present application is applied will be described. Therefore, it goes without saying that other processing procedures can be applied almost as they are.

なお、本セクションおよび次セクションでは、第2層銅系埋め込み配線M2を例にとり具体的に説明するが、埋め込み配線への適用(この場合の被加工膜は、シリコンを主要な成分の一つとして含む膜、シリコンを主要な成分として含む膜、シリコン及び酸素を主要な成分として含む膜、シリコン及び炭素を主要な成分として含む膜、または炭素、シリコン及び酸素を主要な成分として含む膜である)に関しては、その他のデュアルダマシンプロセス層(第2層銅系埋め込み配線M2〜第13層銅系埋め込み配線M13)、シングルダマシンプロセス層(第1層銅系埋め込み配線M1)等へも、ほぼ、そのまま適用できる。ただし、通常のレジストプロセスと比較して、工程数が増加するので、ファインプロセス(たとえば、第1層銅系埋め込み配線M1〜第7層銅系埋め込み配線M7)に好適である。埋め込み配線以外では、被加工層の少なくとも一つの層の材料構成がシリコンを主要な成分の一つであるものに好適である。例を挙げれば、STIパターニングプロセス、ゲート電極(ポリシリコン層を含む多層構造を含む)パターニングプロセス(ゲートファーストプロセスおよびゲートラストプロセスを含む)等に好適である。   In this section and the next section, the second-layer copper-based embedded wiring M2 will be specifically described as an example. However, application to the embedded wiring (in this case, the film to be processed has silicon as one of main components). A film containing silicon as a main component, a film containing silicon and oxygen as main components, a film containing silicon and carbon as main components, or a film containing carbon, silicon and oxygen as main components) As for other dual damascene process layers (second-layer copper-based buried wiring M2 to thirteenth-layer copper-based buried wiring M13), single damascene process layers (first-layer copper-based buried wiring M1), etc., as they are. Applicable. However, since the number of steps increases as compared with a normal resist process, it is suitable for fine processes (for example, the first layer copper-based embedded wiring M1 to the seventh layer copper-based embedded wiring M7). Other than the embedded wiring, the material structure of at least one layer of the layer to be processed is suitable for a material in which silicon is one of the main components. For example, it is suitable for an STI patterning process, a gate electrode (including a multilayer structure including a polysilicon layer) patterning process (including a gate first process and a gate last process), and the like.

図3は本願の実施の形態1(ビアファーストプロセス)の半導体集積回路装置の製造方法におけるウエハプロセスの要部を示すデバイス断面図(ビアファーストプロセスにおける第2層層間絶縁膜形成完了時点)である。図4は本願の実施の形態1(ビアファーストプロセス)の半導体集積回路装置の製造方法におけるウエハプロセスの要部を示すデバイス断面図(ビア形成用下層レジスト膜塗布工程)である。図5は本願の実施の形態1(ビアファーストプロセス)の半導体集積回路装置の製造方法におけるウエハプロセスの要部を示すデバイス断面図(ビア形成用中間層レジスト膜塗布工程)である。図6は本願の実施の形態1(ビアファーストプロセス)の半導体集積回路装置の製造方法におけるウエハプロセスの要部を示すデバイス断面図(ビア形成用上層レジスト膜塗布工程)である。図7は本願の実施の形態1(ビアファーストプロセス)の半導体集積回路装置の製造方法におけるウエハプロセスの要部を示すデバイス断面図(ビア形成用上層レジスト膜現像工程)である。図8は本願の実施の形態1(ビアファーストプロセス)の半導体集積回路装置の製造方法におけるウエハプロセスの要部を示すデバイス断面図(ビア形成用中間層レジスト膜パターニング工程)である。図9は本願の実施の形態1(ビアファーストプロセス)の半導体集積回路装置の製造方法におけるウエハプロセスの要部を示すデバイス断面図(正常箇所のビア形成用下層レジスト膜パターニング完了時点)である。図10は本願の実施の形態1(ビアファーストプロセス)の半導体集積回路装置の製造方法におけるウエハプロセスの要部を示すデバイス断面図(不良箇所のビア形成用上層レジスト現像処理完了時点)である。図11は本願の実施の形態1(ビアファーストプロセス)の半導体集積回路装置の製造方法におけるウエハプロセスの要部を示すデバイス断面図(ビア形成用中間層レジスト膜に対するオゾン処理工程)である。図12は本願の実施の形態1の半導体集積回路装置の製造方法におけるウエハプロセスの要部を示すデバイス断面図(ビア開口工程)である。図13は本願の実施の形態1(ビアファーストプロセス)の半導体集積回路装置の製造方法におけるウエハプロセスの要部を示すデバイス断面図(ビア形成用下層レジスト膜除去工程)である。図14は本願の実施の形態1(ビアファーストプロセス)の半導体集積回路装置の製造方法におけるウエハプロセスの要部を示すデバイス断面図(ギャップフィル膜塗布工程)である。図15は本願の実施の形態1(ビアファーストプロセス)の半導体集積回路装置の製造方法におけるウエハプロセスの要部を示すデバイス断面図(ギャップフィル膜エッチバック工程)である。図16は本願の実施の形態1(ビアファーストプロセス)の半導体集積回路装置の製造方法におけるウエハプロセスの要部を示すデバイス断面図(トレンチ形成用下層レジスト膜塗布工程)である。図17は本願の実施の形態1(ビアファーストプロセス)の半導体集積回路装置の製造方法におけるウエハプロセスの要部を示すデバイス断面図(トレンチ形成用中間層レジスト膜塗布工程)である。図18は本願の実施の形態1(ビアファーストプロセス)の半導体集積回路装置の製造方法におけるウエハプロセスの要部を示すデバイス断面図(トレンチ形成用上層レジスト膜塗布工程)である。図19は本願の実施の形態1(ビアファーストプロセス)の半導体集積回路装置の製造方法におけるウエハプロセスの要部を示すデバイス断面図(トレンチ形成用上層レジスト膜現像工程)である。図20は本願の実施の形態1(ビアファーストプロセス)の半導体集積回路装置の製造方法におけるウエハプロセスの要部を示すデバイス断面図(トレンチ形成用中間層レジスト膜パターニング工程)である。図21は本願の実施の形態1(ビアファーストプロセス)の半導体集積回路装置の製造方法におけるウエハプロセスの要部を示すデバイス断面図(正常箇所のトレンチ形成用下層レジスト膜パターニング完了時点)である。図22は本願の実施の形態1(ビアファーストプロセス)の半導体集積回路装置の製造方法におけるウエハプロセスの要部を示すデバイス断面図(不良箇所のトレンチ形成用上層レジスト現像完了時点)である。図23は本願の実施の形態1(ビアファーストプロセス)の半導体集積回路装置の製造方法におけるウエハプロセスの要部を示すデバイス断面図(トレンチ形成用中間層レジスト膜に対するオゾン処理工程)である。図24は本願の実施の形態1(ビアファーストプロセス)の半導体集積回路装置の製造方法におけるウエハプロセスの要部を示すデバイス断面図(トレンチ開口工程)である。図25は本願の実施の形態1(ビアファーストプロセス)の半導体集積回路装置の製造方法におけるウエハプロセスの要部を示すデバイス断面図(トレンチ形成用下層レジスト膜除去工程)である。図26は本願の実施の形態1(ビアファーストプロセス)の半導体集積回路装置の製造方法におけるウエハプロセスの要部を示すデバイス断面図(ビア底エッチストップ膜除去工程)である。図27は本願の実施の形態1(ビアファーストプロセス)の半導体集積回路装置の製造方法におけるウエハプロセスの要部を示すデバイス断面図(配線金属埋め込み工程)である。図28は本願の実施の形態1(ビアファーストプロセス)の半導体集積回路装置の製造方法におけるウエハプロセスの要部を示すデバイス断面図(メタルCMP工程)である。これらに基づいて、本願の実施の形態1(ビアファーストプロセス)の半導体集積回路装置の製造方法におけるウエハプロセスの要部を説明する。   FIG. 3 is a device cross-sectional view (at the time of completion of the formation of the second interlayer insulating film in the via first process) showing the main part of the wafer process in the manufacturing method of the semiconductor integrated circuit device of the first embodiment (via first process) of the present application. . FIG. 4 is a device cross-sectional view (via forming lower layer resist film coating step) showing the main part of the wafer process in the manufacturing method of the semiconductor integrated circuit device according to the first embodiment (via first process) of the present application. FIG. 5 is a device cross-sectional view (via forming intermediate layer resist film coating step) showing the main part of the wafer process in the manufacturing method of the semiconductor integrated circuit device of the first embodiment (via first process) of the present application. FIG. 6 is a device sectional view (via forming upper resist film coating step) showing the main part of the wafer process in the manufacturing method of the semiconductor integrated circuit device according to the first embodiment (via first process) of the present application. FIG. 7 is a device cross-sectional view (via formation upper resist film developing step) showing the main part of the wafer process in the manufacturing method of the semiconductor integrated circuit device according to the first embodiment (via first process) of the present application. FIG. 8 is a device cross-sectional view (via forming intermediate layer resist film patterning step) showing the main part of the wafer process in the manufacturing method of the semiconductor integrated circuit device according to the first embodiment (via first process) of the present application. FIG. 9 is a device cross-sectional view (at the time of completion of patterning of a lower-layer resist film for forming a via at a normal location) showing the main part of the wafer process in the manufacturing method of the semiconductor integrated circuit device of the first embodiment (via first process) of the present application. FIG. 10 is a device cross-sectional view (at the time of completion of the upper-layer resist developing process for forming a via in a defective portion) showing the main part of the wafer process in the manufacturing method of the semiconductor integrated circuit device according to the first embodiment (via first process) of the present application. FIG. 11 is a device cross-sectional view (ozone treatment step for via forming intermediate layer resist film) showing the main part of the wafer process in the manufacturing method of the semiconductor integrated circuit device according to the first embodiment (via first process) of the present application. FIG. 12 is a device cross-sectional view (via opening step) showing the main part of the wafer process in the method of manufacturing a semiconductor integrated circuit device according to the first embodiment of the present application. FIG. 13 is a device cross-sectional view (via forming lower resist film removing step) showing the main part of the wafer process in the manufacturing method of the semiconductor integrated circuit device of the first embodiment (via first process) of the present application. FIG. 14 is a device cross-sectional view (gap fill film coating step) showing the main part of the wafer process in the method of manufacturing a semiconductor integrated circuit device according to the first embodiment (via first process) of the present application. FIG. 15 is a device cross-sectional view (gap fill film etch-back step) showing the main part of the wafer process in the manufacturing method of the semiconductor integrated circuit device according to the first embodiment (via first process) of the present application. FIG. 16 is a device cross-sectional view (trench forming lower layer resist film coating step) showing the main part of the wafer process in the manufacturing method of the semiconductor integrated circuit device of the first embodiment (via first process) of the present application. FIG. 17 is a device cross-sectional view (trench forming intermediate layer resist film coating step) showing the main part of the wafer process in the manufacturing method of the semiconductor integrated circuit device of the first embodiment (via first process) of the present application. FIG. 18 is a device cross-sectional view (upper resist film coating step for trench formation) showing the main part of the wafer process in the manufacturing method of the semiconductor integrated circuit device according to the first embodiment (via first process) of the present application. FIG. 19 is a device cross-sectional view (trench forming upper resist film developing step) showing the main part of the wafer process in the manufacturing method of the semiconductor integrated circuit device of the first embodiment (via first process) of the present application. FIG. 20 is a device cross-sectional view (trench forming intermediate layer resist film patterning step) showing the main part of the wafer process in the method of manufacturing a semiconductor integrated circuit device according to the first embodiment (via first process) of the present application. FIG. 21 is a device cross-sectional view (at the time of completing the patterning of the lower-layer resist film for forming a trench at a normal location) showing the main part of the wafer process in the manufacturing method of the semiconductor integrated circuit device of the first embodiment (via first process) of the present application. FIG. 22 is a device cross-sectional view (at the time of completion of developing the upper resist for forming a trench in a defective portion) showing the main part of the wafer process in the manufacturing method of the semiconductor integrated circuit device according to the first embodiment (via first process) of the present application. FIG. 23 is a device sectional view showing an essential part of the wafer process in the manufacturing method of the semiconductor integrated circuit device according to the first embodiment (via first process) of the present application (ozone treatment process for the trench forming intermediate layer resist film). FIG. 24 is a device cross-sectional view (trench opening process) showing the main part of the wafer process in the method of manufacturing a semiconductor integrated circuit device according to the first embodiment (via first process) of the present application. FIG. 25 is a device cross-sectional view (trench forming lower layer resist film removing step) showing the principal part of the wafer process in the manufacturing method of the semiconductor integrated circuit device of the first embodiment (via first process) of the present application. FIG. 26 is a device cross-sectional view (via bottom etch stop film removal step) showing the main part of the wafer process in the method for manufacturing a semiconductor integrated circuit device according to the first embodiment (via first process) of the present application. FIG. 27 is a device sectional view (wiring metal embedding step) showing a principal part of the wafer process in the manufacturing method of the semiconductor integrated circuit device according to the first embodiment (via first process) of the present application. FIG. 28 is a device cross-sectional view (metal CMP process) showing the main part of the wafer process in the method of manufacturing a semiconductor integrated circuit device according to the first embodiment (via first process) of the present application. Based on these, the main part of the wafer process in the manufacturing method of the semiconductor integrated circuit device according to the first embodiment (via first process) of the present application will be described.

図3に示すように、第1層層間絶縁膜12内に第1層銅系埋め込み配線M1を形成した後、たとえば、ウエハ1の表側主面1a側のほぼ全面に、プラズマCVD等により、エッチストップ絶縁膜13(拡散バリア絶縁膜)として、たとえば、SiCN膜(たとえば厚さ50nm程度)を成膜する。続いて、SiCN膜13上のウエハ1の表側主面1a側のほぼ全面に、プラズマCVD等により、第2層層間絶縁膜14として、ELK(Extreme Low−k)膜、たとえば、ポーラスSiOC等(たとえば厚さ180nm程度)を成膜する。   As shown in FIG. 3, after the formation of the first layer copper-based buried wiring M1 in the first layer interlayer insulating film 12, for example, the entire surface of the wafer 1 on the front side main surface 1a side is etched by plasma CVD or the like. As the stop insulating film 13 (diffusion barrier insulating film), for example, a SiCN film (for example, about 50 nm thick) is formed. Subsequently, an ELK (Extreme Low-k) film, for example, porous SiOC, etc. (as a second interlayer insulating film 14) is formed on almost the entire surface of the wafer 1 on the front main surface 1a side of the SiCN film 13 by plasma CVD or the like. For example, a film having a thickness of about 180 nm is formed.

次に、図4に示すように、第2層層間絶縁膜14上のウエハ1の表側主面1a側のほぼ全面に、炭素を主要な成分として含む第1のレジスト膜15a(下層レジスト膜)を、たとえば、塗布等により形成する(厚さは、たとえば、200nm程度、好適な範囲としては、100から300nm程度)。その後、たとえば、摂氏100度から300度程度の温度で、たとえば、60秒から120秒程度、下層レジスト膜15aに対するベーク処理を実施する。   Next, as shown in FIG. 4, a first resist film 15a (lower resist film) containing carbon as a main component on almost the entire surface on the front-side main surface 1a side of the wafer 1 on the second-layer interlayer insulating film 14. Is formed, for example, by coating or the like (thickness is, for example, about 200 nm, and a preferred range is about 100 to 300 nm). Thereafter, for example, a baking process is performed on the lower resist film 15a at a temperature of about 100 to 300 degrees Celsius for about 60 to 120 seconds, for example.

続いて、図5に示すように、下層レジスト膜15a上のウエハ1の表側主面1a側のほぼ全面に、炭素及びシリコンを主要な成分として含む第2のレジスト膜15b(中間層レジスト膜)をたとえば、塗布等(CVDその他の方法でも良い)により形成する(厚さは、たとえば、60nm程度、好適な範囲としては、10から100nm程度)。その後、たとえば、摂氏120度から350度程度の温度で、たとえば、30秒から120秒程度、中間層レジスト膜15bに対するベーク処理を実施する。   Subsequently, as shown in FIG. 5, a second resist film 15b (intermediate layer resist film) containing carbon and silicon as main components on almost the entire surface on the front-side main surface 1a side of the wafer 1 on the lower resist film 15a. Is formed by coating or the like (CVD or other methods may be used) (thickness is, for example, about 60 nm, and a preferred range is about 10 to 100 nm). Thereafter, for example, a baking process is performed on the intermediate layer resist film 15b at a temperature of about 120 to 350 degrees Celsius, for example, for about 30 to 120 seconds.

次に、図6に示すように、中間層レジスト膜15b上のウエハ1の表側主面1a側のほぼ全面に、感光性有機レジスト膜15c(上層レジスト膜)、たとえば、化学増幅型ArF用ポジ型レジスト(必要に応じてネガ型でもよい)を塗布する(厚さは、たとえば、120nm程度、好適な範囲としては、50から180nm程度)。その後、たとえば、摂氏80度から120度程度の温度で、たとえば、60秒から120秒程度、上層レジスト膜15cに対するポストアプライベーク(Post Apply Bake)処理を実施する。これらの下層レジスト膜15a、中間層レジスト膜15b、上層レジスト膜15c等で多層レジスト膜15を構成する。   Next, as shown in FIG. 6, a photosensitive organic resist film 15c (upper layer resist film), for example, a positive electrode for chemically amplified ArF, is formed on almost the entire surface of the wafer 1 on the front side main surface 1a side on the intermediate layer resist film 15b. A type resist (which may be a negative type if necessary) is applied (thickness is, for example, about 120 nm, and a preferable range is about 50 to 180 nm). Thereafter, for example, a post-apply bake process is performed on the upper resist film 15c at a temperature of about 80 to 120 degrees Celsius, for example, for about 60 to 120 seconds. The lower layer resist film 15a, the intermediate layer resist film 15b, the upper layer resist film 15c and the like constitute the multilayer resist film 15.

次に、図7に示すように、レチクル67(または光学マスク)および縮小投影露光装置(セクション3及び図58参照)を用いて、ArFエキシマレーザ光(波長193nm)を露光光として、レチクル67の主面上の集積回路パターンの実像を多層レジスト膜15上(正確には上層レジスト膜15c上)に結像(すなわち、縮小投影露光)させることにより、集積回路パターンを上層レジスト膜15cに転写する。続いて、たとえば、摂氏100度から140度程度の温度で、上層レジスト膜15cに対するポストエクスポージャベーク(Post Exposure Bake)処理を、たとえば、摂氏100度から140度程度の温度で、たとえば、30秒から60秒程度、実施する。続いて、たとえば、テトラメチルアンモニウムハイドロオキサイド(略称TMAH:Tetramethylammoniumhydoxide)水溶液(たとえば、TMAH濃度2.38重量%程度)等のアルカリ現像液を用いて、現像処理(たとえば、30秒から60秒程度)を実行して、上層レジスト膜15cのパターニングを行う。続いて、上層レジスト膜15cに対するポストディベロップメントベーク(Post Development Bake)処理を、たとえば、摂氏110度程度(好適な範囲としては摂氏100度から120度程度)の温度で、たとえば、60秒から120秒程度、実施する。   Next, as shown in FIG. 7, using a reticle 67 (or optical mask) and a reduced projection exposure apparatus (see section 3 and FIG. 58), ArF excimer laser light (wavelength 193 nm) is used as exposure light, and the reticle 67 By forming a real image of the integrated circuit pattern on the main surface on the multilayer resist film 15 (more precisely, on the upper resist film 15c) (ie, reduced projection exposure), the integrated circuit pattern is transferred to the upper resist film 15c. . Subsequently, a post-exposure bake process is performed on the upper resist film 15c at a temperature of about 100 to 140 degrees Celsius, for example, at a temperature of about 100 to 140 degrees Celsius, for example, for 30 seconds. For about 60 seconds. Subsequently, development processing (for example, about 30 to 60 seconds) using an alkali developer such as an aqueous solution of tetramethylammonium hydroxide (abbreviated as TMAH: Tetramethylammonium hydroxide) (for example, a TMAH concentration of about 2.38 wt%). To pattern the upper resist film 15c. Subsequently, a post development bake process for the upper resist film 15c is performed at a temperature of about 110 degrees Celsius (preferably about 100 to 120 degrees Celsius), for example, for 60 seconds to 120 degrees. Implement for about a second.

次に、図8に示すように、パターニングされた上層レジスト膜15cをマスクとして、中間層レジスト膜15bに対するドライエッチング処理(ガス雰囲気としては、たとえば、フルオロカーボン系エッチングガスを含む雰囲気を例示することができる)を実行することによって、上層レジスト膜15cのパターンを中間層レジスト膜15bに転写する。   Next, as shown in FIG. 8, using the patterned upper resist film 15c as a mask, the intermediate resist film 15b is dry-etched (as the gas atmosphere, for example, an atmosphere containing a fluorocarbon-based etching gas may be exemplified. The pattern of the upper resist film 15c is transferred to the intermediate resist film 15b.

次に、図9(正常な場合、または、正常な部分)に示すように、酸素プラズマ処理(アッシング処理)等により、中間層レジスト膜15bをマスクとして、下層レジスト膜15aに対するドライエッチング処理を実行することによって、中間層レジスト膜15bのパターンを下層レジスト膜15aに転写する。この下層レジスト膜15aのドライエッチング処理の際に、残存する上層レジスト膜15cも、通常、自然に除去されるので、特別な除去処理を必要としない。なお、それでも残存するときは、たとえば、硫酸と過酸化水素水の混合薬液等によるウエット処理を併用すればよい。   Next, as shown in FIG. 9 (normal case or normal portion), dry etching processing is performed on the lower resist film 15a using the intermediate resist film 15b as a mask by oxygen plasma processing (ashing processing) or the like. As a result, the pattern of the intermediate layer resist film 15b is transferred to the lower layer resist film 15a. When the lower resist film 15a is dry-etched, the remaining upper resist film 15c is usually removed naturally, so that no special removal process is required. If it still remains, for example, a wet treatment with a mixed chemical solution of sulfuric acid and hydrogen peroxide may be used in combination.

次に、図12に示すように、中間層レジスト膜15b(炭素及びシリコンを主要な成分として含む第2の膜、または、オルガノシリケイトを主要な成分として含む第2の膜)および下層レジスト膜15a(炭素を主要な成分として含む第1の膜)がある状態で、第2層層間絶縁膜14(シリコンを主要な成分の一つとして含む被加工膜)の異方性ドライエッチング(ガス雰囲気としては、たとえば、フルオロカーボン系エッチングガスを含む雰囲気を例示することができる)を開始することによって、被加工膜14を加工し、エッチストップ絶縁膜13でエッチングをストップさせる。エッチング条件としては、たとえば、ガス雰囲気:CHF/O、処理気圧:200から400パスカル程度、高周波電力:1500ワット(たとえば13.56MHz)、プラズマ炉形式:たとえば、ECR(Electron Cyclotron Resonance)型プラズマ炉を例示することができる。この被加工膜14のドライエッチング処理の際に、残存する中間層レジスト膜15bも通常、自然に除去されるので、特別な除去処理を必要としない。これで、ビア17が形成されたこととなる。 Next, as shown in FIG. 12, the intermediate layer resist film 15b (the second film containing carbon and silicon as main components or the second film containing organosilicate as main components) and the lower resist film 15a Anisotropic dry etching (as a gas atmosphere) of the second-layer interlayer insulating film 14 (film to be processed containing silicon as one of the main components) in a state where there is (a first film containing carbon as a main component) Can start, for example, an atmosphere containing a fluorocarbon-based etching gas) to process the film to be processed 14 and stop the etching with the etch stop insulating film 13. Etching conditions include, for example, gas atmosphere: CHF 3 / O 2 , processing pressure: about 200 to 400 Pascal, high frequency power: 1500 watts (for example, 13.56 MHz), plasma furnace type: for example, ECR (Electron Cyclotron Resonance) type A plasma furnace can be exemplified. In the dry etching process of the film 14 to be processed, the remaining intermediate layer resist film 15b is also usually removed naturally, so that no special removal process is required. As a result, the via 17 is formed.

次に、図13に示すように、不要となった下層レジスト膜15aを酸素プラズマ処理(アッシング処理)等により、除去する。続いて、ウエット処理により、側壁ポリマー等を除去する。   Next, as shown in FIG. 13, the unnecessary lower resist film 15a is removed by oxygen plasma treatment (ashing treatment) or the like. Subsequently, the sidewall polymer and the like are removed by wet treatment.

ここで、図7(正常な場合または正常部分)に対応するステップにおいて、不良が発生した場合又は、同一ウエハの不良部分の説明を行う。この場合、図7(正常な場合または正常部分)に対応するデバイス断面は、図10のような様相となっている。すなわち、たとえば、上層レジスト膜15cにパターン欠陥18が存在する。従って、この場合は、当該ウエハ1に対して再生処理101を施す必要がある。この再生処理101は、パターン検査によって、再生が必要と判断されるところから始まり、再生が必要と判断されウエハ1に対しては、上層レジスト膜15cをシンナー剥離やアッシング等で除去した後に、図11に示すように、その表側主面1aのほぼ全面に対して、セクション2(図2)に説明したように、オゾン処理が実行される。オゾン処理の後、図7で説明したようなアルカリ現像液等のレジスト剥離液等を用いて、残存する中間層レジスト膜15bの除去が行われる。更に、通常の酸素プラズマ処理(アッシング処理)等により、残存する下層レジスト膜15aが除去された後、再び、図4に戻って、多層レジストの塗布(図4)から図9までの処理を繰り返す。すなわち、図10、図11、および、再度行われた図4から図9は、再生工程101(Rework Process)を構成する。なお、オゾン処理から下層レジスト膜の除去に至る中間層レジスト膜/下層レジスト膜除去工程(オゾン処理&残存レジスト除去工程)は、セクション2に詳しく説明されている。   Here, in the step corresponding to FIG. 7 (normal case or normal portion), a case where a defect occurs or a defective portion of the same wafer will be described. In this case, the device cross section corresponding to FIG. 7 (normal case or normal portion) has an aspect as shown in FIG. That is, for example, the pattern defect 18 exists in the upper resist film 15c. Therefore, in this case, it is necessary to perform the regeneration process 101 on the wafer 1. The regeneration processing 101 starts from the point where it is determined that regeneration is necessary by pattern inspection. After the regeneration is determined to be necessary, the upper resist film 15c is removed from the wafer 1 by thinner stripping, ashing, or the like. As shown in FIG. 11, as described in section 2 (FIG. 2), ozone treatment is performed on almost the entire front main surface 1 a. After the ozone treatment, the remaining intermediate layer resist film 15b is removed using a resist stripping solution such as an alkali developer as described in FIG. Further, after the remaining lower resist film 15a is removed by a normal oxygen plasma process (ashing process) or the like, the process returns to FIG. 4 again, and the processes from the multilayer resist coating (FIG. 4) to FIG. 9 are repeated. . That is, FIG. 10, FIG. 11, and FIG. 4 to FIG. 9 performed again constitute a reproduction process 101 (Rework Process). The intermediate layer resist film / lower layer resist film removal step (ozone treatment & residual resist removal step) from the ozone treatment to the removal of the lower layer resist film is described in detail in Section 2.

ここで再び、図13から続く、正常プロセスに戻る。図13の次に、図14に示すように、ウエハ1の表側主面1aのほぼ全面にビアフィル(Viafill)剤19を塗布することによって、ビアフィル剤19で第2層層間絶縁膜14の上面を覆い、ビア17内を埋め込む。   Here again, the normal process continues from FIG. Next to FIG. 13, as shown in FIG. 14, a viafill agent 19 is applied to almost the entire front main surface 1 a of the wafer 1, so that the upper surface of the second interlayer insulating film 14 is covered with the viafill agent 19. Cover and fill in via 17.

次に、図15に示すように、たとえば、エッチバック等により、ビア17外のビアフィル剤19を除去する。   Next, as shown in FIG. 15, the via fill agent 19 outside the via 17 is removed by, for example, etch back or the like.

次に、図16に示すように、図4と同様に、第2層層間絶縁膜14上およびトレンチフィル材19上のウエハ1の表側主面1a側のほぼ全面に、炭素を主要な成分として含む第1のレジスト膜15a(下層レジスト膜)を、たとえば、塗布等により形成する(厚さは、たとえば、200nm程度、好適な範囲としては、100から300nm程度)。その後、たとえば、摂氏100度から300度程度の温度で、たとえば、60秒から120秒程度、下層レジスト膜15aに対するベーク処理を実施する。   Next, as shown in FIG. 16, as in FIG. 4, carbon is the main component on almost the entire surface on the front-side main surface 1 a side of the wafer 1 on the second interlayer insulating film 14 and the trench fill material 19. The first resist film 15a (lower resist film) is formed, for example, by coating or the like (thickness is, for example, about 200 nm, and a preferable range is about 100 to 300 nm). Thereafter, for example, a baking process is performed on the lower resist film 15a at a temperature of about 100 to 300 degrees Celsius for about 60 to 120 seconds, for example.

次に、図17に示すように、図5と同様に、下層レジスト膜15a上のウエハ1の表側主面1a側のほぼ全面に、炭素及びシリコンを主要な成分として含む第2のレジスト膜15b(中間層レジスト膜)をたとえば、塗布等(CVDその他の方法でも良い)により形成する(厚さは、たとえば、60nm程度、好適な範囲としては、10から100nm程度)。その後、たとえば、摂氏120度から350度程度の温度で、たとえば、30秒から120秒程度、中間層レジスト膜15bに対するベーク処理を実施する。   Next, as shown in FIG. 17, as in FIG. 5, the second resist film 15b containing carbon and silicon as main components on almost the entire surface of the lower resist film 15a on the front main surface 1a side of the wafer 1. (Interlayer resist film) is formed by, for example, coating or the like (CVD or other methods may be used) (thickness is, for example, about 60 nm, and a preferred range is about 10 to 100 nm). Thereafter, for example, a baking process is performed on the intermediate layer resist film 15b at a temperature of about 120 to 350 degrees Celsius, for example, for about 30 to 120 seconds.

次に、図18に示すように、図6と同様に、中間層レジスト膜15b上のウエハ1の表側主面1a側のほぼ全面に、感光性有機レジスト膜15c(上層レジスト膜)、たとえば、化学増幅型ArF用ポジ型レジスト(必要に応じてネガ型でもよい)を塗布する(厚さは、たとえば、120nm程度、好適な範囲としては、50から180nm程度)。その後、たとえば、摂氏80度から120度程度の温度で、たとえば、60秒から120秒程度、上層レジスト膜15cに対するポストアプライベーク処理を実施する。これらの下層レジスト膜15a、中間層レジスト膜15b、上層レジスト膜15c等で多層レジスト膜15を構成する。   Next, as shown in FIG. 18, a photosensitive organic resist film 15c (upper layer resist film), for example, over almost the entire surface of the front side main surface 1a of the wafer 1 on the intermediate layer resist film 15b, as in FIG. A positive resist for chemically amplified ArF (which may be negative if necessary) is applied (the thickness is, for example, about 120 nm, and a preferable range is about 50 to 180 nm). Thereafter, a post-apply bake process is performed on the upper resist film 15c, for example, at a temperature of about 80 to 120 degrees Celsius, for example, for about 60 to 120 seconds. The lower layer resist film 15a, the intermediate layer resist film 15b, the upper layer resist film 15c and the like constitute the multilayer resist film 15.

次に、図19に示すように、図7と同様に、レチクル67(または光学マスク)および縮小投影露光装置(セクション3及び図58参照)を用いて、ArFエキシマレーザ光(波長193nm)を露光光として、レチクル67の主面上の集積回路パターンの実像を多層レジスト膜15上(正確には上層レジスト膜15c上)に結像(すなわち、縮小投影露光)させることにより、集積回路パターンを上層レジスト膜15cに転写する。続いて、たとえば、摂氏100度から140度程度の温度で、上層レジスト膜15cに対するポストエクスポージャベーク処理を、たとえば、摂氏100度から140度程度の温度で、たとえば、30秒から60秒程度、実施する。続いて、たとえば、テトラメチルアンモニウムハイドロオキサイド水溶液(たとえば、TMAH濃度2.38重量%程度)等のアルカリ現像液を用いて、現像処理(たとえば、30秒から60秒程度)を実行して、上層レジスト膜15cのパターニングを行う。続いて、上層レジスト膜15cに対するポストディベロップメントベーク(Post Development Bake)処理を、たとえば、摂氏110度程度(好適な範囲としては摂氏100度から120度程度)の温度で、たとえば、60秒から120秒程度、実施する。   Next, as shown in FIG. 19, similarly to FIG. 7, using a reticle 67 (or optical mask) and a reduced projection exposure apparatus (see section 3 and FIG. 58), ArF excimer laser light (wavelength 193 nm) is exposed. By forming a real image of the integrated circuit pattern on the main surface of the reticle 67 as light on the multilayer resist film 15 (more precisely, on the upper resist film 15c) (that is, reduced projection exposure), the integrated circuit pattern is formed on the upper layer. Transfer to the resist film 15c. Subsequently, for example, a post-exposure bake process on the upper resist film 15c at a temperature of about 100 to 140 degrees Celsius, for example, at a temperature of about 100 to 140 degrees Celsius, for example, about 30 to 60 seconds, carry out. Subsequently, a development process (for example, about 30 to 60 seconds) is performed using an alkali developer such as an aqueous tetramethylammonium hydroxide solution (for example, a TMAH concentration of about 2.38 wt%), and the upper layer The resist film 15c is patterned. Subsequently, a post development bake process for the upper resist film 15c is performed at a temperature of about 110 degrees Celsius (preferably about 100 to 120 degrees Celsius), for example, for 60 seconds to 120 degrees. Implement for about a second.

次に、図20に示すように、図8と同様に、パターニングされた上層レジスト膜15cをマスクとして、中間層レジスト膜15bに対するドライエッチング処理(ガス雰囲気としては、たとえば、フルオロカーボン系エッチングガスを含む雰囲気を例示することができる)を実行することによって、上層レジスト膜15cのパターンを中間層レジスト膜15bに転写する。   Next, as shown in FIG. 20, similarly to FIG. 8, using the patterned upper resist film 15c as a mask, the intermediate resist film 15b is subjected to a dry etching process (the gas atmosphere includes, for example, a fluorocarbon-based etching gas). The pattern of the upper resist film 15c is transferred to the intermediate resist film 15b.

次に、図21(正常な場合、または、正常な部分)に示すように、図9と同様に、酸素プラズマ処理(アッシング処理)等により、中間層レジスト膜15bをマスクとして、下層レジスト膜15aに対するドライエッチング処理を実行することによって、中間層レジスト膜15bのパターンを下層レジスト膜15aに転写する。この下層レジスト膜15aのドライエッチング処理の際に、残存する上層レジスト膜15cも、通常、自然に除去されるので、特別な除去処理を必要としない。なお、それでも残存するときは、たとえば、硫酸と過酸化水素水の混合薬液等によるウエット処理を併用すればよい。   Next, as shown in FIG. 21 (normal case or normal portion), the lower resist film 15a is masked by using the intermediate resist film 15b as a mask by oxygen plasma treatment (ashing treatment) or the like, as in FIG. By performing a dry etching process on the substrate, the pattern of the intermediate layer resist film 15b is transferred to the lower layer resist film 15a. When the lower resist film 15a is dry-etched, the remaining upper resist film 15c is usually removed naturally, so that no special removal process is required. If it still remains, for example, a wet treatment with a mixed chemical solution of sulfuric acid and hydrogen peroxide may be used in combination.

次に、図24に示すように、図12と同様に、中間層レジスト膜15b(炭素及びシリコンを主要な成分として含む第2の膜)および下層レジスト膜15a(第1の膜)がある状態で、第2層層間絶縁膜14(被加工膜)の異方性ドライエッチング(ガス雰囲気としては、たとえば、フルオロカーボン系エッチングガスを含む雰囲気を例示することができる)を開始することによって、被加工膜14を加工し、所定の時間でエッチングをストップさせる。エッチング条件としては、たとえば、ガス雰囲気:CHF/O、処理気圧:200から400パスカル程度、高周波電力:1500ワット(たとえば13.56MHz)、プラズマ炉形式:たとえば、ECR型プラズマ炉を例示することができる。この被加工膜14のドライエッチング処理の際に、残存する中間層レジスト膜15bも通常、自然に除去されるので、特別な除去処理を必要としない。これで、トレンチ16が形成されたこととなる。 Next, as shown in FIG. 24, as in FIG. 12, there is an intermediate resist film 15b (second film containing carbon and silicon as main components) and a lower resist film 15a (first film). Then, anisotropic dry etching of the second layer interlayer insulating film 14 (film to be processed) (as the gas atmosphere, for example, an atmosphere containing a fluorocarbon-based etching gas can be exemplified) is started. The film 14 is processed and etching is stopped at a predetermined time. Etching conditions include, for example, gas atmosphere: CHF 3 / O 2 , processing pressure: 200 to 400 Pascal, high frequency power: 1500 watts (for example, 13.56 MHz), plasma furnace type: for example, ECR type plasma furnace be able to. In the dry etching process of the film 14 to be processed, the remaining intermediate layer resist film 15b is also usually removed naturally, so that no special removal process is required. Thus, the trench 16 is formed.

次に、図25に示すように、図13と同様に、不要となった下層レジスト膜15aおよびトレンチフィル材19を酸素プラズマ処理(アッシング処理)等により、除去する。続いて、ウエット処理により、側壁ポリマー等を除去する。   Next, as shown in FIG. 25, the unnecessary lower resist film 15a and trench fill material 19 are removed by oxygen plasma treatment (ashing treatment) or the like, as in FIG. Subsequently, the sidewall polymer and the like are removed by wet treatment.

次に、図26に示すように、ウエハ1の表側主面1aに対して、たとえば、NF3等の窒化シリコン系絶縁膜に対するエッチングガスを用いて、ドライエッチングを実行することにより、ビア17の底の拡散バリア絶縁膜13を除去する。   Next, as shown in FIG. 26, the bottom surface of the via 17 is formed by performing dry etching on the front main surface 1a of the wafer 1 using an etching gas for a silicon nitride insulating film such as NF3. The diffusion barrier insulating film 13 is removed.

次に、図27に示すように、ウエハ1の表側主面1a側のほぼ全面に、反応性スパッタリング成膜により、たとえば、TaN膜(たとえば、厚さ10nm程度)等の拡散バリアメタル膜M2b(第2層銅系埋め込み配線のバリアメタル層)を、第2層層間絶縁膜14上面、トレンチ16およびビア17内面を覆うように、成膜する。続いて、銅シード膜(銅膜)を、TaN膜M2bのほぼ全面に、スパッタリング成膜等により、たとえば、厚さ50nm程度、成膜する。続いて、電気メッキ(Electroplating)により、たとえば、600nm程度の銅メッキ膜を形成することによって、第2層層間絶縁膜14上を覆い、トレンチ16およびビア17内を埋め込む。この銅シード膜、銅メッキ膜等で第2層銅系埋め込み配線M2(銅配線層)を構成する。その後、必要に応じて、たとえば、摂氏400度以下程度の比較的低温で、メタルアニール処理を実行する。   Next, as shown in FIG. 27, a diffusion barrier metal film M2b (for example, a TaN film (for example, about 10 nm in thickness) or the like is formed on almost the entire surface on the front main surface 1a side of the wafer 1 by reactive sputtering. A barrier metal layer of the second layer copper-based embedded wiring is formed so as to cover the upper surface of the second layer interlayer insulating film 14, the inner surface of the trench 16 and the via 17. Subsequently, a copper seed film (copper film) is formed on almost the entire surface of the TaN film M2b by sputtering or the like, for example, with a thickness of about 50 nm. Subsequently, for example, a copper plating film having a thickness of about 600 nm is formed by electroplating to cover the second interlayer insulating film 14 and fill the trench 16 and the via 17. The copper seed film, copper plating film, etc. constitute the second layer copper embedded wiring M2 (copper wiring layer). Thereafter, as necessary, for example, a metal annealing process is performed at a relatively low temperature of about 400 degrees Celsius or less.

次に、図28に示すように、メタルCMP(Chemical Mechanical Polishing)により、トレンチ16およびビア17外の銅配線層M2およびTaN膜M2bを除去する。これにより、第2層銅系埋め込み配線M2の埋め込みが完了する。   Next, as shown in FIG. 28, the copper wiring layer M2 and the TaN film M2b outside the trench 16 and the via 17 are removed by metal CMP (Chemical Mechanical Polishing). Thereby, the embedding of the second layer copper-based embedded wiring M2 is completed.

ここで、図19(正常な場合または正常部分)に対応するステップにおいて、不良が発生した場合又は、同一ウエハの不良部分の説明を行う。この場合、図19(正常な場合または正常部分)に対応するデバイス断面は、図22のような様相となっている。すなわち、たとえば、上層レジスト膜15cにパターン欠陥18が存在する。従って、この場合は、当該ウエハ1に対して再生処理101を施す必要がある。この再生処理101は、パターン検査によって、再生が必要と判断されるところから始まり、再生が必要と判断されウエハ1に対しては、上層レジスト膜15cをシンナー剥離やアッシング等で除去した後に、図23に示すように、その表側主面1aのほぼ全面に対して、セクション2(図2)に説明したように、オゾン処理が実行される。オゾン処理の後、図7で説明したようなアルカリ現像液等のレジスト剥離液等を用いて、残存する中間層レジスト膜15bの除去が行われる。更に、通常の酸素プラズマ処理(アッシング処理)等により、残存する下層レジスト膜15aが除去された後、再び、図14に戻って、ギャップフィル剤の塗布(図14)から図21までの処理を繰り返す。すなわち、図22、図23、および、再度行われた図14から図21は、再生工程101(Rework Process)を構成する。なお、オゾン処理から下層レジスト膜の除去に至る中間層レジスト膜/下層レジスト膜除去工程(オゾン処理&残存レジスト除去工程)は、セクション2に詳しく説明されている。   Here, in the step corresponding to FIG. 19 (normal case or normal portion), a case where a defect occurs or a defective portion of the same wafer will be described. In this case, the device cross section corresponding to FIG. 19 (normal case or normal portion) has an aspect as shown in FIG. That is, for example, the pattern defect 18 exists in the upper resist film 15c. Therefore, in this case, it is necessary to perform the regeneration process 101 on the wafer 1. The regeneration processing 101 starts from the point where it is determined that regeneration is necessary by pattern inspection. After the regeneration is determined to be necessary, the upper resist film 15c is removed from the wafer 1 by thinner stripping, ashing, or the like. As shown in FIG. 23, as described in the section 2 (FIG. 2), the ozone treatment is performed on almost the entire front main surface 1a. After the ozone treatment, the remaining intermediate layer resist film 15b is removed using a resist stripping solution such as an alkali developer as described in FIG. Further, after the remaining lower resist film 15a is removed by a normal oxygen plasma process (ashing process) or the like, the process returns from FIG. 14 again to apply the gap fill agent (FIG. 14) to FIG. repeat. That is, FIG. 22, FIG. 23 and FIG. 14 to FIG. 21 performed again constitute a reproduction process 101 (Rework Process). The intermediate layer resist film / lower layer resist film removal step (ozone treatment & residual resist removal step) from the ozone treatment to the removal of the lower layer resist film is described in detail in Section 2.

5.本願の実施の形態2(トレンチファーストプロセス)の半導体集積回路装置の製造方法におけるウエハプロセスの要部の説明(主に図29から図52)
図29は本願の実施の形態2(トレンチファーストプロセス)の半導体集積回路装置の製造方法におけるウエハプロセスの要部を示すデバイス断面図(トレンチファーストプロセスにおける第2層層間絶縁膜形成完了時点)である。図30は本願の実施の形態2(トレンチファーストプロセス)の半導体集積回路装置の製造方法におけるウエハプロセスの要部を示すデバイス断面図(トレンチ形成用下層レジスト膜塗布工程)である。図31は本願の実施の形態2(トレンチファーストプロセス)の半導体集積回路装置の製造方法におけるウエハプロセスの要部を示すデバイス断面図(トレンチ形成用中間層レジスト膜塗布工程)である。図32は本願の実施の形態2(トレンチファーストプロセス)の半導体集積回路装置の製造方法におけるウエハプロセスの要部を示すデバイス断面図(トレンチ形成用上層レジスト膜塗布工程)である。図33は本願の実施の形態2(トレンチファーストプロセス)の半導体集積回路装置の製造方法におけるウエハプロセスの要部を示すデバイス断面図(トレンチ形成用上層レジスト膜現像工程)である。図34は本願の実施の形態2(トレンチファーストプロセス)の半導体集積回路装置の製造方法におけるウエハプロセスの要部を示すデバイス断面図(トレンチ形成用中間層レジスト膜パターニング工程)である。図35は本願の実施の形態2(トレンチファーストプロセス)の半導体集積回路装置の製造方法におけるウエハプロセスの要部を示すデバイス断面図(正常箇所のトレンチ形成用下層レジスト膜パターニング完了時点)である。図36は本願の実施の形態2(トレンチファーストプロセス)の半導体集積回路装置の製造方法におけるウエハプロセスの要部を示すデバイス断面図(不良箇所のトレンチ形成用下層レジスト膜パターニング完了時点)である。図37は本願の実施の形態2(トレンチファーストプロセス)の半導体集積回路装置の製造方法におけるウエハプロセスの要部を示すデバイス断面図(トレンチ形成用中間層レジスト膜に対するオゾン処理工程)である。図38は本願の実施の形態2(トレンチファーストプロセス)の半導体集積回路装置の製造方法におけるウエハプロセスの要部を示すデバイス断面図(トレンチ開口工程)である。図39は本願の実施の形態2(トレンチファーストプロセス)の半導体集積回路装置の製造方法におけるウエハプロセスの要部を示すデバイス断面図(トレンチ形成用下層レジスト膜除去工程)である。図40は本願の実施の形態2(トレンチファーストプロセス)の半導体集積回路装置の製造方法におけるウエハプロセスの要部を示すデバイス断面図(ビア形成用下層レジスト膜塗布工程)である。図41は本願の実施の形態2(トレンチファーストプロセス)の半導体集積回路装置の製造方法におけるウエハプロセスの要部を示すデバイス断面図(ビア形成用中間層レジスト膜塗布工程)である。図42は本願の実施の形態2(トレンチファーストプロセス)の半導体集積回路装置の製造方法におけるウエハプロセスの要部を示すデバイス断面図(ビア形成用上層レジスト膜塗布工程)である。図43は本願の実施の形態2(トレンチファーストプロセス)の半導体集積回路装置の製造方法におけるウエハプロセスの要部を示すデバイス断面図(ビア形成用上層レジスト膜現像工程)である。図44は本願の実施の形態2(トレンチファーストプロセス)の半導体集積回路装置の製造方法におけるウエハプロセスの要部を示すデバイス断面図(ビア形成用中間層レジスト膜パターニング工程)である。図45は本願の実施の形態2(トレンチファーストプロセス)の半導体集積回路装置の製造方法におけるウエハプロセスの要部を示すデバイス断面図(正常箇所ビア形成用下層レジスト膜パターニング完了時点)である。図46は本願の実施の形態2(トレンチファーストプロセス)の半導体集積回路装置の製造方法におけるウエハプロセスの要部を示すデバイス断面図(不良箇所ビア形成用下層レジスト膜パターニング完了時点)である。図47は本願の実施の形態2(トレンチファーストプロセス)の半導体集積回路装置の製造方法におけるウエハプロセスの要部を示すデバイス断面図(ビア形成用中間層レジスト膜に対するオゾン処理工程)である。図48は本願の実施の形態2(トレンチファーストプロセス)の半導体集積回路装置の製造方法におけるウエハプロセスの要部を示すデバイス断面図(ビア開口工程)である。図49は本願の実施の形態2(トレンチファーストプロセス)の半導体集積回路装置の製造方法におけるウエハプロセスの要部を示すデバイス断面図(正常箇所ビア形成用下層レジスト膜除去工程)である。図50は本願の実施の形態2(トレンチファーストプロセス)の半導体集積回路装置の製造方法におけるウエハプロセスの要部を示すデバイス断面図(ビア底エッチストップ膜除去工程)である。図51は本願の実施の形態2(トレンチファーストプロセス)の半導体集積回路装置の製造方法におけるウエハプロセスの要部を示すデバイス断面図(配線金属埋め込み工程)である。図52本願の実施の形態2(トレンチファーストプロセス)の半導体集積回路装置の製造方法におけるウエハプロセスの要部を示すデバイス断面図(メタルCMP工程)である。これらに基づいて、本願の実施の形態2(トレンチファーストプロセス)の半導体集積回路装置の製造方法におけるウエハプロセスの要部を説明する。
5). Description of Main Part of Wafer Process in Manufacturing Method of Semiconductor Integrated Circuit Device of Second Embodiment (Trench First Process) of the Application (Mainly FIGS. 29 to 52)
FIG. 29 is a device cross-sectional view (at the time of completion of the formation of the second interlayer insulating film in the trench first process) showing the main part of the wafer process in the manufacturing method of the semiconductor integrated circuit device according to the second embodiment (trench first process) of the present application. . FIG. 30 is a device cross-sectional view (trench forming lower layer resist film coating step) showing the main part of the wafer process in the method of manufacturing a semiconductor integrated circuit device according to the second embodiment (trench first process) of the present application. FIG. 31 is a device cross-sectional view (trench forming intermediate layer resist film coating step) showing the main part of the wafer process in the method of manufacturing a semiconductor integrated circuit device according to the second embodiment (trench first process) of the present application. FIG. 32 is a device cross-sectional view (trench forming upper resist film coating step) showing the main part of the wafer process in the method of manufacturing a semiconductor integrated circuit device according to the second embodiment (trench first process) of the present application. FIG. 33 is a device cross-sectional view (trench forming upper resist film developing step) showing the main part of the wafer process in the method of manufacturing a semiconductor integrated circuit device according to the second embodiment (trench first process) of the present application. FIG. 34 is a device cross-sectional view (trench forming intermediate layer resist film patterning step) showing the principal part of the wafer process in the method for manufacturing a semiconductor integrated circuit device of the second embodiment (trench first process) of the present application. FIG. 35 is a device cross-sectional view (at the time of completion of patterning of the lower-layer resist film for forming a trench at a normal location) showing the main part of the wafer process in the method for manufacturing a semiconductor integrated circuit device according to the second embodiment (trench first process) of the present application. FIG. 36 is a device cross-sectional view (at the time of completion of patterning of the lower-layer resist film for forming a trench in a defective portion) showing the main part of the wafer process in the method for manufacturing a semiconductor integrated circuit device according to the second embodiment (trench first process) of the present application. FIG. 37 is a device sectional view showing an essential part of the wafer process in the method for manufacturing a semiconductor integrated circuit device according to the second embodiment (trench first process) of the present application (ozone treatment process for the trench forming intermediate layer resist film). FIG. 38 is a device cross-sectional view (trench opening process) showing the main part of the wafer process in the method of manufacturing a semiconductor integrated circuit device according to the second embodiment (trench first process) of the present application. FIG. 39 is a device cross-sectional view (trench forming lower layer resist film removing step) showing the main part of the wafer process in the method of manufacturing a semiconductor integrated circuit device according to the second embodiment (trench first process) of the present application. FIG. 40 is a device cross-sectional view (via forming lower layer resist film coating step) showing the main part of the wafer process in the method of manufacturing a semiconductor integrated circuit device according to the second embodiment (trench first process) of the present application. FIG. 41 is a device cross-sectional view (via forming intermediate layer resist film coating step) showing the main part of the wafer process in the method of manufacturing a semiconductor integrated circuit device according to the second embodiment (trench first process) of the present application. FIG. 42 is a device cross-sectional view (via forming upper resist film coating step) showing the main part of the wafer process in the manufacturing method of the semiconductor integrated circuit device according to the second embodiment (trench first process) of the present application. FIG. 43 is a device cross-sectional view (via formation upper resist film developing step) showing the main part of the wafer process in the method of manufacturing a semiconductor integrated circuit device according to the second embodiment (trench first process) of the present application. FIG. 44 is a device cross-sectional view (via forming intermediate layer resist film patterning step) showing the main part of the wafer process in the method of manufacturing a semiconductor integrated circuit device according to the second embodiment (trench first process) of the present application. FIG. 45 is a device cross-sectional view (at the time of completion of patterning of the lower layer resist film for forming a normal via) showing the main part of the wafer process in the manufacturing method of the semiconductor integrated circuit device according to the second embodiment (trench first process) of the present application. FIG. 46 is a device cross-sectional view (at the time of completion of patterning of a defective resist layer for forming a via for forming a defective portion) showing the main part of the wafer process in the method for manufacturing a semiconductor integrated circuit device according to the second embodiment (trench first process) of the present application. FIG. 47 is a device cross-sectional view (ozone treatment process for via forming intermediate layer resist film) showing the main part of the wafer process in the method of manufacturing a semiconductor integrated circuit device according to the second embodiment (trench first process) of the present application. FIG. 48 is a device sectional view (via opening step) showing a principal part of the wafer process in the method of manufacturing a semiconductor integrated circuit device according to the second embodiment (trench first process) of the present application. FIG. 49 is a device sectional view showing a main part of the wafer process in the method for manufacturing a semiconductor integrated circuit device according to the second embodiment (trench first process) of the present application (step of removing a normal resist layer for forming a via at a normal location). FIG. 50 is a device cross-sectional view (via bottom etch stop film removal step) showing the principal part of the wafer process in the method of manufacturing a semiconductor integrated circuit device according to the second embodiment (trench first process) of the present application. FIG. 51 is a device sectional view (wiring metal embedding step) showing a principal part of the wafer process in the method of manufacturing a semiconductor integrated circuit device according to the second embodiment (trench first process) of the present application. 52 is a device cross-sectional view (metal CMP process) showing the principal part of the wafer process in the method for manufacturing a semiconductor integrated circuit device according to the second embodiment (trench first process) of the present application. FIG. Based on these, the main part of the wafer process in the manufacturing method of the semiconductor integrated circuit device according to the second embodiment (trench first process) of the present application will be described.

図29に示すように、第1層層間絶縁膜12内に第1層銅系埋め込み配線M1を形成した後、たとえば、ウエハ1の表側主面1a側のほぼ全面に、プラズマCVD等により、エッチストップ絶縁膜13(拡散バリア絶縁膜)として、たとえば、SiCN膜(たとえば厚さ50nm程度)を成膜する。続いて、SiCN膜13上のウエハ1の表側主面1a側のほぼ全面に、プラズマCVD等により、第2層層間絶縁膜14として、ELK膜、たとえば、ポーラスSiOC等(たとえば厚さ180nm程度)を成膜する。   As shown in FIG. 29, after the formation of the first layer copper embedded wiring M1 in the first layer interlayer insulating film 12, for example, the entire surface of the front side main surface 1a of the wafer 1 is etched by plasma CVD or the like. As the stop insulating film 13 (diffusion barrier insulating film), for example, a SiCN film (for example, about 50 nm thick) is formed. Subsequently, an ELK film, for example, porous SiOC (for example, about 180 nm in thickness) is formed on the entire surface of the wafer 1 on the SiCN film 13 as a second interlayer insulating film 14 by plasma CVD or the like by plasma CVD or the like. Is deposited.

次に、図30に示すように、第2層層間絶縁膜14上のウエハ1の表側主面1a側のほぼ全面に、炭素を主要な成分として含む第1のレジスト膜15a(下層レジスト膜)を、たとえば、塗布等により形成する(厚さは、たとえば、200nm程度、好適な範囲としては、100から300nm程度)。その後、たとえば、摂氏100度から300度程度の温度で、たとえば、60秒から120秒程度、下層レジスト膜15aに対するベーク処理を実施する。   Next, as shown in FIG. 30, a first resist film 15a (lower resist film) containing carbon as a main component on almost the entire surface on the front-side main surface 1a side of the wafer 1 on the second-layer interlayer insulating film 14. Is formed, for example, by coating or the like (thickness is, for example, about 200 nm, and a preferred range is about 100 to 300 nm). Thereafter, for example, a baking process is performed on the lower resist film 15a at a temperature of about 100 to 300 degrees Celsius for about 60 to 120 seconds, for example.

続いて、図31に示すように、下層レジスト膜15a上のウエハ1の表側主面1a側のほぼ全面に、炭素及びシリコンを主要な成分として含む第2のレジスト膜15b(中間層レジスト膜)をたとえば、塗布等(CVDその他の方法でも良い)により形成する(厚さは、たとえば、60nm程度、好適な範囲としては、10から100nm程度)。その後、たとえば、摂氏120度から350度程度の温度で、たとえば、30秒から120秒程度、中間層レジスト膜15bに対するベーク処理を実施する。   Subsequently, as shown in FIG. 31, a second resist film 15b (intermediate layer resist film) containing carbon and silicon as main components on almost the entire surface on the front-side main surface 1a side of the wafer 1 on the lower resist film 15a. Is formed by coating or the like (CVD or other methods may be used) (thickness is, for example, about 60 nm, and a preferred range is about 10 to 100 nm). Thereafter, for example, a baking process is performed on the intermediate layer resist film 15b at a temperature of about 120 to 350 degrees Celsius, for example, for about 30 to 120 seconds.

次に、図32に示すように、中間層レジスト膜15b上のウエハ1の表側主面1a側のほぼ全面に、感光性有機レジスト膜15c(上層レジスト膜)、たとえば、化学増幅型ArF用ポジ型レジスト(必要に応じてネガ型でもよい)を塗布する(厚さは、たとえば、120nm程度、好適な範囲としては、50から180nm程度)。その後、たとえば、摂氏80度から120度程度の温度で、たとえば、60秒から120秒程度、上層レジスト膜15cに対するポストアプライベーク処理を実施する。これらの下層レジスト膜15a、中間層レジスト膜15b、上層レジスト膜15c等で多層レジスト膜15を構成する。   Next, as shown in FIG. 32, a photosensitive organic resist film 15c (upper resist film), for example, a positive electrode for chemically amplified ArF, is formed on almost the entire surface of the front surface 1a of the wafer 1 on the intermediate resist film 15b. A type resist (which may be a negative type if necessary) is applied (thickness is, for example, about 120 nm, and a preferable range is about 50 to 180 nm). Thereafter, a post-apply bake process is performed on the upper resist film 15c, for example, at a temperature of about 80 to 120 degrees Celsius, for example, for about 60 to 120 seconds. The lower layer resist film 15a, the intermediate layer resist film 15b, the upper layer resist film 15c and the like constitute the multilayer resist film 15.

次に、図33に示すように、レチクル67(または光学マスク)および縮小投影露光装置(セクション3及び図58参照)を用いて、ArFエキシマレーザ光(波長193nm)を露光光として、レチクル67の主面上の集積回路パターンの実像を多層レジスト膜15上(正確には上層レジスト膜15c上)に結像(すなわち、縮小投影露光)させることにより、集積回路パターンを上層レジスト膜15cに転写する。続いて、たとえば、摂氏100度から140度程度の温度で、上層レジスト膜15cに対するポストエクスポージャベーク処理を、たとえば、摂氏100度から140度程度の温度で、たとえば、30秒から60秒程度、実施する。続いて、たとえば、テトラメチルアンモニウムハイドロオキサイド水溶液(たとえば、TMAH濃度2.38重量%程度)等のアルカリ現像液を用いて、現像処理(たとえば、30秒から60秒程度)を実行して、上層レジスト膜15cのパターニングを行う。続いて、上層レジスト膜15cに対するポストディベロップメントベーク処理を、たとえば、摂氏110度程度(好適な範囲としては摂氏100度から120度程度)の温度で、たとえば、60秒から120秒程度、実施する。   Next, as shown in FIG. 33, using a reticle 67 (or an optical mask) and a reduction projection exposure apparatus (see section 3 and FIG. 58), ArF excimer laser light (wavelength 193 nm) is used as exposure light. By forming a real image of the integrated circuit pattern on the main surface on the multilayer resist film 15 (more precisely, on the upper resist film 15c) (ie, reduced projection exposure), the integrated circuit pattern is transferred to the upper resist film 15c. . Subsequently, for example, a post-exposure bake process on the upper resist film 15c at a temperature of about 100 to 140 degrees Celsius, for example, at a temperature of about 100 to 140 degrees Celsius, for example, about 30 to 60 seconds, carry out. Subsequently, a development process (for example, about 30 to 60 seconds) is performed using an alkali developer such as an aqueous tetramethylammonium hydroxide solution (for example, a TMAH concentration of about 2.38 wt%), and the upper layer The resist film 15c is patterned. Subsequently, a post-development baking process for the upper resist film 15c is performed, for example, at a temperature of about 110 degrees Celsius (preferably about 100 degrees to 120 degrees Celsius), for example, for about 60 seconds to 120 seconds. .

次に、図34に示すように、パターニングされた上層レジスト膜15cをマスクとして、中間層レジスト膜15bに対するドライエッチング処理(ガス雰囲気としては、たとえば、フルオロカーボン系エッチングガスを含む雰囲気を例示することができる)を実行することによって、上層レジスト膜15cのパターンを中間層レジスト膜15bに転写する。   Next, as shown in FIG. 34, with the patterned upper resist film 15c as a mask, the intermediate resist film 15b is dry-etched (as the gas atmosphere, for example, an atmosphere containing a fluorocarbon-based etching gas may be exemplified. The pattern of the upper resist film 15c is transferred to the intermediate resist film 15b.

次に、図35(正常な場合、または、正常な部分)に示すように、酸素プラズマ処理(アッシング処理)等により、中間層レジスト膜15bをマスクとして、下層レジスト膜15aに対するドライエッチング処理を実行することによって、中間層レジスト膜15bのパターンを下層レジスト膜15aに転写する。この下層レジスト膜15aのドライエッチング処理の際に、残存する上層レジスト膜15cも、通常、自然に除去されるので、特別な除去処理を必要としない。なお、それでも残存するときは、たとえば、硫酸と過酸化水素水の混合薬液等によるウエット処理を併用すればよい。   Next, as shown in FIG. 35 (normal case or normal part), dry etching processing is performed on the lower resist film 15a using the intermediate resist film 15b as a mask by oxygen plasma processing (ashing processing) or the like. As a result, the pattern of the intermediate layer resist film 15b is transferred to the lower layer resist film 15a. When the lower resist film 15a is dry-etched, the remaining upper resist film 15c is usually removed naturally, so that no special removal process is required. If it still remains, for example, a wet treatment with a mixed chemical solution of sulfuric acid and hydrogen peroxide may be used in combination.

次に、図38に示すように、中間層レジスト膜15b(第2の膜)および下層レジスト膜15a(第1の膜)がある状態で、第2層層間絶縁膜14(被加工膜)の異方性ドライエッチング(ガス雰囲気としては、たとえば、フルオロカーボン系エッチングガスを含む雰囲気を例示することができる)を開始することによって、被加工膜14を加工し、エッチストップ絶縁膜13でエッチングをストップさせる。エッチング条件としては、たとえば、ガス雰囲気:CHF/O、処理気圧:200から400パスカル程度、高周波電力:1500ワット(たとえば13.56MHz)、プラズマ炉形式:たとえば、ECR型プラズマ炉を例示することができる。この被加工膜14のドライエッチング処理の際に、残存する中間層レジスト膜15bも通常、自然に除去されるので、特別な除去処理を必要としない。これで、トレンチ16が形成されたこととなる。 Next, as shown in FIG. 38, the second layer interlayer insulating film 14 (processed film) is formed with the intermediate layer resist film 15b (second film) and the lower layer resist film 15a (first film). By starting anisotropic dry etching (for example, an atmosphere containing a fluorocarbon-based etching gas can be exemplified as a gas atmosphere), the film to be processed 14 is processed, and etching is stopped by the etch stop insulating film 13 Let Etching conditions include, for example, gas atmosphere: CHF 3 / O 2 , processing pressure: 200 to 400 Pascal, high frequency power: 1500 watts (for example, 13.56 MHz), plasma furnace type: for example, ECR type plasma furnace be able to. In the dry etching process of the film 14 to be processed, the remaining intermediate layer resist film 15b is also usually removed naturally, so that no special removal process is required. Thus, the trench 16 is formed.

次に、図39に示すように、不要となった下層レジスト膜15aを酸素プラズマ処理(アッシング処理)等により、除去する。続いて、ウエット処理により、側壁ポリマー等を除去する。   Next, as shown in FIG. 39, the unnecessary lower resist film 15a is removed by oxygen plasma treatment (ashing treatment) or the like. Subsequently, the sidewall polymer and the like are removed by wet treatment.

ここで、図33(正常な場合または正常部分)に対応するステップにおいて、不良が発生した場合又は、同一ウエハの不良部分の説明を行う。この場合、図33(正常な場合または正常部分)に対応するデバイス断面は、図36のような様相となっている。すなわち、たとえば、上層レジスト膜15cにパターン欠陥18が存在する。従って、この場合は、当該ウエハ1に対して再生処理101を施す必要がある。この再生処理101は、パターン検査によって、再生が必要と判断されるところから始まり、再生が必要と判断されウエハ1に対しては、上層レジスト膜15cをシンナー剥離やアッシング等で除去した後に、図37に示すように、その表側主面1aのほぼ全面に対して、セクション2(図2)に説明したように、オゾン処理が実行される。オゾン処理の後、図7で説明したようなアルカリ現像液等のレジスト剥離液等を用いて、残存する中間層レジスト膜15bの除去が行われる。更に、通常の酸素プラズマ処理(アッシング処理)等により、残存する下層レジスト膜15aが除去された後、再び、図30に戻って、多層レジストの塗布(図30)から図35までの処理を繰り返す。すなわち、図36、図37、および、再度行われた図30から図35は、再生工程101(Rework Process)を構成する。なお、オゾン処理から下層レジスト膜の除去に至る中間層レジスト膜/下層レジスト膜除去工程(オゾン処理&残存レジスト除去工程)は、セクション2に詳しく説明されている。   Here, in the step corresponding to FIG. 33 (normal case or normal portion), a case where a defect occurs or a defective portion of the same wafer will be described. In this case, the device cross section corresponding to FIG. 33 (normal case or normal part) has an aspect as shown in FIG. That is, for example, the pattern defect 18 exists in the upper resist film 15c. Therefore, in this case, it is necessary to perform the regeneration process 101 on the wafer 1. The regeneration processing 101 starts from the point where it is determined that regeneration is necessary by pattern inspection. After the regeneration is determined to be necessary, the upper resist film 15c is removed from the wafer 1 by thinner stripping, ashing, or the like. As shown in 37, the ozone treatment is performed on almost the entire front main surface 1a as described in the section 2 (FIG. 2). After the ozone treatment, the remaining intermediate layer resist film 15b is removed using a resist stripping solution such as an alkali developer as described in FIG. Further, after the remaining lower resist film 15a is removed by a normal oxygen plasma process (ashing process) or the like, the process returns to FIG. 30 again, and the processes from the multilayer resist coating (FIG. 30) to FIG. 35 are repeated. . That is, FIG. 36, FIG. 37, and FIG. 30 to FIG. 35 performed again constitute a reproduction process 101 (Rework Process). The intermediate layer resist film / lower layer resist film removal step (ozone treatment & residual resist removal step) from the ozone treatment to the removal of the lower layer resist film is described in detail in Section 2.

ここで再び、図39から続く、正常プロセスに戻る。図39の次に、図40に示すように、第2層層間絶縁膜14上のウエハ1の表側主面1a側のほぼ全面に、炭素を主要な成分として含む第1のレジスト膜15a(下層レジスト膜)を、たとえば、塗布等により形成する(厚さは、たとえば、300nm程度、好適な範囲としては、200から400nm程度)。その後、たとえば、摂氏100度から300度程度の温度で、たとえば、60秒から120秒程度、下層レジスト膜15aに対するベーク処理を実施する。   Here again, the normal process continues from FIG. Next to FIG. 39, as shown in FIG. 40, a first resist film 15a (lower layer) containing carbon as a main component is formed on almost the entire surface on the front-side main surface 1a side of the wafer 1 on the second-layer interlayer insulating film. (Resist film) is formed, for example, by coating or the like (thickness is, for example, about 300 nm, and a preferable range is about 200 to 400 nm). Thereafter, for example, a baking process is performed on the lower resist film 15a at a temperature of about 100 to 300 degrees Celsius for about 60 to 120 seconds, for example.

次に、図41に示すように、下層レジスト膜15a上のウエハ1の表側主面1a側のほぼ全面に、炭素及びシリコンを主要な成分として含む第2のレジスト膜15b(中間層レジスト膜)をたとえば、塗布等(CVDその他の方法でも良い)により形成する(厚さは、たとえば、60nm程度、好適な範囲としては、10から100nm程度)。その後、たとえば、摂氏120度から350度程度の温度で、たとえば、30秒から120秒程度、中間層レジスト膜15bに対するベーク処理を実施する。   Next, as shown in FIG. 41, a second resist film 15b (intermediate layer resist film) containing carbon and silicon as main components on almost the entire surface on the front-side main surface 1a side of the wafer 1 on the lower resist film 15a. Is formed by coating or the like (CVD or other methods may be used) (thickness is, for example, about 60 nm, and a preferred range is about 10 to 100 nm). Thereafter, for example, a baking process is performed on the intermediate layer resist film 15b at a temperature of about 120 to 350 degrees Celsius, for example, for about 30 to 120 seconds.

次に、図42に示すように、中間層レジスト膜15b上のウエハ1の表側主面1a側のほぼ全面に、感光性有機レジスト膜15c(上層レジスト膜)、たとえば、化学増幅型ArF用ポジ型レジスト(必要に応じてネガ型でもよい)を塗布する(厚さは、たとえば、120nm程度、好適な範囲としては、50から180nm程度)。その後、たとえば、摂氏80度から120度程度の温度で、たとえば、60秒から120秒程度、上層レジスト膜15cに対するポストアプライベーク処理を実施する。これらの下層レジスト膜15a、中間層レジスト膜15b、上層レジスト膜15c等で多層レジスト膜15を構成する。   Next, as shown in FIG. 42, a photosensitive organic resist film 15c (upper layer resist film), for example, a positive electrode for chemically amplified ArF, is formed on almost the entire surface of the wafer 1 on the front main surface 1a side on the intermediate layer resist film 15b. A type resist (which may be a negative type if necessary) is applied (thickness is, for example, about 120 nm, and a preferable range is about 50 to 180 nm). Thereafter, a post-apply bake process is performed on the upper resist film 15c, for example, at a temperature of about 80 to 120 degrees Celsius, for example, for about 60 to 120 seconds. The lower layer resist film 15a, the intermediate layer resist film 15b, the upper layer resist film 15c and the like constitute the multilayer resist film 15.

次に、図43に示すように、レチクル67(または光学マスク)および縮小投影露光装置(セクション3及び図58参照)を用いて、ArFエキシマレーザ光(波長193nm)を露光光として、レチクル67の主面上の集積回路パターンの実像を多層レジスト膜15上(正確には上層レジスト膜15c上)に結像(すなわち、縮小投影露光)させることにより、集積回路パターンを上層レジスト膜15cに転写する。続いて、たとえば、摂氏100度から140度程度の温度で、上層レジスト膜15cに対するポストエクスポージャベーク処理を、たとえば、摂氏100度から140度程度の温度で、たとえば、30秒から60秒程度、実施する。続いて、たとえば、テトラメチルアンモニウムハイドロオキサイド水溶液(たとえば、TMAH濃度2.38重量%程度)等のアルカリ現像液を用いて、現像処理(たとえば、30秒から60秒程度)を実行して、上層レジスト膜15cのパターニングを行う。続いて、上層レジスト膜15cに対するポストディベロップメントベーク処理を、たとえば、摂氏110度程度(好適な範囲としては摂氏100度から120度程度)の温度で、たとえば、60秒から120秒程度、実施する。   Next, as shown in FIG. 43, using a reticle 67 (or optical mask) and a reduced projection exposure apparatus (see section 3 and FIG. 58), ArF excimer laser light (wavelength 193 nm) is used as exposure light, and the reticle 67 By forming a real image of the integrated circuit pattern on the main surface on the multilayer resist film 15 (more precisely, on the upper resist film 15c) (ie, reduced projection exposure), the integrated circuit pattern is transferred to the upper resist film 15c. . Subsequently, for example, a post-exposure bake process on the upper resist film 15c at a temperature of about 100 to 140 degrees Celsius, for example, at a temperature of about 100 to 140 degrees Celsius, for example, about 30 to 60 seconds, carry out. Subsequently, a development process (for example, about 30 to 60 seconds) is performed using an alkali developer such as an aqueous tetramethylammonium hydroxide solution (for example, a TMAH concentration of about 2.38 wt%), and the upper layer The resist film 15c is patterned. Subsequently, the post-development baking process for the upper resist film 15c is performed at a temperature of about 110 degrees Celsius (preferably about 100 to 120 degrees Celsius), for example, for about 60 seconds to 120 seconds. .

次に、図44に示すように、パターニングされた上層レジスト膜15cをマスクとして、中間層レジスト膜15bに対するドライエッチング処理(ガス雰囲気としては、たとえば、フルオロカーボン系エッチングガスを含む雰囲気を例示することができる)を実行することによって、上層レジスト膜15cのパターンを中間層レジスト膜15bに転写する。   Next, as shown in FIG. 44, using the patterned upper resist film 15c as a mask, the intermediate resist film 15b is dry-etched (as the gas atmosphere, for example, an atmosphere containing a fluorocarbon-based etching gas may be exemplified. The pattern of the upper resist film 15c is transferred to the intermediate resist film 15b.

次に、図45(正常な場合、または、正常な部分)に示すように、酸素プラズマ処理(アッシング処理)等により、中間層レジスト膜15bをマスクとして、下層レジスト膜15aに対するドライエッチング処理を実行することによって、中間層レジスト膜15bのパターンを下層レジスト膜15aに転写する。この下層レジスト膜15aのドライエッチング処理の際に、残存する上層レジスト膜15cも、通常、自然に除去されるので、特別な除去処理を必要としない。なお、それでも残存するときは、たとえば、硫酸と過酸化水素水の混合薬液等によるウエット処理を併用すればよい。   Next, as shown in FIG. 45 (normal case or normal part), dry etching processing is performed on the lower resist film 15a using the intermediate resist film 15b as a mask by oxygen plasma processing (ashing processing) or the like. As a result, the pattern of the intermediate layer resist film 15b is transferred to the lower layer resist film 15a. When the lower resist film 15a is dry-etched, the remaining upper resist film 15c is usually removed naturally, so that no special removal process is required. If it still remains, for example, a wet treatment with a mixed chemical solution of sulfuric acid and hydrogen peroxide may be used in combination.

次に、図48に示すように、中間層レジスト膜15b(第2の膜)および下層レジスト膜15a(第1の膜)がある状態で、第2層層間絶縁膜14(被加工膜)の異方性ドライエッチング(ガス雰囲気としては、たとえば、フルオロカーボン系エッチングガスを含む雰囲気を例示することができる)を開始することによって、被加工膜14を加工し、エッチストップ絶縁膜13(拡散バリア絶縁膜)でエッチングをストップさせる。エッチング条件としては、たとえば、ガス雰囲気:CHF/O、処理気圧:200から400パスカル程度、高周波電力:1500ワット(たとえば13.56MHz)、プラズマ炉形式:たとえば、ECR型プラズマ炉を例示することができる。この被加工膜14のドライエッチング処理の際に、残存する中間層レジスト膜15bも通常、自然に除去されるので、特別な除去処理を必要としない。これで、ビア17が形成されたこととなる。 Next, as shown in FIG. 48, in the state where the intermediate layer resist film 15b (second film) and the lower layer resist film 15a (first film) are present, the second layer interlayer insulating film 14 (film to be processed) is formed. By starting anisotropic dry etching (for example, an atmosphere containing a fluorocarbon-based etching gas can be exemplified as the gas atmosphere), the film to be processed 14 is processed, and an etch stop insulating film 13 (diffusion barrier insulating) Etching is stopped at the film). Etching conditions include, for example, gas atmosphere: CHF 3 / O 2 , processing pressure: 200 to 400 Pascal, high frequency power: 1500 watts (for example, 13.56 MHz), plasma furnace type: for example, ECR type plasma furnace be able to. In the dry etching process of the film 14 to be processed, the remaining intermediate layer resist film 15b is also usually removed naturally, so that no special removal process is required. As a result, the via 17 is formed.

次に、図49に示すように、不要となった下層レジスト膜15aを酸素プラズマ処理(アッシング処理)等により、除去する。続いて、ウエット処理により、側壁ポリマー等を除去する。   Next, as shown in FIG. 49, the unnecessary lower resist film 15a is removed by oxygen plasma treatment (ashing treatment) or the like. Subsequently, the sidewall polymer and the like are removed by wet treatment.

次に、図50に示すように、ウエハ1の表側主面1aに対して、たとえば、NF3等の窒化シリコン系絶縁膜に対するエッチングガスを用いて、ドライエッチングを実行することにより、ビア17の底の拡散バリア絶縁膜13を除去する。   Next, as shown in FIG. 50, by performing dry etching on the front main surface 1a of the wafer 1 using an etching gas for a silicon nitride insulating film such as NF3, the bottom of the via 17 is obtained. The diffusion barrier insulating film 13 is removed.

次に、図51に示すように、ウエハ1の表側主面1a側のほぼ全面に、反応性スパッタリング成膜により、たとえば、TaN膜(たとえば、厚さ10nm程度)等の拡散バリアメタル膜M2b(第2層銅系埋め込み配線のバリアメタル層)を、第2層層間絶縁膜14上面、トレンチ16およびビア17内面を覆うように、成膜する。続いて、銅シード膜(銅膜)を、TaN膜M2bのほぼ全面に、スパッタリング成膜等により、たとえば、厚さ50nm程度、成膜する。続いて、電気メッキにより、たとえば、600nm程度の銅メッキ膜を形成することによって、第2層層間絶縁膜14上を覆い、トレンチ16およびビア17内を埋め込む。この銅シード膜、銅メッキ膜等で第2層銅系埋め込み配線M2(銅配線層)を構成する。その後、必要に応じて、たとえば、摂氏400度以下程度の比較的低温で、メタルアニール処理を実行する。   Next, as shown in FIG. 51, a diffusion barrier metal film M2b (for example, a TaN film (for example, a thickness of about 10 nm) or the like is formed on almost the entire surface on the front main surface 1a side of the wafer 1 by reactive sputtering. A barrier metal layer of the second layer copper-based embedded wiring is formed so as to cover the upper surface of the second layer interlayer insulating film 14, the inner surface of the trench 16 and the via 17. Subsequently, a copper seed film (copper film) is formed on almost the entire surface of the TaN film M2b by sputtering or the like, for example, with a thickness of about 50 nm. Subsequently, for example, a copper plating film of about 600 nm is formed by electroplating to cover the second layer interlayer insulating film 14 and fill the trench 16 and the via 17. The copper seed film, copper plating film, etc. constitute the second layer copper embedded wiring M2 (copper wiring layer). Thereafter, as necessary, for example, a metal annealing process is performed at a relatively low temperature of about 400 degrees Celsius or less.

次に、図52に示すように、メタルCMPにより、トレンチ16およびビア17外の銅配線層M2およびTaN膜M2bを除去する。これにより、第2層銅系埋め込み配線M2の埋め込みが完了する。   Next, as shown in FIG. 52, the copper wiring layer M2 and the TaN film M2b outside the trench 16 and the via 17 are removed by metal CMP. Thereby, the embedding of the second layer copper-based embedded wiring M2 is completed.

ここで、図43(正常な場合または正常部分)に対応するステップにおいて、不良が発生した場合又は、同一ウエハの不良部分の説明を行う。この場合、図43(正常な場合または正常部分)に対応するデバイス断面は、図46のような様相となっている。すなわち、たとえば、上層レジスト膜15cにパターン欠陥18が存在する。従って、この場合は、当該ウエハ1に対して再生処理101を施す必要がある。この再生処理101は、パターン検査によって、再生が必要と判断されるところから始まり、再生が必要と判断されウエハ1に対しては、上層レジスト膜15cをシンナー剥離やアッシング等で除去した後に、図47に示すように、その表側主面1aのほぼ全面に対して、セクション2(図2)に説明したように、オゾン処理が実行される。オゾン処理の後、図7で説明したようなアルカリ現像液等のレジスト剥離液等を用いて、残存する中間層レジスト膜15bの除去が行われる。更に、通常の酸素プラズマ処理(アッシング処理)等により、残存する下層レジスト膜15aが除去された後、再び、図40に戻って、多層レジストの塗布(図40)から図45までの処理を繰り返す。すなわち、図46、図47、および、再度行われた図40から図45は、再生工程101(Rework Process)を構成する。なお、オゾン処理から下層レジスト膜の除去に至る中間層レジスト膜/下層レジスト膜除去工程(オゾン処理&残存レジスト除去工程)は、セクション2に詳しく説明されている。   Here, in the step corresponding to FIG. 43 (normal case or normal portion), when a failure occurs or a defective portion of the same wafer is described. In this case, the device cross section corresponding to FIG. 43 (normal case or normal part) has an aspect as shown in FIG. That is, for example, the pattern defect 18 exists in the upper resist film 15c. Therefore, in this case, it is necessary to perform the regeneration process 101 on the wafer 1. The regeneration processing 101 starts from the point where it is determined that regeneration is necessary by pattern inspection. After the regeneration is determined to be necessary, the upper resist film 15c is removed from the wafer 1 by thinner stripping, ashing, or the like. As shown in 47, the ozone treatment is performed on almost the entire front main surface 1a as described in the section 2 (FIG. 2). After the ozone treatment, the remaining intermediate layer resist film 15b is removed using a resist stripping solution such as an alkali developer as described in FIG. Further, after the remaining lower resist film 15a is removed by a normal oxygen plasma process (ashing process) or the like, the process returns to FIG. 40 again, and the processes from the multilayer resist coating (FIG. 40) to FIG. 45 are repeated. . That is, FIG. 46, FIG. 47, and FIG. 40 to FIG. 45 performed again constitute a reproduction process 101 (Rework Process). The intermediate layer resist film / lower layer resist film removal step (ozone treatment & residual resist removal step) from the ozone treatment to the removal of the lower layer resist film is described in detail in Section 2.

6.各実施の形態および全体に関する考察並びに材料等に関する補足的説明(主に図53から図57)
図53は本願の各実施の形態の半導体集積回路装置の製造方法におけるオゾン処理の効果を検証するための実験条件一覧表である。図54は図53の各実験条件におけるオゾン処理後の中間層レジスト膜の静的接触角の変化、オゾン処理後、およびアルカリ現像液処理後の膜減量を示す実測データである。図55は図53の各実験条件におけるオゾン処理後、および溶媒処理後の膜減量の実測データである。図56は図53の各実験条件におけるオゾン処理後、および硫酸過酸化水素混合液処理後の膜減量の実測データである。図57は図53の各実験条件において、オゾン処理とアルカリ現像液処理を繰り返したときの膜減量の実測データである。これらに基づいて、各実施の形態および全体に関する考察並びに補足的説明を行う。
(1)実験データの説明:
ここでは、図11、図23、図37及び図47で説明した中間層レジスト膜15bに対するオゾン処理の効果を検証するための実験データを説明する。まず、図53にオゾン処理の条件をまとめて示す。ここで、条件1は、初期条件、すなわち、未処理サンプルである。プラズマ処理および各ウエット処理の条件は、それらと異なる旨を明示した場合を除き、セクション2と同じである。
6). Consideration about each embodiment and the whole, and supplementary explanation about materials (mainly FIGS. 53 to 57)
FIG. 53 is a list of experimental conditions for verifying the effect of ozone treatment in the method of manufacturing a semiconductor integrated circuit device of each embodiment of the present application. FIG. 54 is actual measurement data showing changes in the static contact angle of the intermediate layer resist film after the ozone treatment, film loss after the ozone treatment, and after the alkali developer treatment in each experimental condition of FIG. FIG. 55 is actual measurement data of film loss after ozone treatment and after solvent treatment in each experimental condition of FIG. FIG. 56 shows measured data of film loss after the ozone treatment and after the sulfuric acid hydrogen peroxide mixed solution treatment in each experimental condition of FIG. FIG. 57 is actual measurement data of film loss when ozone treatment and alkali developer treatment are repeated under each experimental condition of FIG. Based on these, consideration and supplementary explanation regarding each embodiment and the whole will be given.
(1) Explanation of experimental data:
Here, experimental data for verifying the effect of the ozone treatment on the intermediate layer resist film 15b described with reference to FIGS. 11, 23, 37 and 47 will be described. First, FIG. 53 collectively shows the conditions for the ozone treatment. Here, Condition 1 is an initial condition, that is, an unprocessed sample. The conditions for the plasma treatment and each wet treatment are the same as those in Section 2 except when clearly stated that they are different from those.

図54には、オゾン処理前後の静的接触角(処理前は条件1、その他は処理後)が示されており、これからわかるように、オゾン処理の進行とともに、静的接触角が減少しており、表面の親水化が進行していることを示す。更に、条件16および21では、オゾン処理とアルカリ現像液処理(レジスト剥離液)のみで、完全に除去されていることがわかる。ここでは、レジスト剥離液(現像液)として、テトラメチルアンモニウムハイドロオキサイド水溶液(たとえば、TMAH濃度2.38重量%程度)を用いた。   FIG. 54 shows the static contact angle before and after the ozone treatment (condition 1 before the treatment, and after the others). As can be seen, the static contact angle decreases with the progress of the ozone treatment. And indicates that the surface is being hydrophilized. Further, it can be seen that under conditions 16 and 21, it was completely removed only by ozone treatment and alkali developer treatment (resist stripping solution). Here, a tetramethylammonium hydroxide aqueous solution (for example, a TMAH concentration of about 2.38% by weight) was used as a resist stripping solution (developer).

図55には、オゾン処理後の膜べり量(処理前の膜厚から処理後の膜厚を差し引いたもの)および、更に、有機溶媒処理を施した後の膜べり量を示す。条件10,11,14−16、18−21では、オゾン処理と有機溶媒処理のみで、完全に除去されていることがわかる。ここでは、有機溶媒として、プロピレングリコールモノメチルエーテルアセテートとプロピレングリコールモノメチルエーテルの重量比7:3混合溶媒を用いた。   FIG. 55 shows the amount of film bend after ozone treatment (the film thickness before treatment minus the thickness after treatment) and the amount of film bend after organic solvent treatment. In conditions 10, 11, 14-16, and 18-21, it turns out that it is removed completely only by ozone treatment and organic solvent treatment. Here, a 7: 3 mixed solvent of propylene glycol monomethyl ether acetate and propylene glycol monomethyl ether was used as the organic solvent.

図56には、オゾン処理後の膜べり量および、更に、酸化性無機洗浄液処理を施した後の膜べり量を示す。条件10,11,14−16、18−21では、オゾン処理と酸化性無機洗浄液処理のみで、完全に除去されていることがわかる。ここでは、酸化性無機洗浄液として、過酸化水素水と硫酸の混合水溶液を用いた。   FIG. 56 shows the amount of film slip after the ozone treatment and the amount of film slip after the treatment with the oxidizing inorganic cleaning liquid. Under the conditions 10, 11, 14-16, and 18-21, it can be seen that the ozone treatment and the oxidizing inorganic cleaning liquid treatment alone are completely removed. Here, a mixed aqueous solution of hydrogen peroxide and sulfuric acid was used as the oxidizing inorganic cleaning solution.

図57には、図54の処理をした条件1から15、および17から20のウエハに対して、更に、各条件と同じオゾン濃度で、処理時間120秒、処理温度摂氏105度で2度目のオゾン処理を実行し、その後、同一のアルカリ現像液でウエット剥離処理を実行した結果を示す。条件11,15、19及び20では、2度目のオゾン処理と2度目のアルカリ現像液処理によって、完全に除去されていることがわかる。   In FIG. 57, the wafers of conditions 1 to 15 and 17 to 20 processed in FIG. 54 are further processed at the same ozone concentration as each of the conditions, at a processing time of 120 seconds, and at a processing temperature of 105 degrees Celsius. The result of having performed ozone treatment and then performing wet stripping with the same alkaline developer is shown. Under the conditions 11, 15, 19 and 20, it can be seen that the second ozone treatment and the second alkaline developer treatment have completely removed.

このようにオゾン処理等により、シリコン含有レジストが溶剤等に溶けやすくなるのは、ポリマー骨格を構成するシロキサン結合が、オゾンの作用により優先的に切断される結果、ポリマーの特性を決定する平均分子量を持つポリマーの構成要素が徐々にシリコン含有量が少ないものに移行してゆくためと考えられる。この作用は、紫外線照射や加熱処理によって、加速される。
(2)レジスト材料等についての補足的説明
セクション3から5で使用したレジスト等の塗布材料等について説明する。下層レジスト膜15a(たとえば、図4参照)としては、たとえば、市販のSOC(Spin−on Carbon)材料、たとえばノボラック(Novolac)系レジスト材料等(ただし、感光成分は不要である)又は、それらに類似の有機系塗布剤(炭素を主要な成分として含むもの)が好適である。この膜の好適な炭素含有率(重量%)としては、たとえば75%(範囲としては、50%から95%)を例示することができる。炭素含有量が低すぎると、エッチング選択比が十分に取れず、逆に、高すぎると、塗布等が困難となる。なお、この膜は、被加工膜および中間層レジスト膜とのエッチング選択比を確保するために、実質的にシリコンを含まないことが望ましい。
As described above, the ozone treatment or the like makes the silicon-containing resist easily dissolved in a solvent or the like because the siloxane bond constituting the polymer skeleton is preferentially cleaved by the action of ozone, and the average molecular weight that determines the characteristics of the polymer. This is thought to be due to the fact that the constituent elements of the polymer having a gradual shift to one having a low silicon content. This action is accelerated by ultraviolet irradiation or heat treatment.
(2) Supplementary explanation about resist materials, etc. The coating materials such as resists used in sections 3 to 5 will be explained. As the lower resist film 15a (for example, see FIG. 4), for example, a commercially available SOC (Spin-on Carbon) material, for example, a novolac-based resist material or the like (however, a photosensitive component is not necessary) or the like A similar organic coating agent (containing carbon as a main component) is suitable. As a suitable carbon content (% by weight) of this film, for example, 75% (as a range, 50% to 95%) can be exemplified. If the carbon content is too low, the etching selectivity cannot be sufficiently obtained. Conversely, if the carbon content is too high, coating or the like becomes difficult. In addition, it is desirable that this film does not substantially contain silicon in order to ensure an etching selection ratio between the film to be processed and the intermediate layer resist film.

一方、上層レジスト膜15c(たとえば、図6参照)としては、たとえば、市販の化学増幅型ArF用ポジ型またはネガ型レジスト(たとえば、アクリル系の骨格を持つ有機レジスト)等の感光性有機レジストが好適である。この膜は、中間層レジスト膜とのエッチング選択比を確保するために、実質的にシリコンを含まないことが望ましい。   On the other hand, as the upper resist film 15c (for example, refer to FIG. 6), for example, a photosensitive organic resist such as a commercially available positive or negative resist for chemically amplified ArF (for example, an organic resist having an acrylic skeleton) is used. Is preferred. It is desirable that this film does not substantially contain silicon in order to ensure an etching selection ratio with the intermediate layer resist film.

中間層レジスト膜15b(たとえば、図5参照)としては、たとえば、オルガノシリコン(Organosilicon)系レジスト材料等(ただし、感光成分は不要である)又は、それらに類似のシリコン含有有機系塗布剤(炭素及びシリコンを主要な成分として含むもの)が好適である。この膜の好適なシリコン含有率(重量%)としては、たとえば40%(範囲としては、15%から60%)を例示することができる。シリコン含有率が低すぎると、エッチング選択比が十分に取れず、逆に、高すぎると、除去が困難となる。セクション3から5と同様に、材料的には、たとえば、市販の各種の有機SOG(Spin ON Glass)材料、シリコン含有(Si−containing)BARC(Bottom Antireflection Coating)材料等、すなわち、シルセスキオキサン(Silsesquioxane)系のオルガノシリコン系SOGが好適である。中間層レジスト膜15bは、CVD膜とすることもできる。ただし、塗布膜の方が工程は簡単になる。
(3)多層レジスト構造等に関する変形例等の説明
以上の実施の形態では、主にトップコート、TARC(Top Antireflection Coating)、BARC(Bottom Antireflection Coating)等を使用しない3層レジストプロセスを説明したが、シリコン含有感光性レジストを用いて、上層レジストおよび中間層レジストを兼ねさせることにより、2層レジストプロセスとしてもよい。ただし、3層レジストプロセスの方が微細可能性に優れている。また、2層レジストプロセスまたは3層レジストプロセスにおいて、トップコート、TARC(主に有機系TARC)、BARC(主に有機系BARC)等を更に用いてもよい。また、必要に応じて、更に、ギャップフィル剤(セクション3から5と同様に、材料的には、たとえば、ノボラック系の骨格を持ち、架橋剤が添加された市販のBARC材等が好適である)を使用してもよい。ただし、これらの付加的膜はプロセスコストを上昇させる。
As the intermediate layer resist film 15b (for example, see FIG. 5), for example, an organosilicon type resist material or the like (however, no photosensitive component is required) or a similar silicon-containing organic coating agent (carbon). And those containing silicon as a major component) are preferred. As a preferable silicon content (% by weight) of this film, for example, 40% (the range is 15% to 60%) can be exemplified. If the silicon content is too low, the etching selectivity cannot be sufficiently obtained. Conversely, if the silicon content is too high, the removal becomes difficult. Similar to Sections 3 to 5, the materials include, for example, various commercially available organic SOG (Spin ON Glass) materials, silicon-containing (Si-containing) BARC (Bottom Antireflection Coating) materials, etc., that is, silsesquioxanes. (Silsesquioxane) -based organosilicon SOG is preferred. The intermediate layer resist film 15b may be a CVD film. However, the process is simpler for the coating film.
(3) Description of Modifications Related to Multilayer Resist Structure, etc. In the above embodiment, a three-layer resist process that mainly uses top coat, TARC (Top Antireflection Coating), BARC (Bottom Antireflection Coating), etc. has been described. Alternatively, a silicon-containing photosensitive resist may be used as an upper layer resist and an intermediate layer resist to form a two-layer resist process. However, the three-layer resist process has better fineness. In the two-layer resist process or the three-layer resist process, top coat, TARC (mainly organic TARC), BARC (mainly organic BARC), or the like may be further used. Further, if necessary, a gap fill agent (similar to sections 3 to 5 is preferably a material such as a commercially available BARC material having a novolak skeleton and a crosslinking agent added thereto. ) May be used. However, these additional films increase process costs.

7.サマリ
以上本発明者によってなされた発明を実施形態に基づいて具体的に説明したが、本発明はそれに限定されるものではなく、その要旨を逸脱しない範囲において種々変更可能であることは言うまでもない。
7). Summary The invention made by the present inventor has been specifically described based on the embodiments. However, the present invention is not limited thereto, and it goes without saying that various changes can be made without departing from the scope of the invention.

例えば、前記実施の形態では、主に三層レジストを用いた再生プロセスを例にとり具体的に説明したが、本願で説明したシリコンを主要な成分の一つとして含むレジスト膜の除去技術は、再生プロセス以外にも適用できることは言うまでもない。また、三層レジストプロセスに限らず、2層レジストプロセス、4層以上のレジストプロセス等にも同様に適用できることは言うまでもない。   For example, in the above-described embodiment, a specific description has been given of an example of a regeneration process mainly using a three-layer resist. However, the resist film removal technology including silicon as one of the main components described in this application is a regeneration process. Needless to say, it can be applied to other processes. Needless to say, the present invention can be applied not only to a three-layer resist process but also to a two-layer resist process, a four-layer or more resist process, and the like.

また、前記実施の形態では、主にポジ型レジストを用いたプロセスを中心に具体的に説明したが、本願で説明したシリコンを主要な成分の一つとして含むレジスト膜の除去技術は、ネガ型レジストを用いたプロセス等にも同様に適用できることは言うまでもない。   In the above embodiment, the process mainly using a positive resist has been specifically described. However, the resist film removal technique including silicon as one of main components described in the present application is a negative type. Needless to say, the present invention can be similarly applied to a process using a resist.

1 ウエハまたは半導体基板
1a ウエハの表側主面(第1の主面)
1b ウエハの裏側主面
2 半導体チップ(単位チップ領域)
3 STI領域
4 ソースドレイン領域
5 ゲート電極
6 タングステンプラグ
7 MOSFET
8 各配線層の層間絶縁膜
9 各層の埋め込み配線
11 プリメタル絶縁膜
12 第1層層間絶縁膜
13 エッチストップ絶縁膜(拡散バリア絶縁膜)
14 第2層層間絶縁膜
15 多層レジスト膜
15a 下層レジスト膜
15b 中間層レジスト膜
15c 上層レジスト膜
16 トレンチ
17 ビア
18 欠陥
19 トレンチフィル材
21 半導体基板領域
22 プリメタル領域
23 下層配線領域
24 中間層配線領域
25 上層配線領域
26 パッド層配線領域
27 ボンディングパッド
28 ファイナルパッシベーション膜
29 パッド開口
31 ノッチ
32 単位露光領域(ショット領域)
33 ステップパス
34 スキャンパス
35 スリット状露光帯
51 オゾン処理装置
52 オゾン発生部
53 ゲートバルブ
54 ウエハ処理チャンバ
55 ウエハステージ
56 紫外線ランプ
57 排気口
58 オゾンソースガス
59 誘電体バリア放電部
60 ステップアンドスキャン液浸縮小投影露光装置
61 ウエハステージ
62 液浸用液体
63 投影光学系
64 鏡筒
65a,65b,65c,65d,65e 投影レンズ
66 レチクルホルダ
67 レチクル
68 ArFエキシマレーザ光(193nm露光光)
69 レチクル上の集積回路パターン
101 再生プロセス(再生処理)
M1 第1層銅系埋め込み配線
M2 第2層銅系埋め込み配線
M2a 第2層銅系埋め込み配線の銅系配線層
M2b 第2層銅系埋め込み配線のバリアメタル層
M7 第7層銅系埋め込み配線
M8 第8層銅系埋め込み配線
M11 第11層銅系埋め込み配線
M12 第12層銅系埋め込み配線
M13 第13層銅系埋め込み配線
DESCRIPTION OF SYMBOLS 1 Wafer or semiconductor substrate 1a Front side main surface (first main surface) of wafer
1b Backside main surface of wafer 2 Semiconductor chip (unit chip area)
3 STI region 4 Source drain region 5 Gate electrode 6 Tungsten plug 7 MOSFET
8 Interlayer insulating film of each wiring layer 9 Embedded wiring of each layer 11 Premetal insulating film 12 First layer interlayer insulating film 13 Etch stop insulating film (diffusion barrier insulating film)
14 Second layer interlayer insulating film 15 Multilayer resist film 15a Lower layer resist film 15b Middle layer resist film 15c Upper layer resist film 16 Trench 17 Via 18 Defect 19 Trench fill material 21 Semiconductor substrate region 22 Premetal region 23 Lower layer wiring region 24 Middle layer wiring region 25 Upper layer wiring area 26 Pad layer wiring area 27 Bonding pad 28 Final passivation film 29 Pad opening 31 Notch 32 Unit exposure area (shot area)
33 Step Pass 34 Scan Campus 35 Slit Exposure Zone 51 Ozone Processing Device 52 Ozone Generation Unit 53 Gate Valve 54 Wafer Processing Chamber 55 Wafer Stage 56 Ultraviolet Lamp 57 Exhaust Port 58 Ozone Source Gas 59 Dielectric Barrier Discharge Unit 60 Step and Scan Solution Immersion reduction projection exposure apparatus 61 Wafer stage 62 Immersion liquid 63 Projection optical system 64 Lens barrel 65a, 65b, 65c, 65d, 65e Projection lens 66 Reticle holder 67 Reticle 68 ArF excimer laser light (193 nm exposure light)
69 Integrated Circuit Pattern on Reticle 101 Reproduction Process (Reproduction Process)
M1 First layer copper embedded wiring M2 Second layer copper embedded wiring M2a Copper wiring layer of second layer copper embedded wiring M2b Barrier metal layer of second layer copper embedded wiring M7 Seventh layer copper embedded wiring M8 8th layer copper embedded wiring M11 11th layer copper embedded wiring M12 12th layer copper embedded wiring M13 13th layer copper embedded wiring

Claims (20)

以下の工程を含む半導体集積回路装置の製造方法:
(a)ウエハの第1の主面上の被加工膜上に、炭素を主要な成分として含む第1の膜を塗布する工程;
(b)前記第1の膜上に、炭素及びシリコンを主要な成分として含む第2の膜を形成する工程;
(c)前記第2の膜上に、感光性有機レジスト膜を塗布する工程;
(d)前記感光性有機レジスト膜を露光することによって、集積回路パターンを転写する工程;
(e)前記工程(d)の後、前記感光性有機レジスト膜を現像する工程;
(f)前記工程(e)の後、前記ウエハの前記第1の主面側に対して、オゾンまたはヒドロキシラジカルを含む気相雰囲気中で、酸化処理を実行する工程;
(g)前記工程(f)の後、前記第2の膜を薬液により、除去する工程。
A method of manufacturing a semiconductor integrated circuit device including the following steps:
(A) The process of apply | coating the 1st film | membrane containing carbon as a main component on the to-be-processed film | membrane on the 1st main surface of a wafer;
(B) forming a second film containing carbon and silicon as main components on the first film;
(C) applying a photosensitive organic resist film on the second film;
(D) transferring the integrated circuit pattern by exposing the photosensitive organic resist film;
(E) a step of developing the photosensitive organic resist film after the step (d);
(F) After the step (e), performing an oxidation process on the first main surface side of the wafer in a gas phase atmosphere containing ozone or hydroxy radicals;
(G) A step of removing the second film with a chemical solution after the step (f).
前記1項の半導体集積回路装置の製造方法において、前記被加工膜は、シリコンを主要な成分の一つとして含む膜である。     In the method for manufacturing a semiconductor integrated circuit device according to the item 1, the film to be processed is a film containing silicon as one of main components. 前記2項の半導体集積回路装置の製造方法において、前記工程(b)における前記第2の膜の形成は、塗布により実行される。     In the method of manufacturing a semiconductor integrated circuit device according to the item 2, the formation of the second film in the step (b) is performed by coating. 前記3項の半導体集積回路装置の製造方法において、前記工程(d)における露光は、液浸方式による縮小投影露光によって実行される。     In the method for manufacturing a semiconductor integrated circuit device according to the item 3, the exposure in the step (d) is performed by reduced projection exposure by a liquid immersion method. 前記4項の半導体集積回路装置の製造方法において、更に、以下の工程を含む:
(h)前記工程(g)の後、前記ウエハの前記第1の主面側に対して、気相雰囲気中で、酸素プラズマ処理を施すことにより、前記第1の膜を除去する工程。
The method for manufacturing a semiconductor integrated circuit device according to the item 4, further includes the following steps:
(H) After the step (g), a step of removing the first film by performing an oxygen plasma treatment in a gas phase atmosphere on the first main surface side of the wafer.
前記5項の半導体集積回路装置の製造方法において、更に、以下の工程を含む:
(i)前記工程(h)の後、再び前記工程(a)から(e)を実行する工程;
(j)前記工程(i)の後、現像された前記有機レジスト膜をマスクとして、前記第2の膜をパターニングする工程;
(k)パターニングされた前記第2の膜をマスクとして、前記第1の膜をパターニングする工程;
(l)前記工程(k)の後、前記第1の膜および前記第2の膜がある状態で、前記被加工膜のドライエッチングを開始することによって、前記被加工膜を加工する工程。
The method for manufacturing a semiconductor integrated circuit device according to the item 5, further includes the following steps:
(I) A step of performing the steps (a) to (e) again after the step (h);
(J) after the step (i), patterning the second film using the developed organic resist film as a mask;
(K) patterning the first film using the patterned second film as a mask;
(L) A step of processing the film to be processed by starting dry etching of the film to be processed with the first film and the second film after the step (k).
前記6項の半導体集積回路装置の製造方法において、更に、以下の工程を含む:
(m)前記工程(l)の後、前記ウエハの前記第1の主面側に対して、気相雰囲気中で、酸素プラズマ処理を施すことにより、前記第1の膜を除去する工程。
The method for manufacturing a semiconductor integrated circuit device according to the item 6, further includes the following steps:
(M) After the step (l), a step of removing the first film by performing oxygen plasma treatment in a gas phase atmosphere on the first main surface side of the wafer.
前記7項の半導体集積回路装置の製造方法において、前記被加工膜は、シリコン及び酸素を主要な成分として含む膜である。     In the method of manufacturing a semiconductor integrated circuit device according to the item 7, the film to be processed is a film containing silicon and oxygen as main components. 前記7項の半導体集積回路装置の製造方法において、前記被加工膜は、炭素、シリコン及び酸素を主要な成分として含む膜である。     In the method for manufacturing a semiconductor integrated circuit device according to the item 7, the film to be processed is a film containing carbon, silicon, and oxygen as main components. 前記9項の半導体集積回路装置の製造方法において、前記被加工膜は、多層埋め込み配線の一つの配線層の層間絶縁膜である。     In the method for manufacturing a semiconductor integrated circuit device according to the item 9, the film to be processed is an interlayer insulating film of one wiring layer of the multilayer embedded wiring. 前記10項の半導体集積回路装置の製造方法において、前記一つの配線層はファイン配線層である。     11. The method for manufacturing a semiconductor integrated circuit device according to the item 10, wherein the one wiring layer is a fine wiring layer. 前記4項の半導体集積回路装置の製造方法において、前記第2の膜のシリコン含有率は、15重量%以上、60重量%以下である。     In the method for manufacturing a semiconductor integrated circuit device according to the item 4, the silicon content of the second film is 15 wt% or more and 60 wt% or less. 前記12項の半導体集積回路装置の製造方法において、前記第1の膜の炭素含有率は、50重量%以上、95重量%以下である。     In the method of manufacturing a semiconductor integrated circuit device according to the item 12, the carbon content of the first film is 50 wt% or more and 95 wt% or less. 前記13項の半導体集積回路装置の製造方法において、前記第2の膜は、オルガノシリケイトを主要な成分として含む。     14. The method for manufacturing a semiconductor integrated circuit device according to the item 13, wherein the second film contains organosilicate as a main component. 前記5項の半導体集積回路装置の製造方法において、前記工程(f)および(g)の工程を2回以上繰り返し実行する。     In the method of manufacturing a semiconductor integrated circuit device according to the item 5, the steps (f) and (g) are repeatedly performed twice or more. 前記1項の半導体集積回路装置の製造方法において、前記工程(f)の実行の際、前記ウエハの前記第1の主面側に対して、紫外線を照射する。     In the method of manufacturing a semiconductor integrated circuit device according to the item 1, the ultraviolet ray is irradiated to the first main surface side of the wafer when the step (f) is executed. 前記1項の半導体集積回路装置の製造方法において、前記工程(f)の実行の際、前記ウエハに対して、加熱処理を実行する。     In the method of manufacturing a semiconductor integrated circuit device according to the item 1, heat treatment is performed on the wafer when the step (f) is performed. 前記1項の半導体集積回路装置の製造方法において、前記薬液は、テトラメチルアンモニウムハイドロオキサイド系水溶液、または硫酸と過酸化水素水を主要な成分として含む溶液である。     In the method for manufacturing a semiconductor integrated circuit device according to the item 1, the chemical solution is a tetramethylammonium hydroxide aqueous solution or a solution containing sulfuric acid and hydrogen peroxide as main components. 前記1項の半導体集積回路装置の製造方法において、前記薬液は、プロピレングリコールモノメチルエーテルアセテート、プロピレングリコールモノメチルエーテル、シクロヘキサン、ガンマブチルラクトンまたは、これらの内、二つ以上を含む混合液である。     In the method for manufacturing a semiconductor integrated circuit device according to the item 1, the chemical solution is propylene glycol monomethyl ether acetate, propylene glycol monomethyl ether, cyclohexane, gamma butyl lactone, or a mixed solution containing two or more thereof. 前記1項の半導体集積回路装置の製造方法において、前記薬液は、プロピレングリコールモノメチルエーテルアセテートおよびプロピレングリコールモノメチルエーテルを主要な成分として含む混合溶媒である。     In the method for manufacturing a semiconductor integrated circuit device according to the item 1, the chemical solution is a mixed solvent containing propylene glycol monomethyl ether acetate and propylene glycol monomethyl ether as main components.
JP2010135165A 2010-06-14 2010-06-14 Method of manufacturing semiconductor integrated circuit device Withdrawn JP2012004170A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2010135165A JP2012004170A (en) 2010-06-14 2010-06-14 Method of manufacturing semiconductor integrated circuit device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2010135165A JP2012004170A (en) 2010-06-14 2010-06-14 Method of manufacturing semiconductor integrated circuit device

Publications (1)

Publication Number Publication Date
JP2012004170A true JP2012004170A (en) 2012-01-05

Family

ID=45535885

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2010135165A Withdrawn JP2012004170A (en) 2010-06-14 2010-06-14 Method of manufacturing semiconductor integrated circuit device

Country Status (1)

Country Link
JP (1) JP2012004170A (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104425222A (en) * 2013-08-28 2015-03-18 中芯国际集成电路制造(上海)有限公司 Patterning method
CN104766787A (en) * 2014-01-07 2015-07-08 瑞萨电子株式会社 Method for manufacturing semiconductor integrated circuit device
US10276373B2 (en) 2016-10-05 2019-04-30 Samsung Electronics Co., Ltd. Method of manufacturing a semiconductor device

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104425222A (en) * 2013-08-28 2015-03-18 中芯国际集成电路制造(上海)有限公司 Patterning method
CN104425222B (en) * 2013-08-28 2018-09-07 中芯国际集成电路制造(上海)有限公司 Graphic method
CN104766787A (en) * 2014-01-07 2015-07-08 瑞萨电子株式会社 Method for manufacturing semiconductor integrated circuit device
JP2015130390A (en) * 2014-01-07 2015-07-16 ルネサスエレクトロニクス株式会社 Method for manufacturing semiconductor integrated circuit device
US9502282B2 (en) 2014-01-07 2016-11-22 Renesas Electronics Corporation Method of semiconductor manufacture utilizing layer arrangement to improve autofocus
TWI643245B (en) * 2014-01-07 2018-12-01 瑞薩電子股份有限公司 Method of manufacturing semiconductor integrated circuit device
US10276373B2 (en) 2016-10-05 2019-04-30 Samsung Electronics Co., Ltd. Method of manufacturing a semiconductor device

Similar Documents

Publication Publication Date Title
JP5052771B2 (en) Method for manufacturing dual damascene wiring of microelectronic device using basic material diffusion barrier film
US8039195B2 (en) Si device making method by using a novel material for packing and unpacking process
US8288271B2 (en) Method for reworking antireflective coating over semiconductor substrate
US20040157444A1 (en) Photoresist intensive patterning and processing
US20120126358A1 (en) Tone inversion with partial underlayer etch
US11282712B2 (en) Method for preventing bottom layer wrinkling in a semiconductor device
TWI660071B (en) Method for forming semiconductor device and chemical solution
JP7330972B2 (en) Cleaning composition for removing post-etch or post-ash residue from semiconductor substrates, and corresponding manufacturing method
JP4994566B2 (en) Manufacturing method of dual damascene wiring of microelectronic device using hybrid type low dielectric constant material and inorganic filler not containing carbon
US20050095841A1 (en) Method for reducing amine based contaminants
JP2012004170A (en) Method of manufacturing semiconductor integrated circuit device
JP2003163265A (en) Wiring structure and its manufacturing method
JPWO2009054413A1 (en) Method for manufacturing semiconductor device
US7883835B2 (en) Method for double patterning a thin film
JP2008078649A (en) Method of patterning anti-reflective coating by partial etching
TWI829013B (en) Method of forming semiconductor device
US7811747B2 (en) Method of patterning an anti-reflective coating by partial developing
TW202123335A (en) Method of forming semiconductor device structure
JP2001326173A (en) Pattern-forming method
US9502282B2 (en) Method of semiconductor manufacture utilizing layer arrangement to improve autofocus
US20090325104A1 (en) Process for manufacturing semiconductor device
JP2001176788A (en) Pattern-forming method and semiconductor device
JP2000294642A (en) Semiconductor device and its manufacture
JP2002170883A (en) Method for fabricating wiring structure for semiconductor device
US20060199388A1 (en) System and method for manufacturing semiconductor devices using a vacuum chamber

Legal Events

Date Code Title Description
A300 Withdrawal of application because of no request for examination

Free format text: JAPANESE INTERMEDIATE CODE: A300

Effective date: 20130903