JP2011508454A - 位置およびオフセットを決定するための構成および方法 - Google Patents

位置およびオフセットを決定するための構成および方法 Download PDF

Info

Publication number
JP2011508454A
JP2011508454A JP2010540810A JP2010540810A JP2011508454A JP 2011508454 A JP2011508454 A JP 2011508454A JP 2010540810 A JP2010540810 A JP 2010540810A JP 2010540810 A JP2010540810 A JP 2010540810A JP 2011508454 A JP2011508454 A JP 2011508454A
Authority
JP
Japan
Prior art keywords
chuck
data sets
upper electrode
paths
discontinuities
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2010540810A
Other languages
English (en)
Other versions
JP5409649B2 (ja
Inventor
アレンブランチェッテ・クリスティーヌ
ロドニック・マット
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2011508454A publication Critical patent/JP2011508454A/ja
Application granted granted Critical
Publication of JP5409649B2 publication Critical patent/JP5409649B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/14Measuring arrangements characterised by the use of optical techniques for measuring distance or clearance between spaced objects or spaced apertures
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/26Measuring arrangements characterised by the use of optical techniques for measuring angles or tapers; for testing the alignment of axes
    • G01B11/27Measuring arrangements characterised by the use of optical techniques for measuring angles or tapers; for testing the alignment of axes for testing the alignment of axes
    • G01B11/272Measuring arrangements characterised by the use of optical techniques for measuring angles or tapers; for testing the alignment of axes for testing the alignment of axes using photoelectric detection means
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/18Numerical control [NC], i.e. automatically operating machines, in particular machine tools, e.g. in a manufacturing environment, so as to execute positioning, movement or co-ordinated operations by means of programme data in numerical form
    • G05B19/402Numerical control [NC], i.e. automatically operating machines, in particular machine tools, e.g. in a manufacturing environment, so as to execute positioning, movement or co-ordinated operations by means of programme data in numerical form characterised by control arrangements for positioning, e.g. centring a tool relative to a hole in the workpiece, additional detection means to correct position
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67259Position monitoring, e.g. misposition detection or presence detection
    • H01L21/67265Position monitoring, e.g. misposition detection or presence detection of substrates stored in a container, a magazine, a carrier, a boat or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • H01L21/681Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment using optical controlling means
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/37Measurements
    • G05B2219/37608Center and diameter of hole, wafer, object

Landscapes

  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Human Computer Interaction (AREA)
  • Automation & Control Theory (AREA)
  • Drying Of Semiconductors (AREA)
  • Length Measuring Devices By Optical Means (AREA)

Abstract

【解決手段】少なくともチャックと上側電極とを備えるプラズマ処理システム内で位置およびオフセットを決定するための方法が提供されている。その方法は、光線を供給する光源を少なくとも備えるトラバース装置を第1の複数の経路に沿って移動させて、第1の複数のデータセットを生成する工程を備え、トラバース装置を第1の複数の経路の内の各経路に沿って移動させることにより、光線がチャックを横断し、第1の複数のデータセットの内の1または複数のデータセットが得られる。方法は、さらに、第1の複数のデータセットを受信する工程と、第1の複数のデータセットを分析して、光線がチャックのエッジに至った時に生成された3以上の反射光信号に関連する第1のセットの少なくとも3つの不連続を特定する工程とを備える。方法は、さらに、第1のセットの少なくとも3つの不連続に関連する座標データを用いて、チャックの中心を決定する工程を備える。
【選択図】図2

Description

半導体基板(例えばウエハ)の処理では、プラズマが利用されることが多い。プラズマ処理では、ウエハは、一般に複数の処理モジュールを備えるプラズマ処理システムを用いて処理される。基板(例えば、ウエハ)は、プラズマ処理の際、処理モジュール内部のチャック上に配置される。
処理モジュールの内外にウエハを移動させるために、ウエハは、通例、エンドエフェクタ上に配置されて、チャック上に搬送される。エンドエフェクタは、ウエハの搬送中にウエハを支持するよう構成された構造要素である。エンドエフェクタは、通例、ロボットアーム上に配置される。図1は、ウエハ搬送中にウエハ104を支持するための代表的な従来技術のエンドエフェクタ102を示す。説明のために、ロボットアーム106の一部も示している。
概して、ウエハ搬送シーケンス中に、ロボットアームは、まず、エンドエフェクタを動かして、ウエハ収容カセットまたはウエハ収容ステーションからウエハを持ち上げる。ウエハがエンドエフェクタ上に配置されると、ロボットアームは、処理モジュールのドアを通してプラズマ処理モジュールの中にウエハを移動させる。次いで、ロボットアームは、チャックの上方でエンドエフェクタおよびウエハを位置決めし、プラズマ処理のためにチャック上にウエハを配置する。
ウエハが適切に処理されることを保証する(それによって、制御可能および繰り返し可能な処理結果を保証する)ために、ウエハは、プラズマ処理中にチャック上の中心に配置される必要がある。エンドエフェクタがチャックに対して正確に中心合わせされ、ウエハがエンドエフェクタに対して正確に中心合わせされれば、ロボットアームがチャック上にウエハを配置すると、ウエハはチャックに対して正確に中心合わせされる。しかしながら、多くの理由(いくつかは以下で説明する)から、この理想的なシナリオはめったに実現しない。
処理チャンバの様々な構成要素間の機械加工および/または製造の公差によって、エンドエフェクタによって規定された中心(本明細書では、「エンドエフェクタ中心」または「エンドエフェクタ規定中心」と呼ぶ)は、与えられた処理モジュール内のチャックの中心に対して若干オフセットされる可能性がある。結果として、エンドエフェクタ規定中心は、ロボットコントローラがウエハの配置について正確な位置であると見なしたロボットアームの位置では、チャックの中心と正確に揃わない場合がある。このエンドエフェクタ/チャック間の位置ずれが、製造中に補正されないと、ウエハは、ウエハ処理中にチャック中心に対して不正確に配置される可能性がある。
エンドエフェクタ/チャック間の位置ずれを補正するために、較正中に行う典型的な方法は、エンドエフェクタ規定中心がチャックの中心と実際に揃う位置にロボットアームを移動させることを含む。エンドエフェクタの較正を実行するためには、オペレータが実際のエンドエフェクタ/チャックのアライメント位置を確認することができる必要がある。従来技術において、チャック中心に対するエンドエフェクタ規定中心のアライメントは、チャックのエッジに取り付けられるか処理モジュール内部に取り付けられる加工された機械的フィクスチャを用いて実行される。機械的フィクスチャは、キーフィーチャ(基本的にエンドエフェクタのための中心合わせ用突起)を有しており、キーフィーチャは、エンドエフェクタが較正フィクスチャのキーフィーチャに突き当たって静止することを可能にする。フィクスチャはチャックに対して中心合わせされているため、エンドエフェクタがフィクスチャのキーフィーチャに突き当たると、エンドエフェクタはチャックの中心に配置されることになる。通例、キーフィーチャに対するエンドエフェクタの位置決めは、エンドエフェクタがキーフィーチャに突き当たって静止するように、オペレータがエンドエフェクタをキーフィーチャに向かって引くまたは押すことによって実現される。
オペレータは、キーフィーチャに対してエンドエフェクトを位置決めした後、ロボット制御システムが、ロボット制御の座標系で、この実際のエンドエフェクタ/チャックのアライメントを実現するロボットアームの位置を記録できるように、ロボット制御システムでロボットアームの位置を登録する。
製造中、ロボットアームは、このエフェクタ/チャックのアライメント位置に関連する座標までエンドエフェクタを移動させる。ウエハが、エンドエフェクタに関して中心合わせされると、エンドエフェクタ規定中心がチャック中心と実際に整列していることにより、ウエハは、ロボットアームによってウエハ処理に向けてチャック上に配置された時に、チャックに対して中心合わせされることになる。
しかしながら、較正のためにチャックに対してエンドエフェクタを中心合わせするための従来技術には欠点がある。まず、既存のチャックおよび処理モジュールには多くの種類がある。したがって、較正を実行するために機械的フィクスチャによる方法を用いるには、多くの異なる機械的フィクスチャを製造しておく必要がある。また、1または複数の硬質金属エッジまたは表面を有しうる物理的な機械的フィクスチャをチャックに取り付けると、潜在的にチャックを損傷する可能性がある。さらに、数回のプラズマサイクルが処理モジュール内で実行された後に(例えば、製造工程後に、エンドエフェクタがチャックに対して中心ずれを起こしている恐れがある時に)、この較正がその場で行われる場合、物理的較正フィクスチャをチャックに取り付けることで、チャック上またはチャック付近の蒸着粒子が処理チャンバ内にはがれ落ちることがある。その後の処理サイクル中に、かかる粒子は、望ましくない粒子汚染の一因になる。
さらに、較正は大気圧で行われるため、従来技術の較正技術は、製造中の条件を効果的に再現しえない。これは、製造中に、処理モジュールの構成要素が真空下に置かれて、1または複数の構成要素が、真空環境と周囲大気との間の圧力差によってずれる場合があるからである。較正条件が製造条件を忠実に再現しないため、正確な較正が可能でない場合がある。
さらに、エンドエフェクタ/チャックのアライメント位置にエンドエフェクタを位置決めする動作が手動で実行される場合(例えば、機械的フィクスチャのキーフィーチャに突き当てるために、オペレータが、エンドエフェクタを引くまたは押す動作などを含む)、オペレータが、このエンドエフェクタ/チャックのアライメント位置をロボットコントローラに登録するために、ロボットアームを放した時に、ロボットアームの位置がずれることがある。このずれは、例えば、ロボットのモータの電源が切られたことなど、多くの理由によって生じうる。ロボットアームが、ロボットオペレータにとって感知できないほどわずかに引き離された場合でも、このずれにより、較正処理が不正確になることがある。較正処理が不正確であると、製造中のウエハの配置が不正確になって、歩留まりの低下と、製造された製品の返品率および/または故障率の増加につながる。
前述の議論は、エンドエフェクタとチャックとの間で起こりうる位置ずれ、および、そのための従来技術の解決法に関連する。しかしながら、エンドエフェクタ規定中心がチャック中心と正確に揃っている場合(すなわち、正確なアライメントの効果を実現するように製造できる場合)でも、製造中のウエハ/チャック間の位置ずれをもたらしうる別の潜在的な誤差の原因が存在する。つまり、異なる製造のウエハは、エンドエフェクタ上で異なって配置される場合がある。エンドエフェクタ規定中心が、複数のウエハの中心と正確にまたは一貫して揃わない場合、ウエハ/チャック間の位置ずれが、製造中に起こりうる。この場合、エンドエフェクタ中心が、チャック中心と正確に揃っていても、エンドエフェクタが処理のためにチャック上にウエハを正確に置いた時に、ウエハ/エンドエフェクタ間の位置ずれにより、ウエハがチャックに対してオフセットされる。
同じ製造および組み立て公差の問題は、下側電極に対する上側電極のアライメントにも影響する。例えば、一部の製造プラズマ処理システムにおいて、製造および組み立て公差により、上側電極がチャックから少しオフセットされる場合があり、その結果、プラズマ処理の制御性に影響する非対称なプラズマシースがもたらされる。別の例として、上側電極は、一部のプラズマ処理システムにおいては移動可能であるよう構成されてよい。時間が経つと、上側電極アッセンブリは、「ガタ」すなわち規格外の公差を生じて、有害な上側電極/チャック間のオフセットをもたらす場合がある。結果として、プラズマ処理の結果が悪くなる場合がある。
上記からわかるように、様々な位置ずれの問題が、プラズマ処理モジュールの構成要素の間に存在および/または経時的に生じうる。上述したように、外部ツールまたは外部アライメントフィクスチャを用いて、これらの位置ずれの問題に対処する場合、処理モジュールの構成要素に対する潜在的な損傷が起こりうる。さらに、処理モジュール環境の外部で位置ずれの問題に対処する場合、チャンバ条件の相違(例えば、アライメント中のチャンバ条件と製造中のチャンバ条件との相違)によって、誤差が生じうる。
さらに、従来技術が、位置ずれの問題に対処するために、処理モジュールの内外でウエハを入れ替える必要がある場合、必要以上の時間が、アライメントの問題だけに浪費される可能性がある。時間の浪費により、プラズマ処理ツールのオペレータのコストが増大し、単位時間あたりの完成デバイスの生産高の低下および/または単位デバイスコストの上昇につながる。
本発明は、一実施形態において、少なくともチャックと上側電極とを備えるプラズマ処理システム内で位置およびオフセットを決定するための方法を提供する。その方法は、光線を供給する光源を少なくとも備えるトラバース装置を第1の複数の経路に沿って移動させて、第1の複数のデータセットを生成する工程を備え、トラバース装置を第1の複数の経路の内の各経路に沿って移動させることにより、光線がチャックを横断し、第1の複数のデータセットの内の1または複数のデータセットが得られる。方法は、さらに、第1の複数のデータセットを受信する工程と、第1の複数のデータセットを分析して、光線がチャックのエッジに至った時に生成された3以上の反射光信号に関連する第1のセットの少なくとも3つの不連続を特定する工程とを備える。方法は、さらに、第1のセットの少なくとも3つの不連続に関連する座標データを用いて、チャックの中心を決定する工程を備える。
上述の発明の概要は、本明細書に開示された本発明の多くの実施形態の内の1つのみに関するものであり、特許請求の範囲に記載される本発明の範囲を限定する意図はない。添付の図面を参照しつつ行う本発明の詳細な説明において、本発明の上述の特徴およびその他の特徴を詳述する。
添付図面では、限定ではなく例示を目的に本発明を図示している。なお、この添付図面においては、同様の要素には同様の符号が与えられている。
ウエハ搬送中にウエハを支持するための代表的な従来技術のエンドエフェクタを示す図。
本発明の一実施形態に従って、チャックを有する処理モジュールにおけるその場光学測定装置を示す上面図。
本発明の一実施形態に従って、上側電極の底面図であることを除いて図2と同様の状況を示した図。
本発明の一実施形態に従って、ウエハを横切る経路に沿ってレーザ装置が横断した時に反射率不連続データを取得するための構成を示す図。
本発明の一実施形態に従って、ウエハがチャック上に載置されていることを除いて図4と同様の構成を示した図。
本発明の一実施形態に従って、2つのトレースからのオフセットの決定を示す図。
本発明の一実施形態に従って、処理モジュール内の構成要素および/または処理対象物の位置および/またはオフセットを決定するためのその場光学技術の工程を示すフローチャート。
以下では、添付図面に例示されたいくつかの実施形態を参照しつつ、本発明の詳細な説明を行う。以下の説明では、本発明の完全な理解を促すために、数多くの具体的な詳細事項が示されている。しかしながら、当業者にとって明らかなように、本発明は、これらの具体的な詳細事項の一部または全てがなくとも実施することが可能である。また、本発明が不必要に不明瞭となるのを避けるため、周知の処理工程および/または構造については、詳細な説明を省略した。
以下では、方法および技術を含め、様々な実施形態について説明する。本発明の技術の実施形態を実行するためのコンピュータ読み取り可能な命令を格納するコンピュータ読み取り可能な媒体を含む製品も網羅してよいことに留意されたい。コンピュータ読み取り可能な媒体としては、例えば、コンピュータ読み取り可能な暗号を格納するための半導体、磁気、光磁気、光学、または、その他の形態のコンピュータ読み取り可能な媒体が挙げられる。さらに、本発明は、本発明の実施形態を実施するための装置も含んでよい。かかる装置は、本発明の実施形態に関するタスクを実行するために、専用および/またはプログラム可能な回路を備えてよい。かかる装置の例は、汎用コンピュータおよび/または適切にプログラムされた専用コンピュータデバイスを含み、本発明の実施形態に関する様々なタスクに適合したコンピュータ/コンピュータデバイスおよび専用/プログラム可能回路を組み合わせたものを含んでもよい。
本発明の実施形態は、処理モジュール内の構成要素および処理対象物の位置、および/または、プラズマ処理モジュール内の構成要素および/または処理対象物の間のオフセット(例えば、位置ずれ)を決定するためのその場(in−situ)光学技術に関する。処理モジュールの構成要素、エンドエフェクタ、および/または、ウエハの間の位置ずれの存在および/または程度を確認することによって、位置ずれに対処するために、対策(ロボットアームの位置決め補正、または、構成要素の再配置/再組み立てなど)を講じることができる。
従来技術のアプローチと異なり、本発明の実施形態は、(チャックおよび上側電極の間など)様々な処理モジュール構成要素の間のオフセットを、その場で、かつ、処理モジュールの構成要素に損傷の危険を与えないように、測定することが可能である。さらに、本発明の実施形態は、チャック上にウエハを載置する直前または直後のウエハと、様々な処理モジュール構成要素との間のオフセットを、その場で、かつ、ウエハまたは構成要素を潜在的に損傷しないように、測定することが可能である。さらに、本発明の実施形態は、(ウエハ中心に対するベベルエッチングの処理の同心性などの)ウエハ処理の正確さを、その場で、かつ、ウエハまたは構成要素を潜在的に損傷しないように、評価することができる。またさらに、本発明の実施形態は、ハードウェアフィクスチャを用いた大気条件での較正と関連した問題を未然に防ぐため、その場光学技術を用いて自己較正することが可能である。
本発明の1または複数の実施形態において、その場光学技術は、光線がプラズマ処理モジュールの内部を横断する時に反射率の不連続を光学的に測定することを含む。光線がプラズマ処理モジュール内部の構成要素を横断する時に、反射率測定が行われる。光線が、一つの反射領域と別の反射領域との間の移行部に至ると、測定反射率が変化する。例えば、チャックの上面によって形成される平面と垂直に維持された光線が、チャックに向かって、チャックの上面を横切り、そしてチャックから離れる直線に沿って横断してよい。光線が最初にチャックの外周に到達した時に、一つの反射領域から別の反射領域への移行があり、光線の測定反射率は、それに応じて変化する。
この際、反射率の変化が、記録され、不連続を認識するために分析されてよい。光線は、チャックの上面を通過しきって、チャックから離れ始めると、チャックのエッジから周囲の領域に移行するため、反射領域の別の変化が起きる。この際、反射率の変化が、記録され、別の不連続を認識するために分析されてよい。チャックの上面を複数回横断させて、不連続が生じる光線の位置を記録することによって、チャックの位置(チャックの中心の位置など)を光線の座標系において確認することができる。外周上の3以上の点から円を決定することは、周知の数学的知見であり、本明細書では特に説明しない。
別の実施形態において、光線は、上側電極のプラズマ対向面を横断してもよい。この場合も、光線が、上側電極の外側から上側電極面自体に至り、そして再び上側電極から離れて移行する時に、反射率の不連続が得られてよい。上側電極面を複数回横断させて、不連続が生じた場所を記録することによって、上側電極の位置(上側電極の中心の位置など)を光線の座標系において決定することができる。
1または複数の実施形態において、上側電極およびチャックの位置は、不連続に関するデータを解析することによって得られ、上側電極およびチャックの間の相対的なオフセットを算出するために用いられてよい。上側電極およびチャックの位置は、いずれも光線の座標系において決定されるので、それらの位置を比較して相対オフセットを得ることができる。相対オフセット値は、フィールドエンジニアが、任意の望ましくない上側電極/チャック間のオフセットに対処し、プロセスの問題に対してより効率的なトラブルシューティングを行う助けとなりうる有益な情報を提供しうる。
1または複数の実施形態において、レーザ装置が正確に較正された場合、(反射率の不連続の記録から確定された)チャックの絶対的な位置は、チャックの期待位置と比較されてよく、期待位置からの任意のオフセットが決定されてよい。同様に、レーザ装置が正確に較正された場合、(反射率の不連続の記録から確定された)上側電極の絶対的な位置は、上側電極の期待位置と比較されてよく、期待位置からの任意のオフセットが確定されてよい。これらのオフセットは、フィールドエンジニアが、チャックおよび/または上側電極に関するアライメントの問題が存在するか否かを判定するのに役立ちうる。
本発明の1または複数の実施形態において、その場光学較正技術が提供されている。一実施形態において、既知の反射率を持つ較正領域を有する光学較正フィクスチャが、光線の経路に沿った所定の位置(例えば、チャックの片側および/または上側電極の片側)に配置される。例えば、N%の反射率の第2の較正領域に隣接して配置されたM%の反射率の第1の較正領域を有する較正板が提供されてよい(ここで、M%およびN%は既知の反射率を表す)。
光線がこの光学較正フィクスチャを横断するにつれて、測定される反射率は、光線が遭遇した較正領域に応じて変化する。反射率の記録値を較正領域の既知の反射率と比較することにより、反射率記録センサおよび/または分析ロジックの精度を較正してよい。さらに、不連続の検出に関与するロジック部分が、較正されてもよい。追加的または代替的に、光学較正フィクスチャは、既知の所定の位置に配置されてよいため、反射率の不連続の記録された位置を、反射率の不連続の期待位置と比較してもよい。このデータを用いて、光線を移動させること、および/または、光線位置を記録することに関与するシステムの精度が、較正されてもよい。
本発明の1または複数の実施形態において、その場光学技術は、ウエハの絶対的な位置、または、ウエハと、処理モジュールのその他の構成要素(チャック、上側電極、または、ロボットアーム/エフェクタなど)との間のオフセットを測定するために用いられてよい。一実施形態において、ウエハの上面によって形成される平面と垂直に維持された光線が、ウエハに向かって、ウエハの上面を横切り、そしてウエハから離れる直線に沿って横断してよい。光線が最初にウエハの外周に到達した時に、一反射領域から別の反射領域への移行があり、光線の反射率は、それに応じて変化する。
この際、反射率の変化が、記録され、不連続を認識するために分析されてよい。光線は、ウエハの上面を横断して、ウエハから離れ始めると、ウエハのエッジから周囲の領域に移行するため、反射領域の別の変化が起きる。この際、反射率の変化が、記録され、別の不連続を認識するために分析されてよい。ウエハの上面を複数回横断させて、不連続が生じる光線の位置を記録することによって、ウエハの位置(ウエハの中心の位置など)を光線の座標系で決定することができる。
さらに、ウエハがウエハ降下前にエンドエフェクタ上でチャックの上方に位置する場合、または、ウエハがチャック自体の上にある場合、ウエハのエッジおよびチャックのエッジが、結果として光線の反射率の不連続をもたらす。これらの不連続が生じる光線の位置を記録することによって、ウエハがチャックに対して同心的に配置されているか否かを、1または複数の横断の後に判定することができる。さらに、上側電極の位置が、上述の方法で上側電極を横断する光線を用いて決定された場合、ウエハおよび上側電極の間にオフセットが存在するか否かを判定し、かかるオフセットの程度を決定することができる。
光線経路が、ロボットアームおよび/またはエンドエフェクタを横切る場合、エンドエフェクタの中心またはロボットアームの位置を反射率不連続のデータから決定するために、外挿または曲線適合を行うことができる。この情報は、最適なウエハ搬送のために、ロボットアームおよび/またはエンドエフェクタをアライメントするために用いられてもよい。
本発明のまたは複数の実施形態において、その場光学技術は、ベベルエッチング処理の効果を評価するために用いられてもよい。精密な製造のために、ウエハは、通例、少なくとも2つの領域を有する。すなわち、ウエハの中心領域の大部分を占めるウエハ形成領域と、一般的に言うと、デバイスが形成されないウエハの外周に存在する「リング」すなわち「ベベル」領域である。デバイスの歩留まりを最大化するには、任意の与えられたウエハにおけるウエハ形成領域を最大化することが非常に望ましいが、それでも、リングすなわちベベル領域は存在し、通例は、様々な処理の均一性および他の理由によって、デバイスを形成するためには利用されないことに注意されたい。
ベベル領域は、デバイス形成のために利用されなくても、いくつかの処理工程により、結果的に、ベベル領域に意図しない偶発的な処理(例えば、蒸着)がなされる場合がある。ベベル領域におけるこの意図しない蒸着は、除去されないと、例えば、その後のエッチング工程の処理結果を悪化させる場合がある。したがって、ベベルエッチングを用いて、1または複数のその後の処理工程の前にベベル領域から材料を除去すなわち「洗浄」することが多い。
ベベル領域は、デバイス形成領域に直接隣接してそれを囲んでいる傾向にあるので、ベベル領域からのみ材料を除去する(ただし、デバイス形成領域からは除去しない)ように設計されたベベルエッチングの精度が、極めて重要である。エッチングが不正確であると、意図に反してデバイス形成領域から材料を除去して、最終製品の欠陥につながる場合がある。
1または複数の実施形態において、ベベルエッチングの精度は、その場光学技術を用いて決定される。一実施形態において、ウエハの上面によって形成される平面と垂直に維持された光線が、直線上に沿って、ウエハに向かい、ウエハの上面を横切り、そしてウエハから離れるように横断してよい。光線が最初にウエハの外周に到達した時に、一つの反射領域から別の反射領域への移行があり、光線の反射率は、それに応じて変化する。
この際、反射率の変化が、記録され、不連続を認識するために分析されてよい。光線は、ウエハのベベル領域を横断した後、デバイス形成領域の開始位置に至る。この時点で、一つの反射領域からさらに別の反射領域への移行があり、光線の反射率は、再びそれに応じて変化する。反射率の変化が記録され、別の不連続を認識するために分析されてよい。
光線は、ウエハ表面のデバイス形成領域上を横断し続けると、ウエハの反対側に近づく。まず、光線は、デバイス形成領域から再びベベル領域へと移行する。別の反射率の不連続が生じ、それに対応する光線の位置が記録されてよい。最後に、光線は、ベベル領域を横断した後に、ウエハエッジに至り、別の反射率の不連続が生じる。
ウエハの上面を複数回横断させることにより、デバイス形成領域およびベベル領域の外挿を行い、ベベル領域がウエハに対して同心的に位置しているか否かを判定することができる。ウエハの中心と、ベベル領域である同心の「リング」の中心との間にオフセットがある場合、このオフセットは、ベベルエッチングの精度の問題を示唆しうるものであり、その場データは、エッチングの精度の問題に対処するためにフィードバックとして用いられてよい。
本発明の特長および利点は、以下の図面と説明を参照すれば、よりよく理解できる。図2は、本発明の一実施形態に従って、チャック204を有する処理モジュール202におけるその場光学測定装置を示す上面図である。その場光学測定装置は、この例においてはトラバースバー208およびレーザ装置210を含むレーザトラバース装置を備える。トラバースバー208は、図に示すように、チャックの表面の上方で方向Xに一定速度(用途に応じて異なってよい)で移動するよう構成されている。レーザ装置210は、方向Yに沿ってトラバースバー208上を移動するよう構成されており、チャック204の上面によって形成される平面と垂直の方向に光線を照射するよう構成された統合レーザ・センサ装置である。統合センサは、レーザ装置210が図2の例における経路220、222、および、224を横断する時に光線からの反射率データを記録する。
図2は、さらに、レーザ装置210が経路224に沿って横断した時にレーザ装置210のセンサによって取得された反射率の測定値を表すトレース250を示す。経路224は、その場光学測定システムの動作の説明を簡単にするために選択されたものであり、必ずしも実際の計測中に用いられる経路を表すものではない。例えば、一部の例では、経路220および222の方が、所望の測定データを取得するのに適切であると見なされる場合もある。
図2の例では、チャックは複数層であり、反射率の測定値は、光線が経路224を横断した時のトレース250で示されている。例えば、光線がチャック204のエッジ上の位置252に至ると、不連続254がトレース250で観察される。光線がチャック204のエッジ上の位置256に至ると、不連続258がトレース250で観察される。レーザ照射器の現在位置(例えば、トラバースバー208およびレーザ装置210を移動させるモータのためのステッピングモータ・エンコーダ値から得られる)が、反射率不連続の検出ごとに記録される。
レーザ装置210が経路224を横断して、光線がチャックの反対側に到達すると、同様の不連続が、トレース250で観察される。再び、レーザ照射器の現在位置が、これらの不連続に対して記録される。これらの反射率不連続は、レーザトラバース装置の座標系で記録されるため、これらの位置の座標を用いて、経路224が位置252および256ならびに位置260および262と交わる場所を算出することができる。複数の経路(例えば、経路220または222の内の1または複数)を横断すると、レーザトラバース装置の座標系で、チャックを表す円、および、チャックの中心の算出を行うのに十分な不連続相関位置のデータ点を取得することができる。
図2には、光学較正フィクスチャ270も図示されている。光学較正アッセンブリは、既知の反射率を有する少なくとも2つの較正領域272aおよび272bを備える。光線がこれらの較正領域に当たった時に記録された反射率データ(トレース250上の符号274で示している)を用いて、反射率を検知するセンサを較正することができる。代替的または追加的に、較正フィクスチャ270は、処理モジュール内の既知の位置に配置されてよい。反射率の不連続が生じた時のレーザ照射器の座標が取得されてよい。これらの座標は、レーザトラバース装置のモータ制御部および/または位置決め検知ロジックを較正するために、光学較正フィクスチャ270の既知の位置と比較されてよい。
図3は、本発明の一実施形態に従って、上側電極の底面図であることを除いて同様の状況を示した図である。ここでも、レーザトラバース装置は、トラバースバーが、方向Xに移動することを可能にし、レーザ照射器および反射率センサの両方を備えるレーザ装置は、方向Yに移動する。レーザトラバース装置が経路304に沿って横断した時の不連続データは、図に示すように、トレース302として表されている。
図4は、本発明の一実施形態に従って、エンドエフェクタ406上に載置されたウエハ404を横切る経路302に沿ってレーザ装置が横断した時に反射率不連続データを取得するための構成を示す図である。ウエハ404は、図4では、チャック408の上方に配置されている。反射率不連続は、図に示すように、トレース410として表されている。いくつかの横断経路を取れば、反射率の不連続が生じた位置におけるレーザ照射器の位置を記録することにより、ウエハおよびチャックを表す円の外挿を容易にするのに十分なデータを得ることができる。次いで、これらの外挿された円を分析して、ウエハおよびチャックの間にオフセットが存在するか否かを判定し、かかるオフセットの程度を決定することができる。
図4によると、ウエハが存在しない場合に、所望であれば、反射率不連続のデータを取得して、単独での、または、チャックに対するエンドエフェクタの位置および/または中心を外挿することができる。あるいは、レーザ横断経路は、ウエハがエンドエフェクタ上に載置されていても、ロボットアームおよび/またはエンドエフェクタのいくつかの特定可能な部分と交わるように構成されてもよい。光線は、エンドエフェクタの下方または上方から照射されてよい。ロボットアームの形状、および、ロボットアーム上でのエンドエフェクタの位置がわかっている場合、単独での、または、チャックに対するエンドエフェクタの中心を反射率不連続データから外挿して、任意の不適切なオフセットが存在するか否かを判定することができる。
図5は、本発明の一実施形態に従って、ウエハがチャック上に載置されていることを除いて同様の構成を示した図である。ここでも、レーザトラバース装置は、トラバースバーが、方向Xに移動することを可能にし、レーザ照射器および反射率センサの両方を備えるレーザ装置は、方向Yに移動する。レーザトラバース装置が経路504に沿って横断した時の不連続データは、図に示すように、トレース502として表されている。この例でも、いくつかの横断経路を取れば、反射率の不連続が生じた位置におけるレーザ照射器の位置を記録することにより、ウエハおよびチャックを表す円の外挿を容易にするのに十分なデータを得ることができる。次いで、これらの外挿された円を分析して、ウエハがチャック上に載置された後にウエハおよびチャックの間にオフセットが存在するか否かを判定し、かかるオフセットの程度を決定することができる。算出されたオフセットは、その後のウエハ搬送においては、例えば、次のウエハがチャック上に載置される時に位置ずれがなくなるように、算出されたオフセットに相当する距離だけロボットアームを移動させることによって補正されてよい。
1または複数の実施形態において、トレースの比較を行って、相対位置情報を取得してもよい。例えば、トレース302(図3において上側電極を走査することにより取得されたもの)をトレース250(図2においてチャックを走査することにより取得されたもの)と比較することによって、チャックおよび上側電極の間にオフセットが存在するか否かを判定することができる。比較を実行するために、トレース250およびトレース302は、レーザ装置が処理モジュールにおける同じ経路を横断した時に取得された反射率データを表す。図6は、この例を示す図であり、トレース302およびトレース250の比較として、トレース602が示されている。図6の例では、2つのトレースが互いに減算され、パルス608および610が得られる。チャックが上側電極からオフセットされている場合には、パルス608および610の幅が異なり、チャックと上側電極との間の非同心性の程度を示す。
処理モジュール構成要素(例えば、チャック、ロボットアーム、エンドエフェクタ、上側電極など)および/またはウエハおよび/またはウエハ上のデバイス形成領域および/またはウエハ上のベベル領域の相対位置を得るために、任意の一対のトレースの間で、同様の比較を行ってもよい。例えば、以下の相対位置を決定することができる:チャックに対するウエハ;上側電極に対するチャック;ウエハに対する上側電極;チャックに対するエンドエフェクタ;上側電極に対するエンドエフェクタ;ウエハエッジに対するウエハ上のデバイス形成領域;デバイス形成領域に対するウエハ上のベベル領域;チャックに対するデバイス形成領域;上側電極に対するデバイス形成領域;チャックに対するベベル領域;上側電極に対するベベル領域など。
本明細書の例は、エンドエフェクタとは無関係に取り付けられたレーザ装置を示しているが、処理モジュール内を横断するためにエンドエフェクタ上にレーザ装置を取り付けることも可能である。さらに、レーザ装置は、XおよびY方向に直線的に移動すると示されているが、レーザ装置を回転移動させて、与えられた回転ベクトルに沿ってレーザ装置の位置と共に回転角を記録することも可能である。
さらに、レーザ装置は、機械的な移動機構によって移動されると示されているが、プリズムなどを用いて、光線を光学的に誘導することも可能である。何らかの基準座標系で反射率不連続の位置を取得できる限りは、光線に処理モジュール内を横断させるための任意の適切な技術を用いてよい。本明細書の例では、反射率センサがレーザ照射器と一体化されているが、センサが、反射率不連続の判定を容易にするのに十分な忠実度で反射率データを検知できる限りは、追加的または代替的に、任意の所望の位置にセンサを取り付けることも可能である。
図7は、本発明の一実施形態に従って、処理モジュール内の構成要素および/または処理対象物の位置および/またはオフセットを決定するためのその場光学技術の工程を示す簡略なフローチャートである。工程702において、レーザ装置が、処理モジュール内を横断し、対象となる構成要素および/または処理対象物(チャック、上側電極、エンドエフェクタ、ウエハ、ウエハ上の領域など)を走査する。工程704において、走査が実行された時に、レーザからの反射率データが、センサによって受信される。工程706において、反射率データは、不連続について分析される。これらの不連続は、反射率不連続が検出された時点のレーザ照射器の位置情報と相関付けられる(工程708)。次いで、これらの不連続相関位置を用いて、処理モジュール内の様々な構成要素および/または処理対象物の位置を決定する。代替的または追加的に、これらの不連続相関位置を用いて、処理モジュール内の様々な構成要素および/または処理対象物の間の相対位置(すなわち、オフセット)を決定してもよい。
以上からわかるように、本発明の実施形態は、処理モジュール内の構成要素および処理対象物の位置および/またはオフセットのその場決定を容易にする。その場決定によれば、位置および/またはオフセットを非常に迅速に決定することができるため、補正および/または修正のためにロボットアームを制御するためのフィードバックとして位置および/またはオフセットを利用することが可能になる。さらに、光学技術を用いることにより、従来技術において較正のためにハードウェアフィクスチャを用いていたことによる損傷および汚染の問題を未然に防ぐことができる。また、測定を行うために処理モジュールからウエハを取り出す必要がないため、時間の浪費が少ない。さらにまた、位置およびオフセットの決定は、製造中の条件と同じ処理モジュール条件下で実行されうるため、実際の製造環境での決定結果の誤差を低減すると共に、決定結果の有用性を改善できる。
本明細書では様々な例を提供したが、これらの例は、例示を目的としたものであり、本発明を限定するものではない。また、発明の名称および発明の概要は、便宜上、本明細書で提供されているものであり、特許請求の範囲を解釈するために用いられるべきものではない。さらに、要約書は、非常に簡潔に書かれており、便宜上提供されているものであるため、特許請求の範囲に記載された発明全体を解釈または限定するために用いられるべきではない。「セット」という用語が用いられている場合には、かかる用語は、一般的に理解される数学的な意味を持ち、0、1、または、2以上の要素を網羅するよう意図されている。また、本発明の方法および装置を実施する他の態様が数多く存在することにも注意されたい。したがって、以下に示す特許請求の範囲は、本発明の真の趣旨および範囲内に含まれる代替、置き換え、および等価物の全てを網羅するものとして解釈される。

Claims (20)

  1. 少なくともチャックと上側電極とを備えるプラズマ処理システム内で位置およびオフセットを決定するための方法であって、
    光線を供給する光源を少なくとも備えるトラバース装置を第1の複数の経路に沿って移動させて、第1の複数のデータセットを生成する工程であって、前記トラバース装置を前記第1の複数の経路の内の各経路に沿って移動させることにより、前記光線が前記チャックを横断し、前記第1の複数のデータセットの内の1または複数のデータセットが得られる、工程と、
    前記第1の複数のデータセットを受信する工程と、
    前記第1の複数のデータセットを分析して、前記光線が前記チャックのエッジに至った時に生成された3以上の反射光信号に関連する第1のセットの少なくとも3つの不連続を特定する工程と、
    前記第1のセットの少なくとも3つの不連続に関連する座標データを用いて、前記チャックの中心を決定する工程と、
    を備える、方法。
  2. 請求項1記載の方法であって、さらに、
    前記第1のセットの少なくとも3つの不連続に基づいて、第1のセットの3以上の座標データ点を決定する工程と、
    前記第1のセットの3以上の座標データ点に基づいて、前記チャックの前記中心と前記チャックの絶対位置とを決定する工程と、
    を備える、方法。
  3. 請求項2に記載の方法であって、さらに、
    前記チャックの前記絶対位置と前記チャックの期待位置とを用いて、前記チャックの前記絶対位置と前記チャックの前記期待位置との間のオフセットを決定する工程を備える、方法。
  4. 請求項2に記載の方法であって、さらに、
    前記チャックの前記絶対位置と前記上側電極の絶対位置とを用いて、前記上側電極と前記チャックとの間の相対オフセットを算出する工程を備える、方法。
  5. 請求項1に記載の方法であって、さらに、
    前記トラバース装置を第2の複数の経路に沿って移動させて、第2の複数のデータセットを生成する工程であって、前記トラバース装置を前記第2の複数の経路の内の各経路に沿って移動させることにより、前記光線および第2の光線の少なくとも一方が前記上側電極を横断し、前記第2の複数のデータセットの内の1または複数のデータセットが得られる、工程と、
    前記第2の複数のデータセットを受信する工程と、
    前記第2の複数のデータセットを分析して、前記上側電極のエッジ上の3以上の点を表す第2のセットの少なくとも3つの不連続を特定する工程と、
    前記第2のセットの少なくとも3つの不連続に関連する座標データを用いて、前記上側電極の中心を決定する工程と、
    を備える、方法。
  6. 請求項5に記載の方法であって、さらに、
    前記第2のセットの少なくとも3つの不連続に基づいて、第2のセットの3以上の座標データ点を決定する工程と、
    前記第2のセットの3以上の座標データ点に基づいて、前記上側電極の前記中心と前記上側電極の絶対位置とを決定する工程と、
    を備える、方法。
  7. 請求項6に記載の方法であって、さらに、
    前記上側電極の前記絶対位置と前記上側電極の期待位置とを用いて、前記上側電極の前記絶対位置と前記上側電極の前記期待位置との間のオフセットを決定する工程を備える、方法。
  8. 請求項5に記載の方法であって、さらに、
    光学較正フィクスチャを所定の位置に配置する工程を備え、
    前記第1の複数の経路は、前記所定の位置を横断するよう構成され、
    前記光学較正フィクスチャは、複数の較正領域を有し、
    前記トラバース装置を前記第1の複数の経路の内の各経路に沿って移動させることにより、前記光線が前記光学較正フィクスチャを横断し、第3の複数のデータセットの内の1または複数のデータセットが得られ、
    前記第3の複数のデータセットは、前記複数の較正領域の内の各較正領域の測定反射率の変化を表す、方法。
  9. 請求項1に記載の方法であって、さらに、
    光学較正フィクスチャを所定の位置に配置する工程を備え、
    前記第1の複数の経路は、前記所定の位置を横断するよう構成され、
    前記光学較正フィクスチャは、複数の較正領域を有し、
    前記トラバース装置を前記第1の複数の経路の内の各経路に沿って移動させることにより、前記光線が前記光学較正フィクスチャを横断し、第3の複数のデータセットの内の1または複数のデータセットが得られ、
    前記第3の複数のデータセットは、前記複数の較正領域の内の各較正領域の測定反射率の変化を表す、方法。
  10. プラズマを生成して、少なくとも基板を処理するためのプラズマ処理システムであって、
    前記基板を支持するためのチャックと、
    光線を供給する光源を少なくとも備えるトラバース装置と、
    前記トラバース装置を第1の複数の経路に沿って移動させて、第1の複数のデータセットを生成するための移動機構であって、前記トラバース装置を前記第1の複数の経路の内の各経路に沿って移動させることにより、前記光線が前記チャックを横断し、前記第1の複数のデータセットの内の1または複数のデータセットが得られる、移動機構と、
    前記第1の複数のデータセットを受信するためのセンサと、
    前記第1の複数のデータセットを分析して、前記光線が前記チャックのエッジに至った時に生成された3以上の反射光信号に関連する第1のセットの少なくとも3つの不連続を特定する工程、および、前記第1のセットの少なくとも3つの不連続に関連する座標データを用いて、前記チャックの中心を決定する工程の内の少なくとも一方を実行するための処理部と、
    を備える、システム。
  11. 請求項10に記載のシステムであって、
    前記処理部は、さらに、
    前記第1のセットの少なくとも3つの不連続に基づいて、第1のセットの3以上の座標データ点を決定する工程と、
    前記第1のセットの3以上の座標データ点に基づいて、前記チャックの前記中心と前記チャックの絶対位置とを決定する工程と、の内の少なくとも一方を実行するよう構成されている、システム。
  12. 請求項11に記載のシステムであって、
    前記処理部は、さらに、前記チャックの前記絶対位置と前記チャックの期待位置とを用いて、前記チャックの前記絶対位置と前記チャックの前記期待位置との間のオフセットを決定するよう構成されている、システム。
  13. 請求項11に記載のシステムであって、
    前記処理部は、さらに、前記チャックの前記絶対位置と上側電極の絶対位置とを用いて、前記上側電極と前記チャックとの間の相対オフセットを算出するよう構成されている、システム。
  14. 請求項11に記載のシステムであって、さらに、
    前記プラズマを生成するための上側電極を少なくとも備え、
    前記移動機構は、さらに、前記トラバース装置を第2の複数の経路に沿って移動させて、第2の複数のデータセットを生成するよう構成されており、前記トラバース装置を前記第2の複数の経路の内の各経路に沿って移動させることにより、前記光線および第2の光線の少なくとも一方が前記上側電極を横断し、前記第2の複数のデータセットの内の1または複数のデータセットが得られ、
    前記センサは、さらに、前記第2の複数のデータセットを受信するよう構成されており、
    前記処理部は、さらに、
    前記第2の複数のデータセットを分析して、前記上側電極のエッジ上の3以上の点を表す第2のセットの少なくとも3つの不連続を特定する工程と、
    前記第2のセットの少なくとも3つの不連続に関連する座標データを用いて、前記上側電極の中心を決定する工程と、の内の少なくとも一方を実行するよう構成されている、システム。
  15. 請求項14に記載のシステムであって、
    前記処理部は、さらに、
    前記第2のセットの少なくとも3つの不連続に基づいて、第2のセットの3以上の座標データ点を決定する工程と、
    前記第2のセットの3以上の座標データ点に基づいて、前記上側電極の前記中心と前記上側電極の絶対位置とを決定する工程と、の内の少なくとも一方を実行するよう構成されている、システム。
  16. 請求項15に記載のシステムであって、
    前記処理部は、さらに、前記上側電極の前記絶対位置と前記上側電極の期待位置とを用いて、前記上側電極の前記絶対位置と前記上側電極の前記期待位置との間のオフセットを決定するよう構成されている、システム。
  17. 請求項14に記載のシステムであって、さらに、
    所定の位置に配置された光学較正フィクスチャを備え、
    前記第1の複数の経路は、前記所定の位置を横断するよう構成され、
    前記光学較正フィクスチャは、複数の較正領域を有し、
    前記トラバース装置を前記第1の複数の経路の内の各経路に沿って移動させることにより、前記光線が前記光学較正フィクスチャを横断し、第3の複数のデータセットの内の1または複数のデータセットが得られ、
    前記第3の複数のデータセットは、前記複数の較正領域の内の各較正領域の測定反射率の変化を表す、システム。
  18. 請求項11に記載のシステムであって、さらに、
    所定の位置に配置された光学較正フィクスチャを備え、
    前記第1の複数の経路は、前記所定の位置を横断するよう構成され、
    前記光学較正フィクスチャは、複数の較正領域を有し、
    前記トラバース装置を前記第1の複数の経路の内の各経路に沿って移動させることにより、前記光線が前記光学較正フィクスチャを横断し、第3の複数のデータセットの内の1または複数のデータセットが得られ、
    前記第3の複数のデータセットは、前記複数の較正領域の内の各較正領域の測定反射率の変化を表す、システム。
  19. プラズマを生成して、少なくとも基板を処理するためのアライメントシステムであって、
    前記基板を支持するためのチャックと、
    光線を供給する光源を少なくとも備えるトラバース装置と、
    前記トラバース装置を第1の複数の経路に沿って移動させて、第1の複数のデータセットを生成するための移動機構であって、前記トラバース装置を前記第1の複数の経路の内の各経路に沿って移動させることにより、前記光線が前記チャックを横断し、前記第1の複数のデータセットの内の1または複数のデータセットが得られる、移動機構と、
    前記第1の複数のデータセットを受信するためのセンサと、
    前記第1の複数のデータセットを分析して、前記光線が前記チャックのエッジに至った時に生成された3以上の反射光信号に関連する第1のセットの少なくとも3つの不連続を特定する工程、および、前記第1のセットの少なくとも3つの不連続に関連する座標データを用いて、前記チャックの中心を決定する工程の内の少なくとも一方を実行するための処理部と、
    を備える、アライメントシステム。
  20. 請求項19に記載のアライメントシステムであって、さらに、
    前記プラズマを生成するための上側電極を少なくとも備え、
    前記移動機構は、さらに、前記トラバース装置を第2の複数の経路に沿って移動させて、第2の複数のデータセットを生成するよう構成されており、
    前記トラバース装置を前記第2の複数の経路の内の各経路に沿って移動させることにより、前記光線および第2の光線の少なくとも一方が前記上側電極を横断し、前記第2の複数のデータセットの内の1または複数のデータセットが得られ、
    前記センサは、さらに、前記第2の複数のデータセットを受信するよう構成されており、
    前記処理部は、さらに、
    前記第2の複数のデータセットを分析して、前記上側電極のエッジ上の3以上の点を表す第2のセットの少なくとも3つの不連続を特定する工程と、
    前記第2のセットの少なくとも3つの不連続に関連する座標データを用いて、前記上側電極の中心を決定する工程と、の内の少なくとも一方を実行するよう構成されている、アライメントシステム。
JP2010540810A 2007-12-27 2008-12-19 位置およびオフセットを決定するためのシステムおよび方法 Active JP5409649B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US1714807P 2007-12-27 2007-12-27
US61/017,148 2007-12-27
PCT/US2008/087578 WO2009086042A2 (en) 2007-12-27 2008-12-19 Arrangements and methods for determining positions and offsets

Publications (2)

Publication Number Publication Date
JP2011508454A true JP2011508454A (ja) 2011-03-10
JP5409649B2 JP5409649B2 (ja) 2014-02-05

Family

ID=40825026

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2010540810A Active JP5409649B2 (ja) 2007-12-27 2008-12-19 位置およびオフセットを決定するためのシステムおよび方法

Country Status (7)

Country Link
US (1) US8860955B2 (ja)
JP (1) JP5409649B2 (ja)
KR (1) KR101571180B1 (ja)
CN (1) CN101911277B (ja)
SG (1) SG195592A1 (ja)
TW (1) TWI475632B (ja)
WO (1) WO2009086042A2 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2021535433A (ja) * 2018-08-23 2021-12-16 エーエスエムエル ネザーランズ ビー.ブイ. ステージ装置および物体搭載プロセスの較正方法

Families Citing this family (283)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2006098443A1 (ja) * 2005-03-17 2006-09-21 Hamamatsu Photonics K.K. 顕微鏡画像撮像装置
US8185242B2 (en) * 2008-05-07 2012-05-22 Lam Research Corporation Dynamic alignment of wafers using compensation values obtained through a series of wafer movements
US8060330B2 (en) * 2008-12-12 2011-11-15 Lam Research Corporation Method and system for centering wafer on chuck
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8620064B2 (en) 2010-02-17 2013-12-31 Applied Materials, Inc. Method for imaging workpiece surfaces at high robot transfer speeds with reduction or prevention of motion-induced distortion
US8698889B2 (en) 2010-02-17 2014-04-15 Applied Materials, Inc. Metrology system for imaging workpiece surfaces at high robot transfer speeds
US8452077B2 (en) * 2010-02-17 2013-05-28 Applied Materials, Inc. Method for imaging workpiece surfaces at high robot transfer speeds with correction of motion-induced distortion
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9117866B2 (en) * 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
CN102798363A (zh) * 2012-08-10 2012-11-28 东莞劲胜精密组件股份有限公司 一种电极偏移量自动输出方法
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
CN103824743B (zh) * 2012-11-16 2016-08-31 北京北方微电子基地设备工艺研究中心有限责任公司 偏差监测***及偏差监测方法、等离子体加工设备
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
CN104078402B (zh) * 2014-06-30 2017-01-18 武汉新芯集成电路制造有限公司 一种机械手臂位置调整的辅助装置
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
JP6918770B2 (ja) 2015-07-13 2021-08-11 ブルックス オートメーション インコーポレイテッド オンザフライ方式の自動ウェハセンタリング方法および装置
US9966290B2 (en) 2015-07-30 2018-05-08 Lam Research Corporation System and method for wafer alignment and centering with CCD camera and robot
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9966292B2 (en) * 2016-07-12 2018-05-08 Globalfoundries Inc. Centering fixture for electrostatic chuck system
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US10867824B2 (en) 2018-05-29 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Substrate detecting system in a substrate storage container
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN110538765B (zh) * 2019-08-05 2021-06-04 逸美德科技股份有限公司 获得点胶针头的基准坐标的方法、校正方法及校正装置
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
US11813757B2 (en) * 2020-10-13 2023-11-14 Applied Materials, Inc. Centerfinding for a process kit or process kit carrier at a manufacturing system
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
CN112387540B (zh) * 2020-11-17 2021-12-10 四川庆达实业集团有限公司 一种热煨弯管3lpe涂覆的控制***及其控制方法
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
CN113394149A (zh) * 2021-06-30 2021-09-14 乐孜芯创自动化设备(上海)有限公司 具备位置检测功能的硅片传输片叉、机械手及传输方法
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN117153755B (zh) * 2023-10-26 2024-02-09 迈为技术(珠海)有限公司 一种晶圆对中方法、晶圆洗边方法以及晶圆对中机构

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0737967A (ja) * 1993-07-15 1995-02-07 Sony Corp ウエハの位置合わせ装置および位置合わせ方法
JPH11214899A (ja) * 1997-11-05 1999-08-06 Framatome Connectors Internatl 一連のコンタクトピンの位置を測定しかつそれをプリント回路基板に位置決めする方法および装置
JP2001264015A (ja) * 2000-03-21 2001-09-26 Nikon Corp 位置検出方法及び位置検出装置並びに露光装置
JP2002151555A (ja) * 2000-11-09 2002-05-24 Tokyo Electron Ltd 位置合わせ方法
JP2002540388A (ja) * 1999-03-22 2002-11-26 センシス インストルメンツ コーポレイション ウェーハ計測のための方法及び装置
JP2006120876A (ja) * 2004-10-21 2006-05-11 Nec Electronics Corp エッチング装置およびエッチング方法
JP2007324486A (ja) * 2006-06-02 2007-12-13 Tokyo Electron Ltd 基板処理装置、基板処理方法及び記憶媒体

Family Cites Families (48)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4055376A (en) * 1975-10-02 1977-10-25 Rockwell International Corporation Calibration reticle for measuring microscopes
US4819167A (en) * 1987-04-20 1989-04-04 Applied Materials, Inc. System and method for detecting the center of an integrated circuit wafer
US4971443A (en) * 1987-12-25 1990-11-20 Dainippon Screen Mfg. Co., Ltd. Optical position detecting method and apparatus therefor
CA2044649A1 (en) 1990-06-19 1991-12-20 Masanori Nishiguchi Method and apparatus for packaging a semiconductor device
US5546179A (en) * 1994-10-07 1996-08-13 Cheng; David Method and apparatus for mapping the edge and other characteristics of a workpiece
US5530548A (en) * 1994-11-07 1996-06-25 Automotive Systems Laboratory, Inc. Calibratable optical distance sensing system and method
US5675407A (en) * 1995-03-02 1997-10-07 Zheng Jason Geng Color ranging method for high speed low-cost three dimensional surface profile measurement
US5822213A (en) * 1996-03-29 1998-10-13 Lam Research Corporation Method and apparatus for determining the center and orientation of a wafer-like object
EP0837333A3 (en) * 1996-10-18 1999-06-09 Tokyo Electron Limited Apparatus for aligning a semiconductor wafer with an inspection contactor
WO1999002996A2 (en) 1997-07-11 1999-01-21 Genmark Automation Multiple point position scanning system
US6114705A (en) 1997-09-10 2000-09-05 Varian Semiconductor Equipment Associates, Inc. System for correcting eccentricity and rotational error of a workpiece
US6126382A (en) * 1997-11-26 2000-10-03 Novellus Systems, Inc. Apparatus for aligning substrate to chuck in processing chamber
US6244121B1 (en) * 1998-03-06 2001-06-12 Applied Materials, Inc. Sensor device for non-intrusive diagnosis of a semiconductor processing system
JP3957413B2 (ja) 1998-10-08 2007-08-15 松下電器産業株式会社 ウェーハ位置検出方法及びその検出装置
US6188323B1 (en) 1998-10-15 2001-02-13 Asyst Technologies, Inc. Wafer mapping system
US6275742B1 (en) 1999-04-16 2001-08-14 Berkeley Process Control, Inc. Wafer aligner system
US6191851B1 (en) * 1999-04-28 2001-02-20 Battelle Memorial Institute Apparatus and method for calibrating downward viewing image acquisition systems
US6195619B1 (en) 1999-07-28 2001-02-27 Brooks Automation, Inc. System for aligning rectangular wafers
JP4389305B2 (ja) * 1999-10-06 2009-12-24 東京エレクトロン株式会社 処理装置
US6629053B1 (en) 1999-11-22 2003-09-30 Lam Research Corporation Method and apparatus for determining substrate offset using optimization techniques
US6502054B1 (en) 1999-11-22 2002-12-31 Lam Research Corporation Method of and apparatus for dynamic alignment of substrates
JP4942129B2 (ja) 2000-04-07 2012-05-30 バリアン・セミコンダクター・エクイップメント・アソシエイツ・インコーポレイテッド GaAsウエハ用のウエハ方向センサー
US6856863B1 (en) 2000-07-27 2005-02-15 Applied Materials, Inc. Method and apparatus for automatic calibration of robots
US6591160B2 (en) 2000-12-04 2003-07-08 Asyst Technologies, Inc. Self teaching robot
JP3920587B2 (ja) 2001-04-16 2007-05-30 東京エレクトロン株式会社 基板搬送手段のティーチング方法
US6747746B2 (en) * 2001-07-16 2004-06-08 Therma-Wave, Inc. System and method for finding the center of rotation of an R-theta stage
US7106490B2 (en) * 2001-12-14 2006-09-12 Micronic Laser Systems Ab Methods and systems for improved boundary contrast
TWI274393B (en) 2002-04-08 2007-02-21 Acm Res Inc Electropolishing and/or electroplating apparatus and methods
US7233841B2 (en) 2002-04-19 2007-06-19 Applied Materials, Inc. Vision system
JP4260423B2 (ja) 2002-05-30 2009-04-30 ローツェ株式会社 円盤状物の基準位置教示方法、位置決め方法および搬送方法並びに、それらの方法を使用する円盤状物の基準位置教示装置、位置決め装置、搬送装置および半導体製造設備
US6900877B2 (en) 2002-06-12 2005-05-31 Asm American, Inc. Semiconductor wafer position shift measurement and correction
JP4257570B2 (ja) 2002-07-17 2009-04-22 株式会社安川電機 搬送用ロボットのティーチング装置および搬送用ロボットのティーチング方法
AU2003301074A1 (en) 2002-12-20 2004-07-22 Brooks Automation, Inc. System and method for on-the-fly eccentricity recognition
JP2004288792A (ja) 2003-03-20 2004-10-14 Lintec Corp アライメント装置及びアライメント方法
US6748293B1 (en) 2003-03-24 2004-06-08 Varian Semiconductor Equipment Associates, Inc. Methods and apparatus for high speed object handling
US6952255B2 (en) * 2003-08-06 2005-10-04 Lam Research Corporation System and method for integrated multi-use optical alignment
JP4501103B2 (ja) 2003-10-17 2010-07-14 株式会社安川電機 半導体ウェハ搬送ロボットのキャリブレーション方法およびそれを備えた半導体ウェハ搬送ロボット、ウェハ搬送装置
US7319920B2 (en) 2003-11-10 2008-01-15 Applied Materials, Inc. Method and apparatus for self-calibration of a substrate handling robot
US20050103274A1 (en) * 2003-11-14 2005-05-19 Cheng-Tsung Yu Reliability assessment system and method
US20050137751A1 (en) 2003-12-05 2005-06-23 Cox Damon K. Auto-diagnostic method and apparatus
KR100577582B1 (ko) 2004-06-09 2006-05-08 삼성전자주식회사 반도체 포토 스피너 설비 및 이를 이용한 웨이퍼 티칭불량방지방법
US20060009047A1 (en) 2004-07-09 2006-01-12 Wirth Paul Z Modular tool unit for processing microelectronic workpieces
US20060045666A1 (en) * 2004-07-09 2006-03-02 Harris Randy A Modular tool unit for processing of microfeature workpieces
US7352440B2 (en) * 2004-12-10 2008-04-01 Asml Netherlands B.V. Substrate placement in immersion lithography
US7197828B2 (en) * 2005-05-31 2007-04-03 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing FPD chuck Z position measurement
US20070177963A1 (en) * 2006-02-01 2007-08-02 Tang Chee W End effector for transferring a wafer
KR20080023890A (ko) 2006-09-12 2008-03-17 삼성전자주식회사 반도체 제조설비의 웨이퍼 정렬장치
US8099192B2 (en) 2007-11-06 2012-01-17 Novellus Systems, Inc. Method and apparatus for teaching a workpiece transfer robot

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0737967A (ja) * 1993-07-15 1995-02-07 Sony Corp ウエハの位置合わせ装置および位置合わせ方法
JPH11214899A (ja) * 1997-11-05 1999-08-06 Framatome Connectors Internatl 一連のコンタクトピンの位置を測定しかつそれをプリント回路基板に位置決めする方法および装置
JP2002540388A (ja) * 1999-03-22 2002-11-26 センシス インストルメンツ コーポレイション ウェーハ計測のための方法及び装置
JP2001264015A (ja) * 2000-03-21 2001-09-26 Nikon Corp 位置検出方法及び位置検出装置並びに露光装置
JP2002151555A (ja) * 2000-11-09 2002-05-24 Tokyo Electron Ltd 位置合わせ方法
JP2006120876A (ja) * 2004-10-21 2006-05-11 Nec Electronics Corp エッチング装置およびエッチング方法
JP2007324486A (ja) * 2006-06-02 2007-12-13 Tokyo Electron Ltd 基板処理装置、基板処理方法及び記憶媒体

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2021535433A (ja) * 2018-08-23 2021-12-16 エーエスエムエル ネザーランズ ビー.ブイ. ステージ装置および物体搭載プロセスの較正方法
US11556064B2 (en) 2018-08-23 2023-01-17 Asml Netherlands B.V. Stage apparatus and method for calibrating an object loading process
JP7234345B2 (ja) 2018-08-23 2023-03-07 エーエスエムエル ネザーランズ ビー.ブイ. ステージ装置および物体搭載プロセスの較正方法

Also Published As

Publication number Publication date
JP5409649B2 (ja) 2014-02-05
WO2009086042A3 (en) 2009-09-24
SG195592A1 (en) 2013-12-30
US20100277749A1 (en) 2010-11-04
WO2009086042A2 (en) 2009-07-09
KR20100096248A (ko) 2010-09-01
TW200943467A (en) 2009-10-16
CN101911277A (zh) 2010-12-08
US8860955B2 (en) 2014-10-14
KR101571180B1 (ko) 2015-11-23
CN101911277B (zh) 2012-04-04
TWI475632B (zh) 2015-03-01

Similar Documents

Publication Publication Date Title
JP5409649B2 (ja) 位置およびオフセットを決定するためのシステムおよび方法
US8954287B2 (en) Systems and methods for calibrating end effector alignment using at least a light source
US11908721B2 (en) Tool auto-teach method and apparatus
TWI431704B (zh) 用以定位基底之偏移校正技術
JP5309157B2 (ja) プラズマ処理システムにおいてエンドエフェクタのアラインメントを校正するためのシステムおよび方法
JP5336513B2 (ja) 動的アラインメント・ビーム校正のためのシステムおよび方法
TWI447061B (zh) 備有自動化對準功能的基板移送裝置
JP4668809B2 (ja) 表面検査装置
CN107924863B (zh) 搬送***、搬送机器人及其教导方法
US20080308743A1 (en) Charged particle beam application apparatus
US7720631B2 (en) Semiconductor substrate processing method and apparatus
TW200416933A (en) System and method for on-the-fly eccentricity recognition
JP2008053552A (ja) ウェハ搬送装置、ウェハ搬送方法及び記憶媒体
JP2008530804A (ja) ウェーハを位置決めする方法
US7596425B2 (en) Substrate detecting apparatus and method, substrate transporting apparatus and method, and substrate processing apparatus and method
KR101993975B1 (ko) 피처리 기판의 탑재 위치의 설정 방법 및 성막 시스템
JP4148273B2 (ja) 結晶方位測定方法及び結晶方位測定装置
CN107665831B (zh) 用于半导体器件制造工具器具的测量的***及其方法
JP2024007277A (ja) 成膜位置ズレ補正方法および成膜システム
KR20130123952A (ko) 티칭 장치, 이를 구비하는 기판 처리 장치 및 티칭 방법
TW201743403A (zh) 控制用於夾持或定位佈置於一基板載體上之基板之一裝置之方法及系統

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20111121

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20130122

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130129

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130419

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20131008

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20131105

R150 Certificate of patent or registration of utility model

Ref document number: 5409649

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250