JP2011044732A - Plasma processing apparatus, and plasma processing method - Google Patents

Plasma processing apparatus, and plasma processing method Download PDF

Info

Publication number
JP2011044732A
JP2011044732A JP2010244354A JP2010244354A JP2011044732A JP 2011044732 A JP2011044732 A JP 2011044732A JP 2010244354 A JP2010244354 A JP 2010244354A JP 2010244354 A JP2010244354 A JP 2010244354A JP 2011044732 A JP2011044732 A JP 2011044732A
Authority
JP
Japan
Prior art keywords
substrate
tray
chamber
accommodation hole
support
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2010244354A
Other languages
Japanese (ja)
Other versions
JP4841686B2 (en
Inventor
Shogo Okita
尚吾 置田
Hiromi Asakura
浩海 朝倉
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Panasonic Corp
Original Assignee
Panasonic Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Panasonic Corp filed Critical Panasonic Corp
Priority to JP2010244354A priority Critical patent/JP4841686B2/en
Publication of JP2011044732A publication Critical patent/JP2011044732A/en
Application granted granted Critical
Publication of JP4841686B2 publication Critical patent/JP4841686B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Landscapes

  • Drying Of Semiconductors (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

<P>PROBLEM TO BE SOLVED: To reduce temperature rise of a substrate due to heat transfer from a tray after plasma processing termination, in a plasma processing apparatus for arranging a tray with a substrate housed in a substrate housing hole on a substrate susceptor. <P>SOLUTION: Substrates 2 are housed in substrate housing holes 19A-19D which penetrate a tray 15 in the thickness direction. A dielectric plate 23 in a chamber 3 includes a tray supporting surface 28 which supports the undersurface 15c of the tray 15, and substrate mounting sections 29A-29D which protrude upward, and has an electrostatic attracting electrode 40 incorporated therein. The upper surface 74a of an annular part 74 of the substrate supporting section 21 which supports the substrates 2 housed in the substrate housing holes 19A-19D has a tilt angle β smaller than the tilt angle α of a hole wall 15d of the substrate housing holes 19A-19D. The substrates 2 are supported in a line-contact or point-contact form by the upper surface 74a of the annular part 74. <P>COPYRIGHT: (C)2011,JPO&INPIT

Description

本発明は、ドライエッチング装置、CVD装置等のプラズマ処理装置に関する。   The present invention relates to a plasma processing apparatus such as a dry etching apparatus and a CVD apparatus.

特許文献1には、厚み方向に貫通する基板収容孔に基板を収容した搬入出可能なトレイを、下部電極として機能する基板サセプタ上に配置し、基板収容孔に進入させた基板サセプタの基板載置部の上端面(基板載置面)に基板を載置する構成のプラズマ処理装置が開示されている。基板は静電吸着により基板載置面に密着し、基板と基板載置面の間には伝熱ガスが充填される。また、基板サセプタには冷却機構が設けられており、基板は基板サセプタとの直接的な熱伝導により冷却される。プラズマ処理終了後、基板は基板載置面からトレイの基板収容孔に受け渡され、さらに基板を収容したトレイがチャンバからロードロック室に搬出される。その後、ロードロック室が大気パージされ、基板を収容したトレイはロードロック室からカセットに収納される。   In Patent Document 1, a tray capable of loading and unloading that accommodates a substrate in a substrate accommodation hole penetrating in the thickness direction is disposed on a substrate susceptor functioning as a lower electrode, and the substrate mounting of the substrate susceptor that has entered the substrate accommodation hole. A plasma processing apparatus having a configuration in which a substrate is placed on the upper end surface (substrate placement surface) of the placement portion is disclosed. The substrate is brought into close contact with the substrate placement surface by electrostatic adsorption, and a heat transfer gas is filled between the substrate and the substrate placement surface. The substrate susceptor is provided with a cooling mechanism, and the substrate is cooled by direct heat conduction with the substrate susceptor. After the plasma processing is completed, the substrate is transferred from the substrate mounting surface to the substrate accommodation hole of the tray, and the tray accommodating the substrate is further carried out of the chamber to the load lock chamber. Thereafter, the load lock chamber is purged to the atmosphere, and the tray containing the substrate is stored in the cassette from the load lock chamber.

プラズマ処理中、基板は前述のように基板サセプタとの熱伝導によって冷却されるが、トレイは効果的に冷却されないため高温となる。例えば、LED製造等のために基板をドライエッチングで高速加工するためには、プラズマ密度が高くかつバイアス電力が高いという条件でドライエッチングを実行する必要がある。この条件下では、効果的に冷却されている基板と比較すると、トレイはプラズマからの熱吸収により大幅に高温となる。そして、ドライエッチングとそれに続くロードロック室への搬出後、ロードロック室内の雰囲気が真空から大気に切り替り、ロードロック室が大気パージされると、高温のトレイからの熱伝導により基板の温度が著しく上昇する。特に、基板収容孔の孔壁に近接する基板の外周縁部では、トレイからの熱伝導による温度上昇が著しい。   During the plasma processing, the substrate is cooled by heat conduction with the substrate susceptor as described above, but the tray becomes high temperature because it is not effectively cooled. For example, in order to process a substrate at a high speed by dry etching for LED manufacturing or the like, it is necessary to perform dry etching under the condition that the plasma density is high and the bias power is high. Under this condition, the tray becomes significantly hotter due to heat absorption from the plasma as compared to the effectively cooled substrate. After dry etching and subsequent unloading to the load lock chamber, when the atmosphere in the load lock chamber is switched from vacuum to air and the load lock chamber is purged to the air, the temperature of the substrate is increased by heat conduction from the hot tray. It rises remarkably. In particular, at the outer peripheral edge of the substrate close to the hole wall of the substrate accommodation hole, the temperature rise due to heat conduction from the tray is significant.

このプラズマ処理後のトレイの温度上昇は、基板の品質低下や損傷の原因なる。また、温度上昇したトレイをロードロック室内に待機させ、真空中への放熱やトレイを搬出する搬送アームへの熱伝導によりトレイを冷却するのでは、待機時間が必要となるのでスループット低下の原因となる。チャンバに隣接して冷却室(冷却ステージ)を設けてプラズマ処理後のトレイを冷却することが可能である。しかし、この冷却室を設けることは、装置の複雑化とコスト増の原因となる。   The rise in the temperature of the tray after the plasma treatment causes a reduction in substrate quality and damage. Also, if the tray that has risen in temperature is made to stand by in the load lock chamber and the tray is cooled by heat radiation to the vacuum or heat transfer to the transfer arm that carries out the tray, waiting time is required, which may cause a decrease in throughput. Become. It is possible to provide a cooling chamber (cooling stage) adjacent to the chamber to cool the tray after the plasma treatment. However, the provision of the cooling chamber is a cause of complication of the apparatus and an increase in cost.

特開2007−109770号公報JP 2007-109770 A

本発明は、基板収容孔に基板を収容したトレイを基板サセプタ上に配置するプラズマ処理装置において、プラズマ処理終了後のトレイからの伝熱による基板の温度上昇を低減することを課題とする。   An object of the present invention is to reduce an increase in temperature of a substrate due to heat transfer from the tray after completion of the plasma processing in a plasma processing apparatus in which a tray in which a substrate is stored in a substrate receiving hole is disposed on a substrate susceptor.

本発明は、減圧可能なチャンバと、前記チャンバ内にプラズマを発生させるプラズマ発生源と、基板が収容される基板収容孔が厚み方向に貫通するように形成され、前記基板収容孔の孔壁が前記基板収容孔の中心に向けて第1の傾斜角度で水平方向に対して傾斜しているトレイと、前記孔壁の前記トレイの下面側から突出し、前記基板収容孔の中心に向けて前記第1の傾斜角度よりも小さい第2の傾斜角度で水平方向に対して傾斜する上面である基板接触部が前記基板収容孔に収容された前記基板の外周縁部を支持する、環状部を備える基板支持部と、前記チャンバ内に設けられ、前記チャンバ内に搬入される前記基板を収容した前記トレイの下面を支持するトレイ支持面と、このトレイ支持面から上向きに突出し、前記トレイの下面側から前記基板収容孔に挿入され、かつその上端面である基板載置面に前記基板の下面が載置される基板載置部とを備える、誘電体部材と、前記基板載置部に少なくとも一部が内蔵された、前記基板を前記基板載置面に静電吸着するための静電吸着用電極と、前記静電吸着用電極に直流電圧を印加する直流電圧印加機構と、前記基板と前記基板載置面との間の空間に伝熱ガスを供給する伝熱ガス供給機構とを備えることを特徴とする、プラズマ処理装置を提供する。 This onset Ming, the evacuable chamber, a plasma source for generating plasma in the chamber, the substrate accommodation hole in which the substrate is accommodated is formed so as to penetrate in the thickness direction, the pore walls of the substrate accommodation hole Projecting from the lower surface side of the tray of the hole wall toward the center of the substrate housing hole, the tray being inclined with respect to the horizontal direction at a first tilt angle toward the center of the substrate housing hole, and toward the center of the substrate housing hole A substrate contact portion that is an upper surface inclined with respect to the horizontal direction at a second inclination angle smaller than the first inclination angle includes an annular portion that supports the outer peripheral edge portion of the substrate accommodated in the substrate accommodation hole. A substrate support portion; a tray support surface provided in the chamber and supporting the lower surface of the tray containing the substrate carried into the chamber; and projecting upward from the tray support surface; From A dielectric member comprising a substrate placement portion that is inserted into the substrate accommodation hole and on which a lower surface of the substrate is placed on a substrate placement surface that is an upper end surface thereof; and at least a part of the substrate placement portion Embedded in the electrostatic chucking electrode for electrostatically attracting the substrate to the substrate mounting surface, a DC voltage application mechanism for applying a DC voltage to the electrostatic chucking electrode, the substrate and the substrate A plasma processing apparatus is provided, comprising: a heat transfer gas supply mechanism that supplies heat transfer gas to a space between the mounting surface.

水平方向に対して傾斜して傾斜角度(第2の傾斜角度)を有する基板接触部が基板の下面側の外周縁部に接触し、それによって基板収容孔に収容された基板が基板支持部に支持される。そのため、トレイの基板収容孔に収容された基板は、基板支持部に対して面接触的な態様で支持されるのではなく、非軸対称の反りを有する基板の場合には複数点における点接触的な態様で基板支持部に支持され、軸対称の反りを有する基板又は反りを有しない平坦な基板の場合には線接触的な態様で基板支持部に支持される。点接触的又は線接触的な態様での支持のため、基板収容孔に収容された基板とトレイの基板支持部との接触面積が小さので、トレイから基板への熱伝導が抑制される。従って、プラズマ処理後にチャンバから搬出されて真空環境から大気環境に移行しても、トレイからの熱伝導による基板(特に外周縁部)の温度上昇を低減できる。   The substrate contact portion that is inclined with respect to the horizontal direction and has an inclination angle (second inclination angle) contacts the outer peripheral edge portion on the lower surface side of the substrate, whereby the substrate accommodated in the substrate accommodation hole becomes the substrate support portion. Supported. Therefore, the substrate accommodated in the substrate accommodation hole of the tray is not supported in a surface-contact manner with respect to the substrate support portion, but in the case of a substrate having a non-axisymmetric curvature, point contact at a plurality of points. In the case of a substrate having an axially symmetric warpage or a flat substrate having no warpage, it is supported by the substrate support portion in a line contact manner. Because of the support in a point contact or line contact manner, the contact area between the substrate accommodated in the substrate accommodation hole and the substrate support portion of the tray is small, so that heat conduction from the tray to the substrate is suppressed. Therefore, even if the substrate is unloaded from the chamber after the plasma processing and is transferred from the vacuum environment to the atmospheric environment, the temperature rise of the substrate (particularly the outer peripheral edge) due to heat conduction from the tray can be reduced.

好ましくは、前記トレイの下面及び前記トレイ支持面の少なくともいずれか一方に伝熱材層が形成されている。   Preferably, a heat transfer material layer is formed on at least one of the lower surface of the tray and the tray support surface.

この構成により、プラズマ処理中のトレイ自体の温度上昇を低減できるので、プラズマ処理後にチャンバから搬出されて真空環境から大気環境に移行した際に、トレイからの熱伝導による基板(特に外周縁部)の温度上昇をより効果的に低減できる。   With this configuration, the temperature rise of the tray itself during plasma processing can be reduced, so that the substrate (particularly the outer peripheral edge portion) due to heat conduction from the tray when it is transferred from the vacuum environment to the atmospheric environment after plasma processing. The temperature rise can be reduced more effectively.

本発明のプラズマ処理装置は、トレイの基板収容孔に収容された基板を支持する基板支持部は、点接触的又は線接触的な態様で基板に接触する基板接触部を備える。そのため、トレイから基板への熱伝導効率が低く、プラズマ処理後にチャンバから搬出されて真空環境から大気環境に移行した際の、トレイからの熱伝導による基板(特に外周縁部)の温度上昇を低減できる。 This onset bright plasma processing apparatus, a substrate support for supporting a substrate accommodated in the substrate accommodation hole of the tray is provided with a substrate contact portion in contact with the substrate in point contact or line contact manner. Therefore, the heat conduction efficiency from the tray to the substrate is low, and the temperature rise of the substrate (especially the outer peripheral edge) due to the heat conduction from the tray when being transferred from the chamber to the atmospheric environment after being plasma processed is reduced. it can.

本発明のプラズマ処理装置及びプラズマ処理方法は、プラズマ処理後におけるトレイからの熱伝導に起因する基板の温度上昇を低減できるので、トレイの放熱や熱伝導等による冷却のための待機時間を設ける必要がなく、スループットを向上できる。また、トレイの基板支持部の基板接触部を点接触的又は線接触的な態様で基板に接触させる構成や、トレイの下面に伝熱材層を設ける構成、つまり比較的簡易な構成でプラズマ処理後のトレイからの熱伝導に起因する基板の温度上昇低減を実現できるので、装置の簡素化とコスト減を実現できる。 Flop plasma processing apparatus and plasma processing method of the present invention, since it is possible to reduce the temperature rise of the substrate due to thermal conduction from the tray after the plasma treatment, providing the waiting time for cooling by the tray of the heat radiation and heat conduction, etc. There is no need, and throughput can be improved. In addition, plasma treatment is performed with a configuration in which the substrate contact portion of the substrate support portion of the tray is brought into contact with the substrate in a point contact or line contact manner, or a configuration in which a heat transfer material layer is provided on the lower surface of the tray, that is, a relatively simple configuration. Since the temperature rise of the substrate due to heat conduction from the later tray can be reduced, the apparatus can be simplified and the cost can be reduced.

本発明の第1実施形態に係るドライエッチング装置の模式的な断面図。1 is a schematic cross-sectional view of a dry etching apparatus according to a first embodiment of the present invention. 本発明の第1実施形態に係るドライエッチング装置の模式的な平面図。1 is a schematic plan view of a dry etching apparatus according to a first embodiment of the present invention. 反りを有する基板の模式的な断面図。The typical sectional view of the substrate which has curvature. 反りのない平坦な基板の模式的な断面図。FIG. 3 is a schematic cross-sectional view of a flat substrate without warping. 円板状の基板を4枚収容可能なトレイの平面図。The top view of the tray which can accommodate four disk-shaped board | substrates. 円板状の基板を7枚収容可能なトレイの平面図。The top view of the tray which can accommodate seven disk-shaped board | substrates. 矩形板状の基板を9枚収容可能なトレイの平面図。The top view of the tray which can accommodate nine rectangular plate-shaped board | substrates. トレイ及び誘電体板を示す斜視図。The perspective view which shows a tray and a dielectric material board. トレイの平面図。The top view of a tray. 図6Aの線VI−VIでの断面図。Sectional drawing in line VI-VI of FIG. 6A. 図6Aの部分VIIの拡大図。FIG. 6B is an enlarged view of a portion VII in FIG. 6A. 図7Aの線VII'−VII'での断面図。Sectional drawing in line VII'-VII 'of FIG. 7A. 図7Aの部分VII''の部分斜視図。FIG. 7B is a partial perspective view of the portion VII ″ of FIG. 7A. 基板収容孔の孔壁付近の部分拡大図(トレイに基板が収容されている。)。The elements on larger scale near the hole wall of a board | substrate accommodation hole (a board | substrate is accommodated in the tray). 基板収容孔の孔壁付近の部分拡大図(トレイは誘電体板に向けて降下している。)。The elements on larger scale near the hole wall of a board | substrate accommodation hole (the tray is falling toward the dielectric plate). 基板収容孔の孔壁付近の部分拡大図(トレイは誘電体板のトレイ支持面に載置されている。)。The elements on larger scale near the hole wall of a board | substrate accommodation hole (a tray is mounted in the tray support surface of a dielectric material board). 誘電体板の平面図。The top view of a dielectric material board. 図9Aの線IX−IXでの断面図。FIG. 9B is a cross-sectional view taken along line IX-IX in FIG. 9A. 図1の部分拡大図(トレイは誘電体板の上方に位置している。)。FIG. 2 is a partially enlarged view of FIG. 1 (the tray is located above the dielectric plate). 図1の部分拡大図(トレイは誘電体板に向けて降下している。)。FIG. 2 is a partially enlarged view of FIG. 1 (the tray is lowered toward the dielectric plate). 図1の部分拡大図(トレイは誘電体板のトレイ支持面に載置されている。)。FIG. 2 is a partially enlarged view of FIG. 1 (the tray is placed on the tray support surface of the dielectric plate). 本発明の第2実施形態に係るドライエッチング装置の模式的な断面図。The typical sectional view of the dry etching device concerning a 2nd embodiment of the present invention. トレイ及び誘電体板を示す斜視図。The perspective view which shows a tray and a dielectric material board. 図12の線XII−XIIでの断面図。Sectional drawing in line XII-XII of FIG. トレイの部分拡大斜視図。The partial expansion perspective view of a tray. 基板収容孔の孔壁付近の部分拡大図(トレイに基板が収容されている。)。The elements on larger scale near the hole wall of a board | substrate accommodation hole (a board | substrate is accommodated in the tray). 基板収容孔の孔壁付近の部分拡大図(トレイは誘電体板に向けて降下している。)。The elements on larger scale near the hole wall of a board | substrate accommodation hole (the tray is falling toward the dielectric plate). 基板収容孔の孔壁付近の部分拡大図(トレイは誘電体板のトレイ支持面に載置されている。)。The elements on larger scale near the hole wall of a board | substrate accommodation hole (a tray is mounted in the tray support surface of a dielectric material board). 図11の部分拡大図(トレイは誘電体板の上方に位置している。)。FIG. 11 is a partially enlarged view of FIG. 11 (the tray is positioned above the dielectric plate). 図11の部分拡大図(トレイは誘電体板に向けて降下している。)。FIG. 12 is a partially enlarged view of FIG. 11 (the tray is lowered toward the dielectric plate). 図11の部分拡大図(トレイは誘電体板のトレイ支持面に載置されている。)。FIG. 11 is a partially enlarged view of FIG. 11 (the tray is placed on the tray support surface of the dielectric plate). 本発明の第2実施形態に係るドライエッチング装置の模式的な断面図。The typical sectional view of the dry etching device concerning a 2nd embodiment of the present invention. トレイ及び誘電体板を示す斜視図。The perspective view which shows a tray and a dielectric material board. 図17の線XVIII−XVIIIでの断面図。Sectional drawing in line XVIII-XVIII of FIG. トレイの部分拡大斜視図。The partial expansion perspective view of a tray. 基板収容孔の孔壁付近の部分拡大図(トレイに基板が収容されている。)。The elements on larger scale near the hole wall of a board | substrate accommodation hole (a board | substrate is accommodated in the tray). 基板収容孔の孔壁付近の部分拡大図(トレイは誘電体板に向けて降下している。)。The elements on larger scale near the hole wall of a board | substrate accommodation hole (the tray is falling toward the dielectric plate). 基板収容孔の孔壁付近の部分拡大図(トレイは誘電体板のトレイ支持面に載置されている。)。The elements on larger scale near the hole wall of a board | substrate accommodation hole (a tray is mounted in the tray support surface of a dielectric material board). 図16の部分拡大図(トレイは誘電体板の上方に位置している。)。FIG. 17 is a partially enlarged view of FIG. 16 (the tray is located above the dielectric plate). 図16の部分拡大図(トレイは誘電体板に向けて降下している。)。FIG. 17 is a partially enlarged view of FIG. 16 (the tray is lowered toward the dielectric plate). 図16の部分拡大図(トレイは誘電体板のトレイ支持面に載置されている。)。FIG. 17 is a partially enlarged view of FIG. 16 (the tray is placed on the tray support surface of the dielectric plate). ポリイミドテープに関する代案の断面図。Sectional drawing of the alternative regarding a polyimide tape. ポリイミドテープに関する別の代案の断面図。Sectional drawing of another alternative regarding a polyimide tape. 第1の代案の基板支持部を有するトレイの部分平面図。The partial top view of the tray which has a board | substrate support part of the 1st alternative. 図23Aの線XXIII−XXIIIでの断面図。FIG. 23B is a cross-sectional view taken along line XXIII-XXIII in FIG. 23A. 図23Aの部分XXIII'の部分拡大斜視図。FIG. 23B is a partially enlarged perspective view of a part XXIII ′ in FIG. 23A. 第2の代案の基板支持部を有するトレイの部分平面図。The partial top view of the tray which has a board | substrate support part of the 2nd alternative. 図24Aの線XXIV−XXIVでの断面図。FIG. 24B is a cross-sectional view taken along line XXIV-XXIV in FIG. 24A. 図24Aの部分XXIV'の部分拡大斜視図。FIG. 24B is a partially enlarged perspective view of a part XXIV ′ in FIG. 24A. 第3の代案の基板支持部を有するトレイの部分平面図。The partial top view of the tray which has a board | substrate support part of the 3rd alternative. 図25Aの線XXV−XXVでの断面図。FIG. 25B is a cross-sectional view taken along line XXV-XXV in FIG. 25A. 図25Aの部分XXV'の部分拡大斜視図。FIG. 25B is a partially enlarged perspective view of a part XXV ′ in FIG. 25A. 第4の代案の基板支持部を有するトレイの部分平面図。The partial top view of the tray which has a board | substrate support part of the 4th alternative plan. 図26Aの線XXVI−XXVIでの断面図。FIG. 26B is a cross-sectional view taken along line XXVI-XXVI in FIG. 26A. 図26Aの部分XXVI'の部分拡大斜視図。FIG. 26B is a partially enlarged perspective view of a part XXVI ′ in FIG. 26A. 誘電体板の代案を示す平面図。The top view which shows the alternative of a dielectric material board. 図27Aの線XXVII−XXVIIでの拡大断面図。FIG. 27B is an enlarged sectional view taken along line XXVII-XXVII in FIG. 27A.

(第1実施形態)
図1及び図2は、本発明の第1実施形態に係るICP(誘導結合プラズマ)型のドライエッチング装置1を示す。
(First embodiment)
1 and 2 show an ICP (inductively coupled plasma) type dry etching apparatus 1 according to a first embodiment of the present invention.

ドライエッチング装置1は、その内部が基板2にドライエッチング(プラズマ処理)を行うエッチング室(処理室)を構成する減圧可能なチャンバ(真空容器)3を備える。チャンバ3の上端開口は石英等の誘電体からなる天板4により密閉状態で閉鎖されている。天板4上にはICPコイル5が配設されている。ICPコイル5にはマッチング回路6を介して、高周波電源7が電気的に接続されている。天板4と対向するチャンバ3内の底部側には、バイアス電圧が印加される下部電極としての機能及び基板2の保持台としての機能を有する基板サセプタ9が配設されている。チャンバ3には、隣接して設けられた搬送室を兼ねるロードドック室10(図2参照)と連通する開閉可能な搬入出用のゲート3aが設けられている。後に詳述するように、複数枚(本実施形態では4枚)の基板2を収容したトレイ15が、ゲート3aを通ってチャンバ3とロードロック室10との間で搬入出される。また、チャンバ3に設けられたエッチングガス供給口3bには、エッチングガス供給源12が接続されている。エッチングガス供給源12はMFC(マスフローコントローラ)等を備え、エッチングガス供給口3bから所望の流量でエッチングガスを供給できる。さらに、チャンバ3に設けられた排気口3cには、真空ポンプ等を備える真空排気装置13が接続されている。さらにまた、チャンバ3内には、基板サセプタ9を貫通し、かつ駆動装置17で駆動されて昇降する昇降ピン18が設けられている。   The dry etching apparatus 1 includes a chamber (vacuum container) 3 that can be decompressed and constitutes an etching chamber (processing chamber) in which dry etching (plasma processing) is performed on the substrate 2. The upper end opening of the chamber 3 is closed in a sealed state by a top plate 4 made of a dielectric material such as quartz. An ICP coil 5 is disposed on the top plate 4. A high frequency power source 7 is electrically connected to the ICP coil 5 via a matching circuit 6. A substrate susceptor 9 having a function as a lower electrode to which a bias voltage is applied and a function as a holding table for the substrate 2 is disposed on the bottom side in the chamber 3 facing the top plate 4. The chamber 3 is provided with a loading / unloading gate 3a which can communicate with a load dock chamber 10 (see FIG. 2) which also serves as a transfer chamber provided adjacently. As will be described in detail later, a tray 15 containing a plurality of (four in this embodiment) substrates 2 is carried in and out between the chamber 3 and the load lock chamber 10 through the gate 3a. An etching gas supply source 12 is connected to the etching gas supply port 3 b provided in the chamber 3. The etching gas supply source 12 includes an MFC (mass flow controller) or the like, and can supply an etching gas at a desired flow rate from the etching gas supply port 3b. Further, a vacuum exhaust device 13 including a vacuum pump or the like is connected to the exhaust port 3 c provided in the chamber 3. Furthermore, in the chamber 3, there are provided lifting pins 18 that pass through the substrate susceptor 9 and are driven by a driving device 17 to move up and down.

図2を参照すると、ロードロック室10には、ロードロック室10自体へのトレイ15の搬入出及びチャンバ3へのトレイ15の搬入出のために、水平方向の直進移動と水平面内での回転が可能な周知のダブルアーム型の搬送アーム(真空搬送アーム)16が収容されている。また、ロードロック室10は、真空引き及び大気開放のための機構(図示せず)を備える。ロードロック室10のチャンバ3とは反対側のゲート10aの外側には、アラインメント台71が配置されている。アラインメント台71の両側には、ドライエッチング前後の基板2を収容したトレイ15をそれぞれ格納するためのカセット72A,72Bが配置されている。アラインメント台71とカセット72A,72Bとの間のトレイ15の受け渡しのために、搬送アーム(大気搬送アーム)73が設けられている。   Referring to FIG. 2, the load lock chamber 10 includes a horizontal movement and rotation in a horizontal plane in order to carry the tray 15 into and out of the load lock chamber 10 itself and to carry the tray 15 into and out of the chamber 3. A well-known double-arm type transfer arm (vacuum transfer arm) 16 capable of performing the above is accommodated. The load lock chamber 10 includes a mechanism (not shown) for evacuation and release to the atmosphere. An alignment table 71 is disposed outside the gate 10 a on the opposite side of the load lock chamber 10 from the chamber 3. On both sides of the alignment table 71, cassettes 72 </ b> A and 72 </ b> B for storing the trays 15 storing the substrates 2 before and after dry etching are arranged. A transfer arm (atmospheric transfer arm) 73 is provided to transfer the tray 15 between the alignment table 71 and the cassettes 72A and 72B.

ロードロック室10からチャンバ3内へのトレイ15の搬入時には、図1において二点鎖線で示すように昇降ピン18は上昇位置にあり、ゲート3aからチャンバ3内に進入した搬送アーム16から昇降ピン18の上端に基板2を収容したトレイ15が移載される。この状態では、トレイ15は基板サセプタ9の上方に間隔をあけて位置している。続いて、昇降ピン18が図1において実線で示す降下位置に降下し、それによってトレイ15と基板2が基板サセプタ9上に載置される。この載置時には、基板2はトレイ15を介することなく直接的に基板サセプタ9上に載置される(基板2はトレイ15に対し非接触の状態となる)。一方、プラズマ処理終了後のチャンバ3からロードロック室10へのトレイ15の搬出時には、昇降ピン18が上昇位置まで上昇し、続いてロードドック室10からゲート3aを介してチャンバ3内に進入した搬送アーム16にトレイ15が移載される。   When the tray 15 is loaded from the load lock chamber 10 into the chamber 3, as shown by a two-dot chain line in FIG. 1, the elevating pin 18 is in the ascending position, and the elevating pin from the transfer arm 16 that has entered the chamber 3 from the gate 3a. A tray 15 accommodating the substrate 2 is transferred to the upper end of 18. In this state, the tray 15 is positioned above the substrate susceptor 9 with a gap. Subsequently, the elevating pins 18 are lowered to the lowered position indicated by the solid line in FIG. 1, whereby the tray 15 and the substrate 2 are placed on the substrate susceptor 9. At the time of this placement, the substrate 2 is placed directly on the substrate susceptor 9 without passing through the tray 15 (the substrate 2 is not in contact with the tray 15). On the other hand, when the tray 15 is unloaded from the chamber 3 to the load lock chamber 10 after the plasma processing is finished, the elevating pin 18 is raised to the raised position, and then enters the chamber 3 from the load dock chamber 10 via the gate 3a. The tray 15 is transferred to the transfer arm 16.

以下、図3Aから図4Cを参照して、基板2とトレイ15について概説する。   Hereinafter, the substrate 2 and the tray 15 will be outlined with reference to FIGS. 3A to 4C.

基板2は図3Aに示すように凸状に反ったものでも、図3Bに示すように反りを有しない平坦なものでもよい。図3Aに示す凸状の反りを有する基板2としては、例えば、LEDを製造するための、GaN、SiC、サファイア等の材料からなる基板に、GaNをエピタキシャル成長させてマスクとしてフォトレジストを形成した基板がある。300μm〜600μm程度の薄いサファイア基板に5〜10μm程度の厚みのGaNを、MOCVD等を用いて600℃〜1000℃の温度で成膜すると、サファイア基板と成膜の材料の線膨張係数差により成膜側が凸となる反りが生じる。この基板の場合の反り量δは3inch(76.2mm程度)の基板の場合で100μm程度である。本実施形態のドライエッチング装置1により、このようなGaN/サファイア基板に対して例えばコンタクト形成のためのGaN加工を施すことができる。基板2の反りは非軸対称であっても軸対称であってもよい。図3Bに示す反りのない平坦な基板2としては、例えばLEDを製造するための、マスクとしてフォトレジストを形成したサファイア基板がある。本実施形態のドライエッチング装置1により、このようなサファイア基板にLEDの高輝度化のための凹凸加工を施すことができる。ただし、本実施形態のドライエッチング装置1による加工対象となる基板2の材質はこれらに限定されない。   The substrate 2 may be warped in a convex shape as shown in FIG. 3A or may be flat without warping as shown in FIG. 3B. As a substrate 2 having a convex warpage shown in FIG. 3A, for example, a substrate made of a material such as GaN, SiC, sapphire, etc., for manufacturing an LED, by epitaxially growing GaN and forming a photoresist as a mask. There is. When GaN having a thickness of about 5 to 10 μm is formed on a thin sapphire substrate of about 300 μm to 600 μm at a temperature of 600 ° C. to 1000 ° C. using MOCVD or the like, the sapphire substrate is formed due to a difference in linear expansion coefficient between the material for the film formation. Warpage occurs in which the film side becomes convex. The warpage amount δ in the case of this substrate is about 100 μm in the case of a 3 inch (about 76.2 mm) substrate. With the dry etching apparatus 1 of the present embodiment, for example, GaN processing for contact formation can be performed on such a GaN / sapphire substrate. The warp of the substrate 2 may be non-axisymmetric or axisymmetric. As a flat substrate 2 with no warpage shown in FIG. 3B, for example, there is a sapphire substrate on which a photoresist is formed as a mask for manufacturing an LED. The dry etching apparatus 1 of the present embodiment can perform uneven processing for increasing the brightness of the LED on such a sapphire substrate. However, the material of the board | substrate 2 used as the process target by the dry etching apparatus 1 of this embodiment is not limited to these.

図4Aから図4Cを参照すると、トレイ15には基板2を収容するための厚み方向に貫通する基板収容孔19A〜19Iが形成されている。また、個々の基板収容孔19A〜19Iには収容された基板2を保持するための基板支持部21が設けられている。図4Aのトレイ15は円板状の基板2を収容するための4個の基板収容孔19A〜19Dを備える。一方、図4Bのトレイ15は円板状の基板2を収容するための7個の基板収容孔19A〜19Gを備える。例えば、トレイ15の直径が200mmである場合、図4Aに示すように直径3inchの基板2を収容するための4個の基板収容孔19A〜19Dをトレイ15に設けることができる。また、この場合、図4Bに示すように、直径2inch(50.8mm)の基板2を収容するための7個の基板収容孔19A〜19Gをトレイ15に設けることができる。トレイ15に収容される基板2は円板状のものに限定されず、矩形板状を含む他の形状であってもよい。例えば、図4Cのトレイ15には、矩形状の基板2を収容するための9個の基板収容孔19A〜19Iが設けられている。本実施形態では、基板2は円板状であり、トレイ15は図4Aに示すように円板状の基板2を収容するための4個の基板収容孔19A〜19Dを備える。   Referring to FIGS. 4A to 4C, substrate trays 19 </ b> A to 19 </ b> I penetrating in the thickness direction for housing substrate 2 are formed in tray 15. Each of the substrate accommodation holes 19A to 19I is provided with a substrate support portion 21 for holding the accommodated substrate 2. The tray 15 in FIG. 4A includes four substrate accommodation holes 19 </ b> A to 19 </ b> D for accommodating the disc-shaped substrate 2. On the other hand, the tray 15 in FIG. 4B includes seven substrate housing holes 19 </ b> A to 19 </ b> G for housing the disk-shaped substrate 2. For example, when the diameter of the tray 15 is 200 mm, as shown in FIG. 4A, four substrate accommodation holes 19 </ b> A to 19 </ b> D for accommodating the substrate 2 having a diameter of 3 inches can be provided in the tray 15. In this case, as shown in FIG. 4B, seven substrate accommodation holes 19 </ b> A to 19 </ b> G for accommodating the substrate 2 having a diameter of 2 inches (50.8 mm) can be provided in the tray 15. The board | substrate 2 accommodated in the tray 15 is not limited to a disk-shaped thing, Other shapes including a rectangular plate shape may be sufficient. For example, the tray 15 of FIG. 4C is provided with nine substrate accommodation holes 19A to 19I for accommodating the rectangular substrate 2. In this embodiment, the board | substrate 2 is disk shape, and the tray 15 is provided with four board | substrate accommodation holes 19A-19D for accommodating the disk shaped board | substrate 2 as shown to FIG. 4A.

以下、図5から図8Cを参照して、本実施形態におけるトレイ15について詳細に説明する。   Hereinafter, the tray 15 in this embodiment will be described in detail with reference to FIGS. 5 to 8C.

トレイ15は薄板円板状のトレイ本体15aを備える。トレイ15の材質としては、例えばアルミナ(Al2O3)、窒化アルミニウム(AlN)、ジルコニア(ZrO)、イットリア(Y2O3)、窒化シリコン(SiN)、炭化シリコン(SiC)等のセラミクス材や、アルマイトで被覆したアルミニウム、表面にセラミクスを溶射したアルミニウム、樹脂材料で被覆したアルミニウム等の金属がある。Cl系プロセスの場合にはアルミナ、イットリア、炭化シリコン、窒化アルミニウム等、F系プロセスの場合には石英、水晶、イットリア、炭化シリコン、アルマイトを溶射したアルミニウム等を採用することが考えられる。 The tray 15 includes a thin disc-shaped tray body 15a. Examples of the material of the tray 15 include ceramic materials such as alumina (Al 2 O 3 ), aluminum nitride (AlN), zirconia (ZrO), yttria (Y 2 O 3 ), silicon nitride (SiN), and silicon carbide (SiC). There are also metals such as aluminum coated with alumite, aluminum coated with ceramics on the surface, and aluminum coated with a resin material. It is conceivable to employ alumina, yttria, silicon carbide, aluminum nitride or the like in the case of a Cl-based process, and quartz or quartz, yttria, silicon carbide, aluminum which is sprayed with alumite, or the like in the case of an F-based process.

図5から図6Bに示すように、トレイ本体15aには、上面15bから下面15cまで厚み方向に貫通する4個の平面視で円形の基板収容孔19A〜19Dが設けられている。これらの基板収容孔19A〜19Dは、上面15b及び下面15cから見てトレイ本体15aの中心に対して等角度間隔で配置されている。また、トレイ本体15aには搬送アーム16(図2参照)が備える位置決め突起(図示せず)と係合する位置決め切欠15eが形成されている。   As shown in FIGS. 5 to 6B, the tray main body 15a is provided with four circular substrate housing holes 19A to 19D that penetrate in the thickness direction from the upper surface 15b to the lower surface 15c. These substrate accommodation holes 19A to 19D are arranged at equiangular intervals with respect to the center of the tray main body 15a when viewed from the upper surface 15b and the lower surface 15c. The tray body 15a is formed with a positioning notch 15e that engages with a positioning protrusion (not shown) provided in the transport arm 16 (see FIG. 2).

個々の基板収容孔19A〜19Dには基板支持部21が設けられている。図7Aから図7Cに最も明瞭に示すように、基板支持部21は基板収容孔19A〜19Dの孔壁15dのトレイ15の下面15c側から突出する環状部74を備える。基板収容孔19A〜19Dの孔壁15dは傾斜した壁面である。具体的には、孔壁15dは基板収容孔19A〜19Dの中心に向けて水平方向に対して傾斜角度α(例えば75°)を有している(図7B参照)。図7Aに最も明瞭に示すように、環状部74は孔壁15dの全周に設けられた幅狭の円環状である。また、環状部74の孔壁15dからの突出量は全周にわたって一定である。さらに、環状部74の上面74aは水平方向に延びる平坦面で、下面74bは先端面74c(基板収容孔19A〜19Dの中心)に向けて斜め上向きに傾斜した傾斜面である。   A substrate support portion 21 is provided in each of the substrate accommodation holes 19A to 19D. As shown most clearly in FIGS. 7A to 7C, the substrate support portion 21 includes an annular portion 74 that protrudes from the lower surface 15c side of the tray 15 of the hole wall 15d of the substrate accommodation holes 19A to 19D. The hole walls 15d of the substrate housing holes 19A to 19D are inclined wall surfaces. Specifically, the hole wall 15d has an inclination angle α (for example, 75 °) with respect to the horizontal direction toward the center of the substrate housing holes 19A to 19D (see FIG. 7B). As shown most clearly in FIG. 7A, the annular portion 74 is a narrow annular shape provided on the entire circumference of the hole wall 15d. Further, the protruding amount of the annular portion 74 from the hole wall 15d is constant over the entire circumference. Further, the upper surface 74a of the annular portion 74 is a flat surface extending in the horizontal direction, and the lower surface 74b is an inclined surface inclined obliquely upward toward the tip surface 74c (center of the substrate housing holes 19A to 19D).

基板支持部21は複数個(本実施形態では3個)の突起(基板接触部)76A,76B,76Cを備える。突起76A〜76Cは環状部74の上面74aに設けられている。図7Aに示すように、突起76A〜76Cは平面視で基板収容孔19A〜19Dの中心に対して等角度間隔(120°間隔)で配置されている。また、突起76A〜76Cは平面視で基板収容孔19A〜19Dの径方向に延びている。さらに、突起76A〜76Cは環状部74の幅全体に延在している。具体的には、突起76A〜76Cは、環状部74の上面74aと基板収容孔19A〜19Dの孔壁15dの接続位置から、環状部74の上面74aと先端面74cの接続位置まで延在している。   The substrate support portion 21 includes a plurality (three in this embodiment) of protrusions (substrate contact portions) 76A, 76B, and 76C. The protrusions 76 </ b> A to 76 </ b> C are provided on the upper surface 74 a of the annular portion 74. As shown in FIG. 7A, the protrusions 76A to 76C are arranged at equiangular intervals (120 ° intervals) with respect to the centers of the substrate housing holes 19A to 19D in plan view. Further, the protrusions 76A to 76C extend in the radial direction of the substrate housing holes 19A to 19D in plan view. Further, the protrusions 76 </ b> A to 76 </ b> C extend over the entire width of the annular portion 74. Specifically, the protrusions 76A to 76C extend from the connection position of the upper surface 74a of the annular portion 74 and the hole wall 15d of the substrate housing holes 19A to 19D to the connection position of the upper surface 74a and the tip end surface 74c of the annular portion 74. ing.

図7Cに最も明瞭に示すように、突起76A〜76Cは環状部74の上面74aから鉛直方向上向きに突出している。また、突起76A〜76Cは延在方向と直交する方向の断面が水平方向に細長い長方形状である。突起76A〜76Cの環状部74の上面74aからの突出量は延在方向全体で一定であり、突起76A〜76Cの上面76aは水平方向に延び平坦面である。突起76A〜76Cの寸法は、例えば幅が1mm〜2mm程度で、上面76aからの突出量が0.2mm〜0.5mmである。   As most clearly shown in FIG. 7C, the protrusions 76 </ b> A to 76 </ b> C protrude upward in the vertical direction from the upper surface 74 a of the annular portion 74. Further, the protrusions 76A to 76C have a rectangular shape whose cross section in the direction orthogonal to the extending direction is elongated in the horizontal direction. The protrusions of the protrusions 76A to 76C from the upper surface 74a of the annular portion 74 are constant throughout the extending direction, and the upper surfaces 76a of the protrusions 76A to 76C extend in the horizontal direction and are flat surfaces. The dimensions of the protrusions 76A to 76C are, for example, a width of about 1 mm to 2 mm and a protrusion amount from the upper surface 76a of 0.2 mm to 0.5 mm.

基板収容孔19A〜19Dに収容された基板2は、基板支持部21により支持される。詳細には、図7B、図8A、及び図8Bに示すように、基板収容孔19A〜19Dに収容された基板2の外周縁部の下面2aが突起76A〜76Cの上面76a上に載置され、それによって基板2が支持される。基板収容孔19A〜19Dに収容された基板2は、角度間隔を隔てて配置された3個の突起76A〜76Cの上面76aのみで基板支持部21(トレイ15)と接触する。基板収容孔19A〜19Dに収容された基板2の外周縁部の下面2aのうち突起76A〜76Cから外れた部分は、環状部74の上面74aに対して間隔を隔てて上方に位置し、基板支持部21(トレイ15)に対して非接触である。つまり、基板収容孔19A〜19Dに収容された基板2の外周縁部の下面2aは、周方向に間隔を隔てた3箇所で突起76A〜76Cの上面76aに接触することにより、反りを有する否か(図3A及び図3B参照)にかかわらず、点接触的な態様(3点支持)で基板支持部21に支持される。突起76A〜76Cと同様の突起を4個以上設けてもよい。   The substrate 2 accommodated in the substrate accommodation holes 19 </ b> A to 19 </ b> D is supported by the substrate support portion 21. Specifically, as shown in FIGS. 7B, 8A, and 8B, the lower surface 2a of the outer peripheral edge of the substrate 2 accommodated in the substrate accommodating holes 19A to 19D is placed on the upper surface 76a of the protrusions 76A to 76C. , Thereby supporting the substrate 2. The substrate 2 accommodated in the substrate accommodation holes 19A to 19D comes into contact with the substrate support portion 21 (tray 15) only by the upper surfaces 76a of the three protrusions 76A to 76C arranged at an angular interval. Of the lower surface 2a of the outer peripheral edge portion of the substrate 2 accommodated in the substrate accommodating holes 19A to 19D, the portion removed from the protrusions 76A to 76C is located above the upper surface 74a of the annular portion 74 with a gap therebetween. It is non-contact with respect to the support part 21 (tray 15). That is, the lower surface 2a of the outer peripheral edge portion of the substrate 2 accommodated in the substrate accommodation holes 19A to 19D has warpage due to contact with the upper surface 76a of the protrusions 76A to 76C at three positions spaced in the circumferential direction. Regardless of whether or not (see FIGS. 3A and 3B), it is supported by the substrate support portion 21 in a point-contact manner (three-point support). Four or more projections similar to the projections 76A to 76C may be provided.

基板2を基板収容孔19A〜19Dに収容する際には、トレイ15の上面15b側から基板2を基板収容孔19A〜19Dに入れる。この際、基板2の外周縁部(より具体的には下面2aと端面2bの接続部分のエッジ)が、水平方向に対して傾斜角度αを有する孔壁15dで案内される。この孔壁15dでの案内により、基板2は平面視での位置が位置合わせされ(図6A参照)、かつ水平な姿勢で基板収容孔19A〜19Dに収容される。その結果、基板2の外周縁部の下面2aの3箇所が確実に突起76A〜76Cの上面76aに載置される。   When the substrate 2 is accommodated in the substrate accommodation holes 19A to 19D, the substrate 2 is put into the substrate accommodation holes 19A to 19D from the upper surface 15b side of the tray 15. At this time, the outer peripheral edge portion of the substrate 2 (more specifically, the edge of the connection portion between the lower surface 2a and the end surface 2b) is guided by the hole wall 15d having an inclination angle α with respect to the horizontal direction. By the guide at the hole wall 15d, the position of the substrate 2 in plan view is aligned (see FIG. 6A), and is accommodated in the substrate accommodating holes 19A to 19D in a horizontal posture. As a result, the three positions of the lower surface 2a of the outer peripheral edge of the substrate 2 are surely placed on the upper surfaces 76a of the protrusions 76A to 76C.

次に、図1、図5、及び図9Aから図10Cを参照して、基板サセプタ9について説明する。まず、図1を参照すると、基板サセプタ9は、セラミクス等からなる誘電体板(誘電体部材)23、表面にアルマイト被覆を形成したアルミニウム等からなり、本実施形態ではペデスタル電極として機能する金属板(支持部材)24、セラミクス等からなるスペーサ板25、セラミクス等からなるガイド筒体26、及び金属製のアースシールド27を備える。基板サセプタ9の最上部を構成する誘電体板23は、金属板24の上面に固定されている。また、金属板24はスペーサ板25上に固定されている。さらに、誘電体板23と金属板24の外周をガイド筒26が覆い、その外側とスペーサ板25の外周をアースシールド27が覆っている。   Next, the substrate susceptor 9 will be described with reference to FIGS. 1, 5, and 9A to 10C. First, referring to FIG. 1, the substrate susceptor 9 is made of a dielectric plate (dielectric member) 23 made of ceramics or the like, aluminum having an alumite coating on the surface, etc., and in this embodiment, a metal plate that functions as a pedestal electrode (Support member) 24, a spacer plate 25 made of ceramics or the like, a guide cylinder 26 made of ceramics or the like, and a metal earth shield 27 are provided. The dielectric plate 23 constituting the uppermost part of the substrate susceptor 9 is fixed to the upper surface of the metal plate 24. The metal plate 24 is fixed on the spacer plate 25. Further, the guide cylinder 26 covers the outer periphery of the dielectric plate 23 and the metal plate 24, and the earth shield 27 covers the outer periphery thereof and the outer periphery of the spacer plate 25.

図5、及び図9Aから図10Cを参照すると、誘電体板23は全体として薄い円板状であり、平面視での外形が円形である。誘電体板23の上端面は、トレイ15の下面15cを支持するトレイ支持面(トレイ支持部)28を構成する。また、それぞれトレイ15の基板収容孔19A〜19Dと対応する短円柱状の4個の基板載置部29A〜29Dがトレイ支持面28から上向きに突出している。誘電体23は単体の部材であっても、厚み方向に分割された複数の部材からなる分割構造でもよい。   Referring to FIGS. 5 and 9A to 10C, the dielectric plate 23 has a thin disk shape as a whole, and has an outer shape in a plan view. The upper end surface of the dielectric plate 23 constitutes a tray support surface (tray support portion) 28 that supports the lower surface 15 c of the tray 15. Further, four short columnar substrate placement portions 29A to 29D respectively corresponding to the substrate accommodation holes 19A to 19D of the tray 15 protrude upward from the tray support surface 28. The dielectric 23 may be a single member or may have a divided structure including a plurality of members divided in the thickness direction.

基板載置部29A〜29Dの上端面は、基板2の下面2aが載置される基板載置面31を構成する。また、基板載置部29A〜29Dには、基板載置面31の外周縁から上向きに突出し、その上端面が基板2の下面2aを支持する円環状突出部32が設けられている。また、基板載置面31の円環状突出部32で囲まれた部分には、基板載置面31よりも十分径が小さい円柱状突起33が、均一に分布するように複数個設けられている。円環状突出部32のみでなく円柱状突起33の上端面も基板2の下面2aを支持する。   The upper end surfaces of the substrate placement portions 29A to 29D constitute a substrate placement surface 31 on which the lower surface 2a of the substrate 2 is placed. Further, the substrate placement portions 29 </ b> A to 29 </ b> D are provided with an annular protrusion 32 that protrudes upward from the outer peripheral edge of the substrate placement surface 31 and whose upper end surface supports the lower surface 2 a of the substrate 2. In addition, a plurality of columnar projections 33 having a sufficiently smaller diameter than the substrate mounting surface 31 are provided in a portion surrounded by the annular protrusion 32 of the substrate mounting surface 31 so as to be uniformly distributed. . Not only the annular protrusion 32 but also the upper end surface of the columnar protrusion 33 supports the lower surface 2 a of the substrate 2.

図8Aから図8Cを参照すると、基板載置部29A〜29Dの外径R1は、基板支持部21の環状部74の先端面74cで囲まれた円形開口36の径R2よりも小さく設定されている。従って、前述の搬入時にトレイ15が誘電体板23に向けて降下すると、個々の基板載置部29A〜29Dは対応する基板収容孔19A〜19Dにトレイ本体15aの下面15c側から進入し、トレイ15の下面15cは誘電体板23のトレイ支持面28上に載置される。また、トレイ本体15aの下面15cからの基板支持部21の上端(突起76A〜76Cの上面76a)までの高さH1は、トレイ支持面28からの基板載置面31までの高さH2よりも低く設定している。従って、トレイ15の下面15cがトレイ支持面28上に載置された状態では、基板2は基板載置部29A〜29Dの上端の基板載置面31で押し上げられ、トレイ15の基板支持部21(突起76A〜76C)から浮き上がっている。換言すれば、基板収容孔19A〜19Dに基板2を収容しているトレイ15を誘電体板23のトレイ支持面28上に載置すると、基板収容孔19A〜19Dに収容された基板2の下面2aは、基板支持部21の突起76A〜76Cの上面76aから浮き上がって所定量だけ上方に離間し(突起76A〜76Cに対して非接触となる。)、基板載置面31によって支持される。基板載置面31によって支持された基板2の外周縁部は、トレイ15、具体的には基板収容孔19A〜19Dの孔壁15d及び環状部74の上面74aに対して間隔をあけて臨んでいる。   8A to 8C, the outer diameter R1 of the substrate placement portions 29A to 29D is set to be smaller than the diameter R2 of the circular opening 36 surrounded by the tip surface 74c of the annular portion 74 of the substrate support portion 21. Yes. Accordingly, when the tray 15 is lowered toward the dielectric plate 23 at the time of carrying in, the individual substrate placement portions 29A to 29D enter the corresponding substrate accommodation holes 19A to 19D from the lower surface 15c side of the tray main body 15a, and the tray. The lower surface 15 c of 15 is placed on the tray support surface 28 of the dielectric plate 23. Further, the height H1 from the lower surface 15c of the tray body 15a to the upper end of the substrate support 21 (the upper surface 76a of the protrusions 76A to 76C) is higher than the height H2 from the tray support surface 28 to the substrate placement surface 31. It is set low. Therefore, in a state where the lower surface 15c of the tray 15 is placed on the tray support surface 28, the substrate 2 is pushed up by the substrate placement surface 31 at the upper end of the substrate placement portions 29A to 29D, and the substrate support portion 21 of the tray 15 is placed. It floats from (protrusions 76A to 76C). In other words, when the tray 15 accommodating the substrate 2 in the substrate accommodating holes 19A to 19D is placed on the tray support surface 28 of the dielectric plate 23, the lower surface of the substrate 2 accommodated in the substrate accommodating holes 19A to 19D. 2a floats from the upper surface 76a of the protrusions 76A to 76C of the substrate support portion 21 and is separated upward by a predetermined amount (not in contact with the protrusions 76A to 76C), and is supported by the substrate placement surface 31. The outer peripheral edge of the substrate 2 supported by the substrate placement surface 31 faces the tray 15, specifically, the hole wall 15 d of the substrate housing holes 19 </ b> A to 19 </ b> D and the upper surface 74 a of the annular portion 74 with a gap. Yes.

図1及び図10Aから図10Cを参照すると、誘電体板23の個々の基板載置部29A〜29Dの基板載置面31付近には単極型の静電吸着用電極40が内蔵されている。本実施形態では、これらの静電吸着用電極40は平板状である。静電吸着用電極40は電気的に互いに絶縁されており、直流電源41と調整用の抵抗42等を備える共通の直流電圧印加機構43から静電吸着用の直流電圧が印加される。静電吸着用電極は双極型でもよい。また、基板載置部29A〜29Dに共通して1個の静電吸着用電極を設けてもよい。   Referring to FIGS. 1 and 10A to 10C, a monopolar electrostatic attraction electrode 40 is built in the vicinity of the substrate placement surface 31 of each of the substrate placement portions 29A to 29D of the dielectric plate 23. . In the present embodiment, these electrostatic adsorption electrodes 40 have a flat plate shape. The electrostatic chucking electrodes 40 are electrically insulated from each other, and a DC voltage for electrostatic chucking is applied from a common DC voltage applying mechanism 43 including a DC power source 41 and an adjusting resistor 42. The electrode for electrostatic attraction may be a bipolar type. Moreover, you may provide one electrode for electrostatic attraction in common with substrate mounting part 29A-29D.

図5、図9A、図9B、及び図10Aから図10Cを参照すると、個々の基板載置部29A〜29Dの基板載置面31には、伝熱ガス(本実施形態ではヘリウム)の供給孔44が設けられている。これらの供給孔44は共通の伝熱ガス供給機構45(図1に図示する)に接続されている。伝熱ガス供給機構45は、伝熱ガス源(本実施形態ではヘリウムガス源)46、伝熱ガス源46から供給孔44に到る供給流路47、供給流路47の伝熱ガス源46側から順に設けられた流量計48、流量制御バルブ49、及び圧力計50を備える。また、伝熱ガス供給機構45は、供給流路47から分岐する排出流路51と、この排出流路51に設けられたカットオフバルブ52を備える。さらに、伝熱ガス供給機構45は、供給流路47の圧力計50よりも供給孔44側と排出流路51を接続するバイパス流路53を備える。個々の基板載置部29A〜29Dの基板載置面31とその上に載置された基板2の下面2aとの間、詳細には基板2の下面2aと円環状突出部32で囲まれた閉鎖された空間に、伝熱ガス供給機構45によって伝熱ガスが供給される。伝熱ガスの供給時にはカットオフバルブ52は閉弁され、伝熱ガス供給源46から供給路47を経て供給孔44へ伝熱ガスが送られる。流量計48と圧力計50で検出される供給流路47の流量及び圧力に基づき、後述するコントローラ63が流量制御バルブ49を制御する。一方、伝熱ガスの排出時にはカットオフバルブ52が開弁され、基板2の下面2aと基板載置面31の間の伝熱ガスは、供給孔44、供給流路47、及び排出流路51を経て排気口54から排気される。   Referring to FIGS. 5, 9A, 9B, and 10A to 10C, the heat transfer gas (helium in this embodiment) is provided in the substrate placement surface 31 of each of the substrate placement portions 29A to 29D. 44 is provided. These supply holes 44 are connected to a common heat transfer gas supply mechanism 45 (shown in FIG. 1). The heat transfer gas supply mechanism 45 includes a heat transfer gas source (in this embodiment, a helium gas source) 46, a supply channel 47 from the heat transfer gas source 46 to the supply hole 44, and a heat transfer gas source 46 in the supply channel 47. A flow meter 48, a flow control valve 49, and a pressure gauge 50 are provided in this order from the side. The heat transfer gas supply mechanism 45 includes a discharge flow channel 51 that branches from the supply flow channel 47 and a cut-off valve 52 provided in the discharge flow channel 51. Furthermore, the heat transfer gas supply mechanism 45 includes a bypass channel 53 that connects the supply channel 44 side to the discharge channel 51 with respect to the pressure gauge 50 of the supply channel 47. Between the substrate placement surface 31 of each of the substrate placement portions 29A to 29D and the lower surface 2a of the substrate 2 placed thereon, in detail, it is surrounded by the lower surface 2a of the substrate 2 and the annular protrusion 32. The heat transfer gas is supplied to the closed space by the heat transfer gas supply mechanism 45. When supplying the heat transfer gas, the cutoff valve 52 is closed, and the heat transfer gas is sent from the heat transfer gas supply source 46 to the supply hole 44 through the supply path 47. Based on the flow rate and pressure of the supply flow path 47 detected by the flow meter 48 and the pressure gauge 50, the controller 63 described later controls the flow rate control valve 49. On the other hand, when the heat transfer gas is discharged, the cut-off valve 52 is opened, and the heat transfer gas between the lower surface 2a of the substrate 2 and the substrate placement surface 31 passes through the supply hole 44, the supply flow path 47, and the discharge flow path 51. Then, the air is exhausted from the exhaust port 54.

金属板24には、プラズマ発生用の高周波電圧であるバイアス電圧を印加する高周波印加機構56が電気的に接続されている。高周波印加機構56は、高周波電源57とマッチング用の可変容量コンデンサ58とを備える。   A high frequency applying mechanism 56 that applies a bias voltage, which is a high frequency voltage for generating plasma, is electrically connected to the metal plate 24. The high frequency applying mechanism 56 includes a high frequency power source 57 and a matching variable capacitor 58.

また、金属板24を冷却する冷却機構59が設けられている。冷却機構59は金属板24内に形成された冷媒流路60と、温調された冷媒を冷媒流路60中で循環させる冷媒循環装置61とを備える。   Further, a cooling mechanism 59 for cooling the metal plate 24 is provided. The cooling mechanism 59 includes a refrigerant flow path 60 formed in the metal plate 24 and a refrigerant circulation device 61 that circulates the temperature-controlled refrigerant in the refrigerant flow path 60.

図1に示すコントローラ63は、流量計48及び圧力計50を含む種々のセンサや操作入力に基づいて、高周波電源7、エッチングガス供給源12、搬送アーム16,73、真空排気装置13、駆動装置17、直流電圧印加機構43、伝熱ガス供給機構45、高周波電圧印加機構56、及び冷却機構59を含むドライエッチング装置1全体の動作を制御する。   The controller 63 shown in FIG. 1 includes a high-frequency power source 7, an etching gas supply source 12, transfer arms 16, 73, a vacuum exhaust device 13, and a drive device based on various sensors and operation inputs including a flow meter 48 and a pressure gauge 50. 17, the operation of the entire dry etching apparatus 1 including the DC voltage application mechanism 43, the heat transfer gas supply mechanism 45, the high frequency voltage application mechanism 56, and the cooling mechanism 59 is controlled.

次に、本実施形態のドライエッチング装置1の動作を説明する。   Next, operation | movement of the dry etching apparatus 1 of this embodiment is demonstrated.

まず、トレイ15の基板収容孔19A〜19Dにそれぞれ基板2が収容される。トレイ15の基板支持部21で支持された基板2は、トレイ本体15aの下面側から見ると基板収容孔19A〜19Dによりトレイ本体15aの下面15cから露出している。また、基板収容孔19A〜19Dに収容された基板2は、外周縁部の下面2aがトレイ15の基板支持部21の3個の突起76A〜76Cの上面76aによって点接触的な態様で支持される。基板2を収容したトレイ15はカセット72Aに収納されている。   First, the board | substrate 2 is accommodated in the board | substrate accommodation holes 19A-19D of the tray 15, respectively. The substrate 2 supported by the substrate support portion 21 of the tray 15 is exposed from the lower surface 15c of the tray body 15a through the substrate housing holes 19A to 19D when viewed from the lower surface side of the tray body 15a. Further, the substrate 2 accommodated in the substrate accommodation holes 19A to 19D is supported in a point-contact manner by the lower surface 2a of the outer peripheral edge portion by the upper surfaces 76a of the three protrusions 76A to 76C of the substrate support portion 21 of the tray 15. The The tray 15 storing the substrate 2 is stored in a cassette 72A.

次に、搬送アーム73が4枚の基板2を収容したトレイ15をカセット72Aから取り出してアラインメント台71に載せる。アラインメント台71はトレイ15のアライメント調整を実行する。一方、ロードロック室10は大気開放される。   Next, the transfer arm 73 takes out the tray 15 containing the four substrates 2 from the cassette 72 </ b> A and places it on the alignment table 71. The alignment table 71 performs alignment adjustment of the tray 15. On the other hand, the load lock chamber 10 is opened to the atmosphere.

続いて、搬送アーム73がゲート10aを介してトレイ15をアラインメント台71からロードロック室10内に搬入する。トレイ15が搬入された後、ロードロック室10が真空引きされる。   Subsequently, the transfer arm 73 carries the tray 15 from the alignment table 71 into the load lock chamber 10 through the gate 10a. After the tray 15 is carried in, the load lock chamber 10 is evacuated.

次に、搬送アーム16がゲート3aを介してトレイ15をロードロック室10から真空排気装置13で減圧済みのチャンバ3内に搬入する。図1の二点鎖線で示すように、トレイ1は基板サセプタ9の上方に間隔をあけて配置される。   Next, the transfer arm 16 carries the tray 15 from the load lock chamber 10 into the chamber 3 that has been decompressed by the vacuum exhaust device 13 via the gate 3a. As shown by a two-dot chain line in FIG. 1, the tray 1 is disposed above the substrate susceptor 9 with a gap.

図10Aに示すように駆動装置17によって駆動された昇降ピン18が上昇し、搬送アーム16から昇降ピン18の上端にトレイ15が移載される。トレイ15の移載後、搬送アーム16はロードロック室10に戻り、ゲート3aが閉鎖される。   As shown in FIG. 10A, the lifting pins 18 driven by the driving device 17 are raised, and the tray 15 is transferred from the transfer arm 16 to the upper end of the lifting pins 18. After the transfer of the tray 15, the transfer arm 16 returns to the load lock chamber 10, and the gate 3a is closed.

上端にトレイ15を支持した昇降ピン18は、図1において二点鎖線で示す上昇位置から基板サセプタ9に向けて降下する。図8B、図8C、図10B、及び図10Cを参照すると、トレイ15は下面15cが基板サセプタ9の誘電体板23のトレイ支持面28まで降下し、トレイ15は誘電体板23のトレイ支持面28によって支持される。トレイ15がトレイ支持面28に向けて降下する際に、誘電体板23の基板載置部29A〜29Dがトレイ15の対応する基板収容孔19A〜19D内にトレイ15の下面15c側から進入する。トレイ15の下面15cがトレイ支持面28に近付くのに伴い、基板載置部29A〜29Dの先端の基板載置面31は基板収容孔19A〜19D内をトレイ15の上面15bに向かって進む。図8C及び図10Cに示すように、トレイ15の下面15cが誘電体板23のトレイ支持面28に載置されると、個々の基板収容孔19A〜19D内の基板2は基板載置部29A〜29Dによって基板支持部21の突起76A〜76Cの上面76aから持ち上げられる。詳細には、基板2はその下面2aが基板載置部29A〜29Dの基板載置面31に載置され、トレイ15の基板支持部21の突起76A〜76Cの上面76aに対して間隔を隔てて上方に配置される。   The raising / lowering pins 18 that support the tray 15 at the upper end are lowered toward the substrate susceptor 9 from the raised position indicated by a two-dot chain line in FIG. 8B, 8C, 10B, and 10C, the lower surface 15c of the tray 15 is lowered to the tray support surface 28 of the dielectric plate 23 of the substrate susceptor 9, and the tray 15 is the tray support surface of the dielectric plate 23. 28. When the tray 15 descends toward the tray support surface 28, the substrate placement portions 29A to 29D of the dielectric plate 23 enter the corresponding substrate accommodation holes 19A to 19D of the tray 15 from the lower surface 15c side of the tray 15. . As the lower surface 15c of the tray 15 approaches the tray support surface 28, the substrate placement surface 31 at the tip of the substrate placement portions 29A to 29D advances in the substrate accommodation holes 19A to 19D toward the upper surface 15b of the tray 15. As shown in FIGS. 8C and 10C, when the lower surface 15c of the tray 15 is placed on the tray support surface 28 of the dielectric plate 23, the substrate 2 in each of the substrate accommodation holes 19A to 19D becomes the substrate placement portion 29A. Is lifted from the upper surface 76a of the protrusions 76A to 76C of the substrate support 21 by .about.29D. Specifically, the lower surface 2a of the substrate 2 is placed on the substrate placement surface 31 of the substrate placement portions 29A to 29D, and is spaced from the upper surface 76a of the protrusions 76A to 76C of the substrate support portion 21 of the tray 15. Arranged above.

このようにトレイ15の基板収容孔19A〜19D内に基板載置部29A〜29Dが進入することにより、基板2は基板載置面31に載置される。従って、トレイ15に収容された4枚の基板2は、いずれも高い位置決め精度で基板載置部29A〜29Dの基板載置面31に載置される。   As described above, the substrate placement portions 29A to 29D enter the substrate accommodation holes 19A to 19D of the tray 15, so that the substrate 2 is placed on the substrate placement surface 31. Accordingly, the four substrates 2 accommodated in the tray 15 are all placed on the substrate placement surfaces 31 of the substrate placement portions 29A to 29D with high positioning accuracy.

続いて、高周波電源7からICPコイル5に高周波電圧を印加してプラズマを発生させる(着火)。   Subsequently, a high frequency voltage is applied from the high frequency power source 7 to the ICP coil 5 to generate plasma (ignition).

次に、誘電体板23に内蔵された静電吸着用電極40に対して直流電圧印加機構43から直流電圧が印加され、個々の基板載置部29A〜29Dの基板載置面31に基板2が静電吸着される。基板2の下面2aはトレイ15を介することなく基板載置面31上に直接載置されている。従って、基板2は基板載置面31に対して高い密着度で保持される。   Next, a DC voltage is applied from the DC voltage application mechanism 43 to the electrostatic attraction electrode 40 built in the dielectric plate 23, and the substrate 2 is applied to the substrate placement surfaces 31 of the individual substrate placement portions 29 </ b> A to 29 </ b> D. Is electrostatically adsorbed. The lower surface 2 a of the substrate 2 is directly placed on the substrate placement surface 31 without using the tray 15. Accordingly, the substrate 2 is held with a high degree of adhesion to the substrate placement surface 31.

さらに、個々の基板載置部29A〜29Dの円環状突出部32と基板2の下面2aで囲まれた空間に、供給孔44を通って伝熱ガス供給装置45から伝熱ガスが供給され、この空間に伝熱ガスが充填される。   Furthermore, the heat transfer gas is supplied from the heat transfer gas supply device 45 through the supply hole 44 to the space surrounded by the annular protrusions 32 of the individual substrate placement portions 29A to 29D and the lower surface 2a of the substrate 2. This space is filled with heat transfer gas.

その後、エッチングガス供給源12からチャンバ3内にエッチングガスが供給され、真空排気装置13によりチャンバ3内は所定圧力に維持される。また、高周波電源7からICPコイル5に印加する高周波電圧を上昇すると共に、高周波印加機構56により基板サセプタ9の金属板24にバイアス電圧を印加し、プラズマにより基板2をエッチングする。1枚のトレイ15で4枚の基板2を基板サセプタ9上に載置できるので、バッチ処理が可能である。   Thereafter, an etching gas is supplied from the etching gas supply source 12 into the chamber 3, and the inside of the chamber 3 is maintained at a predetermined pressure by the vacuum exhaust device 13. Further, the high frequency voltage applied from the high frequency power source 7 to the ICP coil 5 is increased, and a bias voltage is applied to the metal plate 24 of the substrate susceptor 9 by the high frequency application mechanism 56 to etch the substrate 2 by plasma. Since four substrates 2 can be placed on the substrate susceptor 9 with one tray 15, batch processing is possible.

エッチング中は、冷媒循環装置61によって冷媒流路60中で冷媒を循環させて金属板24を冷却し、それによって誘電体板23及び誘電体板23の基板載置面31に保持された基板2を冷却する。前述のように、基板2はその下面2aがトレイ15を介することなく基板載置面31に直接載置され、高い密着度で保持されている。従って、円環状突出部32と基板2の下面2aで囲まれた伝熱ガスが充填されている空間の密閉度が高く、伝熱ガスを介した基板2と基板載置面31との間の熱伝導性が良好である。その結果、個々の基板載置部29A〜29Dの基板載置面31に保持された基板2を高い冷却効率で冷却できるので、高い高周波パワーを供給してドライエッチングの効率を向上できる。また、基板2の温度を高精度で制御できる。また、個々の基板2毎に基板載置部29A〜29Dの円環状突出部32と下面2aで囲まれた空間に伝熱ガスが充填される。換言すれば、伝熱ガスが充填される空間は個々の基板2毎に異なる。この点でも個々の基板2と誘電体板23の基板載置面31との熱伝導性が良好であり、高い冷却効率と高精度の温度制御を実現できる。   During the etching, the refrigerant is circulated in the refrigerant flow path 60 by the refrigerant circulation device 61 to cool the metal plate 24, thereby the substrate 2 held on the dielectric plate 23 and the substrate mounting surface 31 of the dielectric plate 23. Cool down. As described above, the lower surface 2a of the substrate 2 is directly placed on the substrate placement surface 31 without the tray 15 and is held with a high degree of adhesion. Therefore, the sealing degree of the space filled with the heat transfer gas surrounded by the annular protrusion 32 and the lower surface 2a of the substrate 2 is high, and the space between the substrate 2 and the substrate placement surface 31 through the heat transfer gas is high. Good thermal conductivity. As a result, since the substrate 2 held on the substrate placement surfaces 31 of the individual substrate placement portions 29A to 29D can be cooled with high cooling efficiency, high high-frequency power can be supplied to improve dry etching efficiency. Further, the temperature of the substrate 2 can be controlled with high accuracy. In addition, a heat transfer gas is filled in the space surrounded by the annular protrusion 32 and the lower surface 2a of the substrate placement portions 29A to 29D for each individual substrate 2. In other words, the space filled with the heat transfer gas is different for each substrate 2. Also in this respect, the thermal conductivity between the individual substrates 2 and the substrate mounting surface 31 of the dielectric plate 23 is good, and high cooling efficiency and high-accuracy temperature control can be realized.

誘電体板23は冷却循環装置61で冷却される金属板24との熱伝導により冷却される。しかし、誘電体板23のトレイ支持面28と、その上に載置されたトレイ15の下面15cは、表面粗度が比較的大きく、いずれも6μm〜10μm程度の凹凸を有する(図14Aから図14Cに誇張して示す。)。このように表面粗度の比較的大きい2つの面(トレイ支持面28と下面15c)が微視的に視ると点接触的な態様で接触するため、トレイ15と誘電体板23との間の熱伝導性は、静電吸着と伝熱ガスの供給を行っている基板2と誘電体板23との間の熱伝導性と比較すると大幅に低い。従って、トレイ15の冷却効率は基板2の冷却効率よりも低く、トレイ15はプラズマからの熱吸収により基板2よりも大幅に高温となる。例えば、基板2の温度を50℃〜100℃程度に制御した場合でも、エッチング処理中のトレイ15の温度は250℃以上程度まで上昇する。   The dielectric plate 23 is cooled by heat conduction with the metal plate 24 cooled by the cooling circulation device 61. However, the tray support surface 28 of the dielectric plate 23 and the lower surface 15c of the tray 15 placed thereon have a relatively large surface roughness, and both have irregularities of about 6 μm to 10 μm (see FIG. 14A to FIG. 14A). 14C is exaggerated.) Since the two surfaces (the tray support surface 28 and the lower surface 15c) having a relatively large surface roughness come into contact in a point-contact manner when viewed microscopically in this manner, the space between the tray 15 and the dielectric plate 23 is The thermal conductivity of is significantly lower than the thermal conductivity between the substrate 2 and the dielectric plate 23 that perform electrostatic adsorption and supply of heat transfer gas. Therefore, the cooling efficiency of the tray 15 is lower than the cooling efficiency of the substrate 2, and the tray 15 becomes significantly hotter than the substrate 2 due to heat absorption from the plasma. For example, even when the temperature of the substrate 2 is controlled to about 50 ° C. to 100 ° C., the temperature of the tray 15 during the etching process rises to about 250 ° C. or more.

エッチング終了後、高周波電源7からICPコイル5への高周波電圧の印加と、高周波印加機構56から金属板24へのバイアス電圧の印加を停止する。続いて、真空排気装置13によりエッチングガスをチャンバ3内から排気する。また、伝熱ガス供給機構45により基板載置面31と基板2の下面2aから伝熱ガスを排気する。さらに、直流電圧印加機構43から静電吸着用電極40への直流電圧の印加を停止して基板2の静電吸着を解除する。また、昇降ピン18の突き上げ動作によりトレイ15と基板2を除電する。   After the etching is finished, the application of the high frequency voltage from the high frequency power source 7 to the ICP coil 5 and the application of the bias voltage from the high frequency application mechanism 56 to the metal plate 24 are stopped. Subsequently, the etching gas is exhausted from the chamber 3 by the vacuum exhaust device 13. Further, the heat transfer gas is exhausted from the substrate placement surface 31 and the lower surface 2 a of the substrate 2 by the heat transfer gas supply mechanism 45. Further, the application of the DC voltage from the DC voltage application mechanism 43 to the electrostatic chucking electrode 40 is stopped to release the electrostatic chucking of the substrate 2. Further, the tray 15 and the substrate 2 are neutralized by pushing up the lifting pins 18.

除電後、昇降ピン18が上昇し、その上端でトレイ15の下面15cが押し上げられ誘電体板23のトレイ支持面28から浮き上がる。昇降ピン18と共にトレイ15がさらに上昇すると、図8B及び図10Bに示すように、トレイ15の基板支持部21の突起76A〜76Cにより基板2の下面2aが押し上げられ、基板2は基板載置部29A〜29Dの基板載置面31から浮き上がる。つまり、トレイ15が上昇することで、基板載置部29A〜29Dからトレイ15の基板収容孔19A〜19Dへ基板2が受け渡される。昇降ピン18は図1において二点鎖線で示す上昇位置に上昇する。   After static elimination, the elevating pin 18 is raised, and the lower surface 15c of the tray 15 is pushed up at the upper end thereof, and is lifted from the tray support surface 28 of the dielectric plate 23. When the tray 15 is further lifted together with the lift pins 18, the lower surface 2a of the substrate 2 is pushed up by the protrusions 76A to 76C of the substrate support portion 21 of the tray 15, as shown in FIGS. 8B and 10B. It floats from the substrate mounting surface 31 of 29A-29D. That is, when the tray 15 is raised, the substrate 2 is transferred from the substrate placement portions 29 </ b> A to 29 </ b> D to the substrate accommodation holes 19 </ b> A to 19 </ b> D of the tray 15. The raising / lowering pin 18 rises to a raised position indicated by a two-dot chain line in FIG.

その後、ゲート3aを通ってロードドック室10からチャンバ3内に進入した搬送アーム16に、トレイ15が移載される。トレイ15は搬送アーム16によってチャンバ3からロードドック室10へ搬出される。   Thereafter, the tray 15 is transferred to the transfer arm 16 that has entered the chamber 3 from the load dock chamber 10 through the gate 3a. The tray 15 is carried out from the chamber 3 to the load dock chamber 10 by the transfer arm 16.

トレイ15を搬入した後にロードロック室10を大気開放する(ロードロック室10内を真空環境から大気環境に切り換える。)。その後、搬送アーム16がゲート10aを介してトレイ15をロードロック室10からアラインメント台71へ搬出する。最後に、搬送アーム73がアラインメント台71のトレイ15をカセット72Bに収納する。   After loading the tray 15, the load lock chamber 10 is opened to the atmosphere (the inside of the load lock chamber 10 is switched from the vacuum environment to the atmospheric environment). Thereafter, the transfer arm 16 carries the tray 15 from the load lock chamber 10 to the alignment table 71 through the gate 10a. Finally, the transfer arm 73 stores the tray 15 of the alignment table 71 in the cassette 72B.

前述のようにドライエッチング終了後のトレイ15は基板2と比較して大幅に高温となっている。また、トレイ15を搬入後にロードロック室10を大気開放して大気環境とすると、真空環境と比較してトレイ15と基板2との間の熱伝導効率は大幅に高くなる。しかし、トレイ15の基板収容孔19A〜19Dに収容された基板2は、基板支持部21に対して面接触的な態様で支持されるのではなく、3個の突起76A〜76Cによって点接触的な態様で基板支持部21に支持される。つまり、基板収容孔19A〜19Dに収容された基板2とトレイ15の基板支持部21との接触面積が小さので、トレイ15から基板2への熱伝導が抑制される。従って、ドライエッチング後にチャンバ3からトレイ15を搬入したロードロック室10を大気開放したときの、トレイ15からの熱伝導による基板2(特に外周縁部)の温度上昇を低減できる。   As described above, the tray 15 after the dry etching is significantly hotter than the substrate 2. Further, when the load lock chamber 10 is opened to the atmosphere after the tray 15 is loaded, the heat conduction efficiency between the tray 15 and the substrate 2 is significantly higher than the vacuum environment. However, the substrate 2 accommodated in the substrate accommodation holes 19 </ b> A to 19 </ b> D of the tray 15 is not supported in a surface-contact manner with respect to the substrate support portion 21, but is point-contacted by the three protrusions 76 </ b> A to 76 </ b> C. In this manner, the substrate is supported by the substrate support portion 21. That is, since the contact area between the substrate 2 accommodated in the substrate accommodation holes 19A to 19D and the substrate support portion 21 of the tray 15 is small, heat conduction from the tray 15 to the substrate 2 is suppressed. Therefore, the temperature rise of the substrate 2 (particularly the outer peripheral edge) due to heat conduction from the tray 15 when the load lock chamber 10 carrying the tray 15 from the chamber 3 is opened to the atmosphere after dry etching can be reduced.

このように本実施形態のドライエッチング装置1は、ドライエッチング後におけるトレイ15からの熱伝導に起因する基板2の温度上昇を低減できるので、放熱や熱伝導等によるトレイ15の冷却の放熱のためにドライエッチング後もトレイ15をチャンバ3内で待機させる時間(待機時間)を設ける必要がなく、スループットを向上できる。   As described above, the dry etching apparatus 1 of the present embodiment can reduce the temperature rise of the substrate 2 due to heat conduction from the tray 15 after dry etching. In addition, it is not necessary to provide a time (standby time) for waiting the tray 15 in the chamber 3 even after dry etching, and throughput can be improved.

また、トレイ15の基板支持部21に突起76A〜76Cを設け、これらの突起76A〜76Cをトレイ15の下面15cに点接触的な態様で接触させるだけの比較的簡易な構成で、ドライエッチング後におけるトレイ15からの熱伝導に起因する基板2の温度上昇低減を実現できる。そのため、トレイ15を冷却するためにチャンバ3外の真空中にドライエッチング後のトレイ15を冷却するための冷却室を設ける必要もない。この点で、装置の簡素化とコスト減を実現できる。   In addition, the substrate support portion 21 of the tray 15 is provided with protrusions 76A to 76C, and these protrusions 76A to 76C are contacted to the lower surface 15c of the tray 15 in a point-contact manner. The temperature rise reduction of the board | substrate 2 resulting from the heat conduction from the tray 15 in can be implement | achieved. Therefore, it is not necessary to provide a cooling chamber for cooling the tray 15 after dry etching in the vacuum outside the chamber 3 in order to cool the tray 15. In this respect, simplification of the apparatus and cost reduction can be realized.

トレイ15を繰り返して基板2のドライエッチングに使用すると、トレイ15自体がエッチングされることによる削れが、図8Cにおいて二点鎖線で示すように進行する。基板2の端面2bとトレイ15の孔壁15bの隙間の寸法大きい場合、特に、図8Cにおいて符号Aで示す基板収容孔19A〜19Dの孔壁15dと環状部74の上面74aの接続部分での削れの進行が著しい。しかし、本実施形態では、削れの進行が著しい部分Aで基板収容孔19A〜19Dに収容された基板2をトレイ15に支持しているのではなく、突起76A〜76Cの上面76aで基板2をトレイ15に支持している。従って、トレイ15自体の削れの進行が基板2の支持精度に対して及ぼす影響が小さく、トレイ15の使用寿命が長い。   When the tray 15 is repeatedly used for dry etching of the substrate 2, scraping due to the etching of the tray 15 itself proceeds as shown by a two-dot chain line in FIG. 8C. When the dimension of the gap between the end surface 2b of the substrate 2 and the hole wall 15b of the tray 15 is large, in particular, at the connection portion between the hole wall 15d of the substrate housing holes 19A to 19D indicated by reference numeral A in FIG. 8C and the upper surface 74a of the annular portion 74. The progress of shaving is remarkable. However, in this embodiment, the substrate 2 accommodated in the substrate accommodation holes 19A to 19D is not supported by the tray 15 in the portion A where the progress of the sharpening is significant, but the substrate 2 is supported by the upper surface 76a of the protrusions 76A to 76C. It is supported by the tray 15. Therefore, the influence of the progress of the cutting of the tray 15 itself on the support accuracy of the substrate 2 is small, and the service life of the tray 15 is long.

(第2実施形態)
図11から図15Cに示す本発明の第2実施形態では、基板2の下面2aを点接触的な態様でトレイ15に支持するための突起76A〜76Cを設ける代わりに、トレイ15の下面15cにポリイミドテープ91を貼り付けている。ポリイミドテープ91の貼り付けは、真空貼付と熱圧着のいずれか一方又は両方の手法により行うことができる。ポリイミドテープ91はポリイミド製のテープ基材(伝熱材層)92と、このテープ基材92の一方の面に形成された接着材層93を備える。熱圧着する場合は、接着材層93がなくても良く、これにより長期間使用する場合のポリイミドテープ91が熱圧着されているトレイ15の下面15cのエッジから接着材層が剥れる等の問題が生じない。トレイ15の下面15cとテープ基材92との間に接着材層93が介在している。真空貼付による貼り付けの場合、ポリイミドテープ91とトレイ15の下面15cとの間に気泡等が存在せず、両者の密着度が高い。そのため、トレイ15とポリイミドテープ91との間の熱伝導性が良好である。図12において、二点鎖線で示すように、ポリイミドテープ91は、誘電体板23の基板載置部29A〜29C及び昇降ピン18の突出位置に開口が形成された円板状である。
(Second Embodiment)
In the second embodiment of the present invention shown in FIGS. 11 to 15C, instead of providing the protrusions 76A to 76C for supporting the lower surface 2a of the substrate 2 on the tray 15 in a point contact manner, the lower surface 15c of the tray 15 is provided. A polyimide tape 91 is attached. The polyimide tape 91 can be attached by either one or both of vacuum attachment and thermocompression bonding. The polyimide tape 91 includes a polyimide tape base material (heat transfer material layer) 92 and an adhesive layer 93 formed on one surface of the tape base material 92. In the case of thermocompression bonding, there is no need for the adhesive layer 93, which causes problems such as peeling of the adhesive layer from the edge of the lower surface 15c of the tray 15 to which the polyimide tape 91 is thermocompression bonded when used for a long time. Does not occur. An adhesive layer 93 is interposed between the lower surface 15 c of the tray 15 and the tape base material 92. In the case of pasting by vacuum pasting, there are no bubbles or the like between the polyimide tape 91 and the lower surface 15c of the tray 15, and the degree of adhesion between them is high. Therefore, the thermal conductivity between the tray 15 and the polyimide tape 91 is good. In FIG. 12, as indicated by a two-dot chain line, the polyimide tape 91 has a disk shape in which openings are formed at the protruding positions of the substrate mounting portions 29 </ b> A to 29 </ b> C of the dielectric plate 23 and the lifting pins 18.

ポリイミドは耐熱性、絶縁性、柔軟性、耐プラズマ性、及び耐Cl性が良好である点でテープ基材92の材質として適している。これらの性質が良好である他の樹脂材料をテープ基材92の材質として採用してもよい。例えば、ポリテトラフルオロエチレン(テフロン(登録商標))も、耐熱性、絶縁性等の特性がテープ基材92の材質として適している。また、ポリイミドテープ91等の樹脂テープの真空貼付に代えて、前述の性質を有する樹脂材料の層を溶射等によってトレイ15の下面15cに直接的形成してもよい。テープ基材92の厚みは20μm〜50μm程度である。   Polyimide is suitable as a material for the tape substrate 92 in that it has good heat resistance, insulation, flexibility, plasma resistance, and Cl resistance. Other resin materials having good properties may be used as the material of the tape base 92. For example, polytetrafluoroethylene (Teflon (registered trademark)) is also suitable as a material for the tape base material 92 because of its heat resistance and insulating properties. Further, instead of vacuum bonding of a resin tape such as polyimide tape 91, a layer of a resin material having the above-described properties may be directly formed on the lower surface 15c of the tray 15 by thermal spraying or the like. The thickness of the tape base material 92 is about 20 μm to 50 μm.

図13Bに最も明瞭に示すように、基板支持部21は突起76A〜76C(図7C参照)を備えていない。図13A、図14A、及び図14Bに最も明瞭に示すように、基板収容孔19A〜19Dに収容された基板2は、外周縁部の下面2aが環状部74の上面74aに載置されることで支持される。   As shown most clearly in FIG. 13B, the substrate support portion 21 does not include the protrusions 76A to 76C (see FIG. 7C). As most clearly shown in FIGS. 13A, 14A, and 14B, the substrate 2 accommodated in the substrate accommodating holes 19A to 19D has the lower surface 2a of the outer peripheral edge portion placed on the upper surface 74a of the annular portion 74. Supported by

ロードロック室10からチャンバ3内に搬入された基板2を収容したトレイ15は、図15Aに示すように昇降ピン18の上端で支持され、昇降ピン18の降下に伴って基板サセプタ9に向けて降下する。図14B、図14C、図15B、及び図15Cを参照すると、トレイ15はポリイミドテープ91を貼り付けた下面15cが誘電体板23のトレイ支持面28に載るまで降下し、トレイ15はポリイミドテープ91を介してトレイ支持面28によって支持される。この状態では、基板2はトレイ15の基板支持部21の環状部74の上面74aより所定量離間して、基板載置部29A〜29Cの基板載置面31上に受け渡され支持される。   The tray 15 that accommodates the substrate 2 carried into the chamber 3 from the load lock chamber 10 is supported by the upper end of the lift pins 18 as shown in FIG. 15A and is directed toward the substrate susceptor 9 as the lift pins 18 are lowered. Descend. 14B, 14C, 15B, and 15C, the tray 15 is lowered until the lower surface 15c to which the polyimide tape 91 is attached is placed on the tray support surface 28 of the dielectric plate 23, and the tray 15 is moved to the polyimide tape 91. Is supported by the tray support surface 28. In this state, the substrate 2 is separated from the upper surface 74a of the annular portion 74 of the substrate support portion 21 of the tray 15 by a predetermined amount, and is transferred and supported on the substrate placement surfaces 31 of the substrate placement portions 29A to 29C.

直流電圧印加機構43から静電吸着用電極40に対する直流電圧の印加により基板2を基板載置面31に静電吸着する。プラズマを発生させ、基板サセプタ9の金属板24にバイアス電圧を印加すると、基板サセプタ9の誘電体板23のトレイ支持面28により下面15cを支持されているトレイ15上にマイナスのシース電位が生じ、絶縁性を有するポリイミドテープ91(ポリイミド製のテープ基材92)内の電位が分極し、その結果トレイ15は誘電体板23のトレイ支持面28に自己静電吸着する。この自己静電吸着によりトレイ15の下面15cがトレイ支持面28に押し付けられる。   The substrate 2 is electrostatically attracted to the substrate mounting surface 31 by applying a DC voltage from the DC voltage application mechanism 43 to the electrostatic attraction electrode 40. When plasma is generated and a bias voltage is applied to the metal plate 24 of the substrate susceptor 9, a negative sheath potential is generated on the tray 15 whose lower surface 15 c is supported by the tray support surface 28 of the dielectric plate 23 of the substrate susceptor 9. The electric potential in the insulating polyimide tape 91 (polyimide tape base material 92) is polarized, and as a result, the tray 15 is self-electrostatically attracted to the tray support surface 28 of the dielectric plate 23. Due to this self-electrostatic adsorption, the lower surface 15 c of the tray 15 is pressed against the tray support surface 28.

図14Aから図14Cに誇張して示すように、誘電体板23のトレイ支持面28は表面粗度が比較的大きく、6μm〜10μm程度の凹凸を有する。しかし、トレイ15の下面15cにはトレイ15を構成するアルミナ等の材料と比較して大幅に柔軟性が高いポリイミドテープ91が真空貼付されている。そのため、自己静電吸着によって押し付けられたトレイ15の下面15cは、ポリイミドテープ91(特にテープ基材92)が変形することで凹凸を有するトレイ支持面28に対して密着する。つまり、ポリイミドテープ91が介在することで、トレイ15の下面15cはトレイ支持面28に対して点接触的な態様で接触するのではなく、トレイ支持面28に対する接触面積が大きく、かつ密着度も高い。そのため、トレイ15と誘電体板23との間の熱伝導性が良好である。また、前述のようにポリイミドテープ91は真空貼付しているのでトレイ15との間の熱伝導性も良好である。このようにトレイ15とポリイミドテープ91の熱伝導性と、ポリイミドテープ91と誘電体板23(トレイ支持面28)の熱伝導性がいずれも良好である。その結果、ドライエッチング中にプラズマからトレイ15が吸収した熱は、ポリイミドテープ91を介して誘電体板23(冷却循環装置61で冷却される金属板24との熱伝導により冷却されている。)に良好な熱伝導効率で伝わり、トレイ15が効果的に冷却される。例えば、基板2の温度を50℃〜100℃程度に制御した場合、効果的な冷却によりエッチング終了時のトレイ15の温度上昇は150℃〜200℃程度に低減される。仮にポリイミドテープ91を介さずにトレイ15を誘電体板23に載置させたとすると、エッチング処理中のトレイ15の温度は250℃以上程度まで上昇する。   As exaggeratedly shown in FIGS. 14A to 14C, the tray support surface 28 of the dielectric plate 23 has a relatively large surface roughness and has irregularities of about 6 μm to 10 μm. However, a polyimide tape 91 is applied to the lower surface 15c of the tray 15 by vacuum, which is significantly more flexible than the material such as alumina constituting the tray 15. Therefore, the lower surface 15c of the tray 15 pressed by the self-electrostatic adsorption is brought into close contact with the tray support surface 28 having irregularities when the polyimide tape 91 (particularly the tape base 92) is deformed. That is, by interposing the polyimide tape 91, the lower surface 15c of the tray 15 does not contact the tray support surface 28 in a point contact manner, but has a large contact area with the tray support surface 28 and also has a close contact degree. high. Therefore, the thermal conductivity between the tray 15 and the dielectric plate 23 is good. Moreover, since the polyimide tape 91 is vacuum-applied as described above, the thermal conductivity with the tray 15 is also good. Thus, the thermal conductivity of the tray 15 and the polyimide tape 91 and the thermal conductivity of the polyimide tape 91 and the dielectric plate 23 (tray support surface 28) are both good. As a result, the heat absorbed by the tray 15 from the plasma during dry etching is cooled by the heat conduction with the dielectric plate 23 (the metal plate 24 cooled by the cooling circulation device 61) via the polyimide tape 91. Therefore, the tray 15 is effectively cooled. For example, when the temperature of the substrate 2 is controlled to about 50 ° C. to 100 ° C., the temperature rise of the tray 15 at the end of etching is reduced to about 150 ° C. to 200 ° C. due to effective cooling. If the tray 15 is placed on the dielectric plate 23 without using the polyimide tape 91, the temperature of the tray 15 during the etching process rises to about 250 ° C. or higher.

エッチング終了後、トレイ15はロードロック室10に搬送され、さらにロードロック室10が大気開放される。この大気開放により、トレイ15と基板2との間の熱伝導効率は大幅に高くなる。しかし、ドライエッチング中のトレイ15自体の温度上昇が抑制されているので、大気開放後のトレイ15からの熱伝導による基板2(特に外周縁部)の温度上昇を低減できる。   After the etching is completed, the tray 15 is transferred to the load lock chamber 10 and the load lock chamber 10 is opened to the atmosphere. By this release to the atmosphere, the heat conduction efficiency between the tray 15 and the substrate 2 is significantly increased. However, since the temperature rise of the tray 15 itself during dry etching is suppressed, the temperature rise of the substrate 2 (particularly the outer peripheral edge) due to heat conduction from the tray 15 after being released to the atmosphere can be reduced.

このように本実施形態のドライエッチング装置1は、ドライエッチング後におけるトレイ15からの熱伝導に起因する基板2の温度上昇を低減できるので、放熱や熱伝導等によるトレイ15の冷却のためにドライエッチング後のトレイ15の待機時間を設ける必要がなく、スループットを向上できる。   As described above, the dry etching apparatus 1 according to the present embodiment can reduce the temperature rise of the substrate 2 due to heat conduction from the tray 15 after dry etching. It is not necessary to provide a waiting time for the tray 15 after etching, and throughput can be improved.

また、トレイ15の下面15cにポリイミドテープ91を真空貼付するだけの比較簡易な構成でドライエッチング後におけるトレイ15からの熱伝導に起因する基板2の温度上昇低減を実現でき、トレイ15を冷却するためにチャンバ3外の真空中にドライエッチング後のトレイ15を冷却するための冷却室を設ける必要もない。この点で、装置の簡素化とコスト減を実現できる。   Moreover, the temperature rise of the substrate 2 caused by heat conduction from the tray 15 after dry etching can be reduced with a comparatively simple configuration in which the polyimide tape 91 is vacuum-applied to the lower surface 15c of the tray 15, and the tray 15 is cooled. Therefore, it is not necessary to provide a cooling chamber for cooling the tray 15 after dry etching in a vacuum outside the chamber 3. In this respect, simplification of the apparatus and cost reduction can be realized.

1枚のトレイ15を繰り返してエッチング処理に使用した場合、そのトレイ15についてエッチング処理による温度上昇及び温度低下のサイクルが繰り返される。しかし、本実施形態では、トレイ15自体が冷却されるため、1枚のトレイ15を繰り返してエッチングに使用した場合でも温度の上昇と低下のサイクルにより生じる温度差(絶対値)を小さくできる。その結果、トレイ15を長期間してエッング処理を繰り返した場合でも、温度昇降のサイクルが繰り返されることによるトレイ15の撓みや損傷が発生しにくい。また、トレイ15自体が冷却されるので、エッチングされることによりトレイ15の削れの進行を抑制できる。これらの点で、トレイ15の使用寿命を延ばす効果がある。   When one tray 15 is repeatedly used for the etching process, a cycle of temperature rise and temperature drop due to the etching process is repeated for the tray 15. However, in the present embodiment, since the tray 15 itself is cooled, even when one tray 15 is repeatedly used for etching, a temperature difference (absolute value) generated by a temperature increase and decrease cycle can be reduced. As a result, even when the aging process is repeated for a long period of time, the tray 15 is unlikely to be bent or damaged due to repeated temperature raising and lowering cycles. Further, since the tray 15 itself is cooled, the progress of the scraping of the tray 15 can be suppressed by etching. In these respects, there is an effect of extending the service life of the tray 15.

第2実施形態のその他の構成及び作用は第1実施形態と同様であるので、同一の要素には同一の符号を付して説明を省略する。   Since other configurations and operations of the second embodiment are the same as those of the first embodiment, the same elements are denoted by the same reference numerals and description thereof is omitted.

(第3実施形態)
図16から図20Cに示す本発明の第3実施形態では、第1実施形態の点接触的な態様でのトレイ15への基板2の支持(突起76A〜76C)と、第2実施形態のポリイミドテープ91の両方を採用している。
(Third embodiment)
In the third embodiment of the present invention shown in FIGS. 16 to 20C, the substrate 2 is supported on the tray 15 (protrusions 76A to 76C) in the point contact manner of the first embodiment, and the polyimide of the second embodiment is used. Both tapes 91 are employed.

図18Bに最も明瞭に示すように、基板支持部21には、基板収容孔19A〜19Dの孔壁15dのトレイ15の下面15c側から突出する環状部74(孔壁15dの全周に設けられている。)の上面74aに等角度間隔で突起76A〜76Cが設けられている。これらの突起76A〜76Cは、環状部74の幅全体に延在し、上面76aは水平方向に延びる平坦面である。基板収容孔19A〜19Dに収容された基板2は、外周縁部の下面2aが突起76A〜76Cの上面76a上に載置されることで、点接触的な態様(3点支持)で基板2を支持する基板支持部21に支持される。   As shown most clearly in FIG. 18B, the substrate support portion 21 is provided with an annular portion 74 (provided on the entire circumference of the hole wall 15d) that protrudes from the lower surface 15c side of the tray 15 of the hole wall 15d of the substrate accommodation holes 19A to 19D. The projections 76A to 76C are provided at equiangular intervals on the upper surface 74a. These protrusions 76A to 76C extend over the entire width of the annular portion 74, and the upper surface 76a is a flat surface extending in the horizontal direction. The substrate 2 accommodated in the substrate accommodation holes 19A to 19D has the lower surface 2a of the outer peripheral edge portion placed on the upper surface 76a of the protrusions 76A to 76C. Is supported by a substrate support portion 21 that supports the substrate.

また、ポリイミド製のテープ基材(伝熱材層)92と、このテープ基材92の一方の面に形成された接着材層93を備えるポリイミドテープ91をトレイ15の下面15cに真空貼付や熱圧着により貼り付けている。   Also, polyimide tape 91 having a polyimide tape base material (heat transfer material layer) 92 and an adhesive layer 93 formed on one surface of the tape base material 92 is attached to the lower surface 15c of the tray 15 by vacuum sticking or heat. It is pasted by crimping.

ロードロック室10からチャンバ3内に搬入された基板2を収容したトレイ15は、図20Aに示すように昇降ピン18の上端で支持され、昇降ピン18の降下に伴って基板サセプタ9に向けて降下する。図19B、図19C、図20B、及び図20Cを参照すると、トレイ15はポリイミドテープ91を貼り付けた下面15cが基板サセプタ9の誘電体板23のトレイ支持面28まで降下し、トレイ15はポリイミドテープ91を介してトレイ支持面28によって支持される。この状態では、基板2はトレイ15の基板支持部21の環状部74の上面76aの突起76A〜76Cより所定量離間して、基板載置部29A〜29Cの基板載置面31上に受け渡され支持される。   The tray 15 that accommodates the substrate 2 carried into the chamber 3 from the load lock chamber 10 is supported at the upper end of the lift pins 18 as shown in FIG. 20A and is directed toward the substrate susceptor 9 as the lift pins 18 are lowered. Descend. Referring to FIGS. 19B, 19C, 20B, and 20C, the lower surface 15c of the tray 15 to which the polyimide tape 91 is attached descends to the tray support surface 28 of the dielectric plate 23 of the substrate susceptor 9, and the tray 15 is polyimide. It is supported by the tray support surface 28 via the tape 91. In this state, the substrate 2 is separated from the protrusions 76A to 76C on the upper surface 76a of the annular portion 74 of the substrate support portion 21 of the tray 15 by a predetermined amount, and is transferred onto the substrate placement surface 31 of the substrate placement portions 29A to 29C. And supported.

直流電圧印加機構43から静電吸着用電極40に対する直流電圧の印加により基板2を基板載置面31に静電吸着する。プラズマを発生させ、基板サセプタ9の金属板24にバイアス電圧を印加すると、基板サセプタ9の誘電体板23のトレイ支持面28により下面15cを支持されているトレイ15上にマイナスのシース電位が生じ、絶縁性を有するポリイミドテープ91(ポリイミド製のテープ基材92)内の電位が分極し、その結果トレイ15は誘電体板23のトレイ支持面28に自己静電吸着する。この自己吸着によりトレイ15の下面15cがトレイ支持面28に押し付けられる。   The substrate 2 is electrostatically attracted to the substrate mounting surface 31 by applying a DC voltage from the DC voltage application mechanism 43 to the electrostatic attraction electrode 40. When plasma is generated and a bias voltage is applied to the metal plate 24 of the substrate susceptor 9, a negative sheath potential is generated on the tray 15 whose lower surface 15 c is supported by the tray support surface 28 of the dielectric plate 23 of the substrate susceptor 9. The electric potential in the insulating polyimide tape 91 (polyimide tape base material 92) is polarized, and as a result, the tray 15 is self-electrostatically attracted to the tray support surface 28 of the dielectric plate 23. By this self-adsorption, the lower surface 15 c of the tray 15 is pressed against the tray support surface 28.

図19Aから図19Cに誇張して示すように、誘電体板23のトレイ支持面28は表面粗度が比較的大きく、6μm〜10μm程度の凹凸を有する。しかし、自己静電吸着によって押し付けられたトレイ15の下面15cは、高い柔軟性を有するポリイミドテープ91(特にテープ基材92)が変形することで凹凸を有するトレイ支持面28に対して密着する。そのため、トレイ15と誘電体板23との間の熱伝導性が良好である。また、ポリイミドテープ91は真空貼付しているのでトレイ15との間の熱伝導性が良好である。このようにトレイ15とポリイミドテープ91の熱伝導性と、ポリイミドテープ91と誘電体板23(トレイ支持面28)の熱伝導性がいずれも良好であるため、ドライエッチング中にプラズマからトレイ15が吸収した熱は、ポリイミドテープ91を介して誘電体板23に良好な熱伝導効率で伝わる。その結果、ドライエッチング中のトレイ15が効果的に冷却される。例えば、基板2の温度を50℃〜100℃程度に制御した場合、効果的な冷却によりエッチング処理中のトレイ15の温度上昇は150℃〜200℃程度に低減される。仮にポリイミドテープ91を介さずにトレイ15を誘電体板23に載置させたとすると、エッチング処理中のトレイ15の温度は250℃以上程度まで上昇する。   As exaggeratedly shown in FIGS. 19A to 19C, the tray support surface 28 of the dielectric plate 23 has a relatively large surface roughness and has irregularities of about 6 μm to 10 μm. However, the lower surface 15c of the tray 15 pressed by self-electrostatic adsorption is brought into close contact with the tray support surface 28 having irregularities due to deformation of the highly flexible polyimide tape 91 (particularly the tape base material 92). Therefore, the thermal conductivity between the tray 15 and the dielectric plate 23 is good. Moreover, since the polyimide tape 91 is vacuum-applied, the thermal conductivity with the tray 15 is good. Thus, since the thermal conductivity of the tray 15 and the polyimide tape 91 and the thermal conductivity of the polyimide tape 91 and the dielectric plate 23 (tray support surface 28) are both good, the tray 15 is removed from the plasma during dry etching. The absorbed heat is transmitted to the dielectric plate 23 through the polyimide tape 91 with good heat conduction efficiency. As a result, the tray 15 during dry etching is effectively cooled. For example, when the temperature of the substrate 2 is controlled to about 50 ° C. to 100 ° C., the temperature rise of the tray 15 during the etching process is reduced to about 150 ° C. to 200 ° C. by effective cooling. If the tray 15 is placed on the dielectric plate 23 without using the polyimide tape 91, the temperature of the tray 15 during the etching process rises to about 250 ° C. or higher.

エッチング終了後、トレイ15はロードロック室10に搬送され、さらにロードロック室10が大気開放される。この大気開放により、トレイ15と基板2との間の熱伝導効率は大幅に高くなる。しかし、以下の2点の相乗効果により、大気開放後のトレイ15からの熱伝導による基板2(特に外周縁部)の温度上昇を低減できる。   After the etching is completed, the tray 15 is transferred to the load lock chamber 10 and the load lock chamber 10 is opened to the atmosphere. By this release to the atmosphere, the heat conduction efficiency between the tray 15 and the substrate 2 is significantly increased. However, due to the following two synergistic effects, the temperature rise of the substrate 2 (particularly the outer peripheral edge) due to heat conduction from the tray 15 after being released into the atmosphere can be reduced.

まず、トレイ15の基板収容孔19A〜19Dに収容された基板2は、基板支持部21に対して面接触的な態様で支持されるのではなく、3個の突起76A〜76Bによって点接触的な態様で基板支持部21に支持される。つまり、基板収容孔19A〜19Dに収容された基板2とトレイ15の基板支持部21との接触面積が小さので、大気開放後のトレイ15から基板2への熱伝導が抑制される。   First, the substrate 2 accommodated in the substrate accommodation holes 19A to 19D of the tray 15 is not supported in a surface-contact manner with respect to the substrate support portion 21, but is point-contacted by the three protrusions 76A to 76B. In this manner, the substrate is supported by the substrate support portion 21. That is, since the contact area between the substrate 2 accommodated in the substrate accommodating holes 19A to 19D and the substrate support portion 21 of the tray 15 is small, heat conduction from the tray 15 to the substrate 2 after being released to the atmosphere is suppressed.

また、ポリイミドテープ91を下面15cに貼り付けたことにより、ドライエッチング中にトレイ15の効果的に冷却してトレイ15自体の温度上昇が抑制されているので、大気開放後のトレイ15からの熱伝導による基板2(特に外周縁部)の温度上昇を低減できる。   Further, since the polyimide tape 91 is attached to the lower surface 15c, the tray 15 is effectively cooled during the dry etching to suppress the temperature rise of the tray 15 itself. The temperature rise of the substrate 2 (particularly the outer peripheral edge) due to conduction can be reduced.

さらに、トレイ15自体を冷却するので、温度昇降のサイクルが繰り返しによるトレイ15の撓みや損傷が発生しにくく、トレイ15のエッチングによる削れの進行も抑制できるので、トレイ15の使用寿命を延ばす効果がある。   In addition, since the tray 15 itself is cooled, the tray 15 is unlikely to be bent or damaged due to repeated temperature raising and lowering cycles, and the progress of shaving due to etching of the tray 15 can be suppressed, so that the useful life of the tray 15 can be extended. is there.

第3実施形態のその他の構成及び作用は第1実施形態と同様であるので、同一の要素には同一の符号を付して説明を省略する。   Since other configurations and operations of the third embodiment are the same as those of the first embodiment, the same elements are denoted by the same reference numerals and description thereof is omitted.

図21及び図22は、伝熱材層としてのポリイミドテープに関する代案を示す。図21の例では、トレイ15の下面にはポリイミドテープを貼り付けていないが、誘電体板23のトレイ支持面28にポリイミドテープ191を真空貼付や熱圧着により貼り付けている。この場合、ポリイミドテープを貼り付けない分だけトレイ15の単価が安くなり、特に多数枚のトレイ15を使用する場合にコスト減の効果が期待できる。図22の例では、トレイ15の下面と誘電体板23のトレイ支持面28の両方に真空貼付や熱圧着によりポリイミドテープ91,191を貼り付けている。この場合、トレイ15の下面とトレイ支持面28との間の密着性が向上するので、トレイ15と誘電体板23との熱伝導性のさらなる向上によるトレイ15のより効果的な冷却が期待できる。一方、第2実施形態のように、トレイ15の下面にのみポリイミドテープ91を貼り付けた場合、すなわちトレイ支持面28にはポリイミドテープ191を貼り付けない場合には、メンテナンスが容易となるという効果がある。以下この点について説明する、図21及び図22のような誘電体板23側に貼り付けたポリイミドテープ191は、プラズマに曝露される期間が長くいため、トレイ15の下面がトレイ支持面28に密着して載置される部分の端部側等から侵入する僅かなプラズマによっても剥れや劣化等が起る。このポリイミドテープ191の剥がれや劣化等は、トレイ15と基板支持面28の密着性の悪化や、パーティクル発生等の問題が生じる。これを防ぐために、誘電体板23の定期的なメンテナンスを行い、誘電体板23のトレイ支持面28に貼り付けたポリイミドテープ191の貼り替えが必要になり、このメンテナンスに伴う設備停止が必要となる。また、トレイ支持面28に貼り付けたポリイミドテープ191の貼り替えは、煩雑な作業を必要とする。第2実施形態のように、トレイ15の下面にのみポリイミドテープ91を貼り付けた場合には、煩雑な作業である誘電体板23側のポリイミドテープを貼り替える必要がなく、メンテナンスの頻度が減る。   FIG.21 and FIG.22 shows the alternative regarding the polyimide tape as a heat-transfer material layer. In the example of FIG. 21, no polyimide tape is attached to the lower surface of the tray 15, but the polyimide tape 191 is attached to the tray support surface 28 of the dielectric plate 23 by vacuum bonding or thermocompression bonding. In this case, the unit price of the tray 15 is reduced as much as the polyimide tape is not attached, and an effect of cost reduction can be expected particularly when a large number of trays 15 are used. In the example of FIG. 22, polyimide tapes 91 and 191 are attached to both the lower surface of the tray 15 and the tray support surface 28 of the dielectric plate 23 by vacuum bonding or thermocompression bonding. In this case, since the adhesion between the lower surface of the tray 15 and the tray support surface 28 is improved, more effective cooling of the tray 15 can be expected by further improving the thermal conductivity between the tray 15 and the dielectric plate 23. . On the other hand, when the polyimide tape 91 is attached only to the lower surface of the tray 15 as in the second embodiment, that is, when the polyimide tape 191 is not attached to the tray support surface 28, the effect of facilitating maintenance. There is. The polyimide tape 191 affixed to the dielectric plate 23 side as shown in FIGS. 21 and 22 will be described below, and since the period of exposure to plasma is long, the lower surface of the tray 15 is in close contact with the tray support surface 28. Thus, even a slight amount of plasma entering from the end side or the like of the part to be placed may cause peeling or deterioration. The peeling or deterioration of the polyimide tape 191 causes problems such as deterioration of adhesion between the tray 15 and the substrate support surface 28 and generation of particles. In order to prevent this, periodic maintenance of the dielectric plate 23 is performed, and it is necessary to replace the polyimide tape 191 attached to the tray support surface 28 of the dielectric plate 23. It is necessary to stop the equipment accompanying this maintenance. Become. Further, the replacement of the polyimide tape 191 attached to the tray support surface 28 requires a complicated operation. When the polyimide tape 91 is attached only to the lower surface of the tray 15 as in the second embodiment, it is not necessary to replace the polyimide tape on the dielectric plate 23 side, which is a complicated operation, and the frequency of maintenance is reduced. .

図23Aから図26Cは、トレイ15の基板支持部21に採用し得る種々の構造を示す。これらの構造は、第1実施形態のようにトレイ15の下面15cにポリイミドテープ91を貼り付けない場合、及び第3実施形態のようにトレイ15の下面15cにポリイミドテープ91を貼り付ける場合のいずれであっても採用できる。   FIGS. 23A to 26C show various structures that can be employed in the substrate support portion 21 of the tray 15. These structures are either when the polyimide tape 91 is not attached to the lower surface 15c of the tray 15 as in the first embodiment, or when the polyimide tape 91 is applied to the lower surface 15c of the tray 15 as in the third embodiment. However, it can be adopted.

図23Aから図23Cに示す例では、環状部74の上面74aに突起76A〜76Cを設けているが、これらの突起76A〜76Cの幅を第1及び第3実施形態よりも大きく設定している。   In the example shown in FIGS. 23A to 23C, the protrusions 76A to 76C are provided on the upper surface 74a of the annular portion 74, but the widths of these protrusions 76A to 76C are set larger than those in the first and third embodiments. .

図24Aから図24Cに示す例では、孔壁15dから突出する突起76A〜76Cを等角度間隔で設けている。具体的には、個々の突起76A〜76Cはトレイ15の上面15bと孔壁15dの接続位置から孔壁15dと環状部74の上面74aの接続位置まで延びている。また、突起76A〜76Cの上面76aは孔壁15dに沿って延びる平坦面であり、孔壁15dと同様に水平方向に対して傾斜している。   In the example shown in FIGS. 24A to 24C, protrusions 76A to 76C protruding from the hole wall 15d are provided at equal angular intervals. Specifically, the individual protrusions 76 </ b> A to 76 </ b> C extend from the connection position between the upper surface 15 b of the tray 15 and the hole wall 15 d to the connection position between the hole wall 15 d and the upper surface 74 a of the annular portion 74. The upper surfaces 76a of the protrusions 76A to 76C are flat surfaces extending along the hole wall 15d, and are inclined with respect to the horizontal direction in the same manner as the hole wall 15d.

トレイ15の上面15b側から基板2を基板収容孔19A〜19Dに入れると、基板2の外周縁部(より具体的には下面2aと端面2bの接続部分のエッジ)が、突起76A〜76Cの上面76aで案内されて降下する。従って、基板2を基板収容孔19A〜19Dに入れる際に、基板収容孔19A〜19Dの孔壁15dは基板2のエッジに接触しない。そして、図24Bに示すように、下面2aと端面2bの接続部分のエッジが突起76A〜76Cの下端側(環状部74の上面74aに近い位置)の上面76aで支持される。そのため、基板2は反りを有するか否かにかかわらず、外周縁部の3箇所が突起76A〜76Cによって点接触的な態様(3点支持)で基板支持部21に支持される。   When the substrate 2 is inserted into the substrate accommodation holes 19A to 19D from the upper surface 15b side of the tray 15, the outer peripheral edge portion of the substrate 2 (more specifically, the edge of the connection portion between the lower surface 2a and the end surface 2b) is formed on the protrusions 76A to 76C. It is guided by the upper surface 76a and descends. Therefore, when the substrate 2 is put into the substrate accommodation holes 19 </ b> A to 19 </ b> D, the hole walls 15 d of the substrate accommodation holes 19 </ b> A to 19 </ b> D do not contact the edge of the substrate 2. Then, as shown in FIG. 24B, the edge of the connecting portion between the lower surface 2a and the end surface 2b is supported by the upper surface 76a on the lower end side of the protrusions 76A to 76C (position close to the upper surface 74a of the annular portion 74). Therefore, regardless of whether or not the substrate 2 has a warp, three portions of the outer peripheral edge portion are supported by the substrate support portion 21 in a point-contact manner (three-point support) by the protrusions 76A to 76C.

図25Aから図25Cに示す例では、孔壁15dと環状部74の上面74aの両方にわたって延びる突起76A〜76Cを等角度間隔で設けている。具体的には、個々の突起76A〜76Cは、孔壁15dから突出する上側部分76bと、この上側部分76bと連続して環状部74の環状部74の上面74aから突出する下側部分76cとを備える。突起76A〜76Cの上側部分76bの上面76aは孔壁15dに沿って傾斜した平坦面であり、下側部分76cの上面76aは水平方向に延びる平坦面である。   In the example shown in FIGS. 25A to 25C, protrusions 76A to 76C extending over both the hole wall 15d and the upper surface 74a of the annular portion 74 are provided at equiangular intervals. Specifically, each of the protrusions 76A to 76C includes an upper portion 76b protruding from the hole wall 15d, and a lower portion 76c protruding from the upper surface 74a of the annular portion 74 of the annular portion 74 continuously with the upper portion 76b. Is provided. The upper surface 76a of the upper portion 76b of the protrusions 76A to 76C is a flat surface inclined along the hole wall 15d, and the upper surface 76a of the lower portion 76c is a flat surface extending in the horizontal direction.

トレイ15の上面15b側から基板2を基板収容孔19A〜19Dに入れると、基板2の外周縁部(より具体的には下面2aと端面2bの接続部分のエッジ)が、突起76A〜76Cの上側部分76bの上面76aで案内されて降下する。従って、基板2を基板収容孔19A〜19Dに入れる際に、基板収容孔19A〜19Dの孔壁15dは基板2のエッジに接触しない。そして、図25Bに示すように、基板2の外周縁部の下面2aが突起76A〜76Cの下側部分76cの上面76aで支持される。そのため、基板2は反りを有するか否かにかかわらず、外周縁部の3箇所が突起76A〜76Cによって点接触的な態様(3点支持)で基板支持部21に支持される。   When the substrate 2 is inserted into the substrate accommodation holes 19A to 19D from the upper surface 15b side of the tray 15, the outer peripheral edge portion of the substrate 2 (more specifically, the edge of the connection portion between the lower surface 2a and the end surface 2b) is formed on the protrusions 76A to 76C. It is guided by the upper surface 76a of the upper part 76b and descends. Therefore, when the substrate 2 is put into the substrate accommodation holes 19 </ b> A to 19 </ b> D, the hole walls 15 d of the substrate accommodation holes 19 </ b> A to 19 </ b> D do not contact the edge of the substrate 2. As shown in FIG. 25B, the lower surface 2a of the outer peripheral edge of the substrate 2 is supported by the upper surface 76a of the lower portion 76c of the protrusions 76A to 76C. Therefore, regardless of whether or not the substrate 2 has a warp, three portions of the outer peripheral edge portion are supported by the substrate support portion 21 in a point-contact manner (three-point support) by the protrusions 76A to 76C.

図26Aから図26Cに示す例では、環状部74の上面74aが基板接触部として機能する。環状部74の上面74aは基板収容孔19A〜19Cの中心に向けて孔壁15dよりも小さい傾斜角度βで水平方向に対して傾斜している。傾斜角度βは傾斜角度αよりも十分小さく、かつ45°未満に設定される。例えば、孔壁15dの傾斜角度αが75°である場合、環状部74の上面74aの傾斜角度βは8°程度に設定される。   In the example shown in FIGS. 26A to 26C, the upper surface 74a of the annular portion 74 functions as a substrate contact portion. The upper surface 74a of the annular portion 74 is inclined with respect to the horizontal direction at an inclination angle β smaller than the hole wall 15d toward the center of the substrate housing holes 19A to 19C. The inclination angle β is set to be sufficiently smaller than the inclination angle α and less than 45 °. For example, when the inclination angle α of the hole wall 15d is 75 °, the inclination angle β of the upper surface 74a of the annular portion 74 is set to about 8 °.

トレイ15の上面15b側から基板2を基板収容孔19A〜19Dに入れると、基板2の外周縁部(より具体的には下面2aと端面2bの接続部分のエッジ)が、基板収容孔19A〜19Dの孔壁15dで案内されて降下する。そして、図26Bに示すように、基板2のエッジが環状部74の上面74aに接触し、それによって基板2が支持される。そのため、基板2が非軸対称の反りを有する場合には、基板2の外周縁部が点接触的な態様(複数点支持)で基板支持部21に支持される。一方、基板2が軸対称の反りを有する場合、又は基板2が反りを有しない場合には、外周縁部の全周(エッジの全周)が基板支持部21に支持される。基板2が線接触的な態様で基板支持部21に支持される場合でも、面接触的な態様での支持と比較すると、基板2とトレイ15の接触面積は小さい。従って、この場合でも、ドライエッチング後にチャンバ3からトレイ15を搬入したロードロック室10を大気開放したときの、トレイ15から基板2への熱伝導を抑制して基板2(特に外周縁部)の温度上昇を低減できる。   When the substrate 2 is inserted into the substrate accommodation holes 19A to 19D from the upper surface 15b side of the tray 15, the outer peripheral edge portion of the substrate 2 (more specifically, the edge of the connection portion between the lower surface 2a and the end surface 2b) becomes the substrate accommodation holes 19A to 19A. It is guided by the hole wall 15d of 19D and descends. As shown in FIG. 26B, the edge of the substrate 2 comes into contact with the upper surface 74a of the annular portion 74, thereby supporting the substrate 2. Therefore, when the board | substrate 2 has a non-axisymmetric curvature, the outer peripheral edge part of the board | substrate 2 is supported by the board | substrate support part 21 in the point contact aspect (multiple point support). On the other hand, when the substrate 2 has an axisymmetric warp, or when the substrate 2 does not have a warp, the entire periphery of the outer peripheral edge (the entire periphery of the edge) is supported by the substrate support 21. Even when the substrate 2 is supported by the substrate support portion 21 in a line-contact manner, the contact area between the substrate 2 and the tray 15 is small compared to the support in the surface-contact manner. Accordingly, even in this case, the heat transfer from the tray 15 to the substrate 2 when the load lock chamber 10 carrying the tray 15 from the chamber 3 after the dry etching is opened to the atmosphere is suppressed, and the substrate 2 (especially the outer peripheral portion) Temperature rise can be reduced.

図27A及び図27Bは、誘電体板23の代案を示す。この代案は、第1から第3実施形態のいずれにも適用できる。基板載置面31に、供給孔44から放射状に延びる4つの直線状溝34と、円環状突出部32の内側に配置された円環状溝35を設けている。直線状溝34と円環状溝35は互いに連通している。これら直線状溝34と円環状溝35を設けることにより、供給孔44から噴出される伝熱ガスが基板2の下面2aと基板載置面31の間の空間内に均等に拡散する。その結果、基板2の冷却効率と温度制御の精度をさらに高めることができる。   27A and 27B show alternatives of the dielectric plate 23. FIG. This alternative can be applied to any of the first to third embodiments. The substrate mounting surface 31 is provided with four linear grooves 34 extending radially from the supply holes 44 and an annular groove 35 disposed inside the annular protrusion 32. The linear groove 34 and the annular groove 35 communicate with each other. By providing the linear groove 34 and the annular groove 35, the heat transfer gas ejected from the supply hole 44 is evenly diffused in the space between the lower surface 2 a of the substrate 2 and the substrate mounting surface 31. As a result, the cooling efficiency of the substrate 2 and the accuracy of temperature control can be further increased.

(実験例)
本発明による基板の温度上昇低減効果を確認するための実験を行った。具体的には、従来のトレイと本発明にかかるトレイ15を使用してドライエッチング処理を実行し、ドライエッチング処理中、エッチング後にロードロック室10に搬出してロードロック室を大気開放する前、及びロードロック室10を大気開放後のそれぞれについて基板2やトレイ15の温度を測定した。さらに詳細には、従来例に相当する3つの比較例1〜3と本発明の実施形態に相当する2つの実験例1,2について温度測定を実行した。
(Experimental example)
Experiments were conducted to confirm the temperature rise reduction effect of the substrate according to the present invention. Specifically, a dry etching process is performed using the conventional tray and the tray 15 according to the present invention, and during the dry etching process, before the etching is carried out to the load lock chamber 10 after the etching and the load lock chamber is opened to the atmosphere. The temperature of the substrate 2 and the tray 15 was measured for each of the load lock chamber 10 after being opened to the atmosphere. More specifically, temperature measurement was performed for three comparative examples 1 to 3 corresponding to the conventional example and two experimental examples 1 and 2 corresponding to the embodiment of the present invention.

比較例1〜3では第2実施形態のトレイ15(図12〜図13B)の下面15cからポリイミドテープ91をなくしたものを使用した。すなわち、比較例1〜3は、環状部74の上面74aで基板2の外周縁部の下面2aを面接触的な態様で支持し、かつポリイミドテープ91を設けることによるトレイ15自体の冷却も実行しない例である。比較例1では、エッチング処理の修理後、時間をあけずにトレイ15をチャンバ3からロードロック室10に搬出する(待機時間は0分)。一方、比較例2,3では、エッチング処理の終了後、予め定められた待機時間(比較例2では2分、比較例3では5分)が経過した後、トレイ15をチャンバ3から搬出する。待機時間の間、チャンバ3内は真空雰囲気であり大気による熱伝導は生じないため、トレイ15は誘電体板23のトレイ支持面28との伝熱(トレイ15は、トレイ支持面28にポリイミドテープ91を介さずに直接接触して載置される)によって冷却される。   In Comparative Examples 1-3, the thing which removed the polyimide tape 91 from the lower surface 15c of the tray 15 (FIGS. 12-13B) of 2nd Embodiment was used. That is, in Comparative Examples 1 to 3, the upper surface 74a of the annular portion 74 supports the lower surface 2a of the outer peripheral edge of the substrate 2 in a surface-contact manner, and the tray 15 itself is also cooled by providing the polyimide tape 91. This is not an example. In Comparative Example 1, after repairing the etching process, the tray 15 is unloaded from the chamber 3 to the load lock chamber 10 without waiting for a while (waiting time is 0 minute). On the other hand, in Comparative Examples 2 and 3, the tray 15 is unloaded from the chamber 3 after a predetermined waiting time (2 minutes in Comparative Example 2 and 5 minutes in Comparative Example 3) has elapsed after the etching process is completed. During the waiting time, the chamber 3 is in a vacuum atmosphere and heat conduction by the atmosphere does not occur. Therefore, the tray 15 transfers heat to the tray support surface 28 of the dielectric plate 23 (the tray 15 has polyimide tape on the tray support surface 28). 91 and is placed in direct contact without going through 91).

実験例1では第1実施形態のトレイ15(図6Aから図7C)を使用した。すなわち、実験例1は、トレイ15が基板2を点接触的な態様又は線接触的な態様で支持するが、トレイ15とトレイ支持面28との間にポリイミドテープ91を設けることによるトレイ自体の冷却は実行しない例である。一方、実験例2では第2実施形態のトレイ15(図12から図13B)を使用した。すなわち、実験例2は、トレイ15とトレイ支持面28との間にポリイミドテープ91を設けることによるトレイ自体の冷却を実行するが、トレイ15は基板2の外周縁部を面接触的な態様で支持する(点接触的な態様や線接触的な態様で基板2を支持しない)例である。実験例1,2では、いずれもエッチング処理の終了後、時間をあけずにトレイ15をチャンバ3からロードロック室10に搬出し、比較例2,3のような待機時間は設けない(待機時間は0分)。   In Experimental Example 1, the tray 15 (FIGS. 6A to 7C) of the first embodiment was used. That is, in Experimental Example 1, the tray 15 supports the substrate 2 in a point contact manner or a line contact manner, but the tray 15 itself is provided by providing the polyimide tape 91 between the tray 15 and the tray support surface 28. This is an example in which cooling is not performed. On the other hand, in Experimental Example 2, the tray 15 (FIGS. 12 to 13B) of the second embodiment was used. That is, in Experimental Example 2, the tray itself is cooled by providing the polyimide tape 91 between the tray 15 and the tray support surface 28, but the tray 15 is configured in a surface-contact manner on the outer peripheral edge of the substrate 2. This is an example of supporting (not supporting the substrate 2 in a point contact manner or a line contact manner). In each of Experimental Examples 1 and 2, the tray 15 is taken out from the chamber 3 to the load lock chamber 10 without any time after completion of the etching process, and no standby time is provided as in Comparative Examples 2 and 3 (standby time). Is 0 minutes).

以下の条件については、比較例1〜3及び実験例1,2について共通である。基板2は2inchのサファイア基板(厚さが520μm程度)を使用した。トレイ15は図4Bに示すように7枚の基板2を収容するものを使用した。主なエッチング条件は以下の通りであった。エッチングガスはCl2ガスを使用し供給量は50sccmとした。チャンバ3内の圧力は1.0Pa、ICPコイル5に供給する高周波電力と基板サセプタ9に供給するバイアス電力はそれぞれ400Wと300Wとした。静電吸着用電極40に印加する直流電圧は1000Vとした。基板2と基板載置面31の間の空間への伝熱ガス(He)の充填圧力は1200Paとした。天板4、チャンバ3の側壁、及び誘電体板23の温度はそれぞれ100℃、100℃、及び15℃とした。 The following conditions are common to Comparative Examples 1 to 3 and Experimental Examples 1 and 2. The substrate 2 was a 2 inch sapphire substrate (thickness of about 520 μm). As shown in FIG. 4B, the tray 15 used accommodates seven substrates 2. The main etching conditions were as follows. The etching gas was Cl 2 gas and the supply amount was 50 sccm. The pressure in the chamber 3 was 1.0 Pa, the high frequency power supplied to the ICP coil 5 and the bias power supplied to the substrate susceptor 9 were 400 W and 300 W, respectively. The DC voltage applied to the electrostatic adsorption electrode 40 was 1000V. The filling pressure of the heat transfer gas (He) into the space between the substrate 2 and the substrate mounting surface 31 was 1200 Pa. The temperatures of the top plate 4, the side walls of the chamber 3, and the dielectric plate 23 were 100 ° C, 100 ° C, and 15 ° C, respectively.

比較例1〜3及び実験例1,2の実験結果を、以下の表1〜5に示す。   The experimental results of Comparative Examples 1 to 3 and Experimental Examples 1 and 2 are shown in Tables 1 to 5 below.

Figure 2011044732
Figure 2011044732

Figure 2011044732
Figure 2011044732

Figure 2011044732
Figure 2011044732

Figure 2011044732
Figure 2011044732

Figure 2011044732
Figure 2011044732

比較例1(表1)については、エッチング処理中、基板2は中央部と外周縁部の両方が76℃に維持されるが、トレイ15の温度は254℃以上となる。そして、ロードロック室10の大気開放前の基板2は中央部が76℃で外周縁部が93℃であるのに対し、ロードロック室10を大気開放すると中央部が93℃で外周縁部が130℃であり、トレイ15からの熱伝導によって基板2の温度が大幅に上昇している。特に、基板2の外周縁部の温度は、ロードロック室10の大気開放前後で約40℃上昇している。   As for Comparative Example 1 (Table 1), during the etching process, the substrate 2 is maintained at 76 ° C. at both the center and the outer peripheral edge, but the temperature of the tray 15 is 254 ° C. or higher. The substrate 2 before the release of the load lock chamber 10 to the atmosphere is 76 ° C. at the center and 93 ° C. at the outer periphery, whereas when the load lock chamber 10 is opened to the atmosphere, the center is 93 ° C. and the outer periphery is The temperature of the substrate 2 is greatly increased by the heat conduction from the tray 15. In particular, the temperature of the outer peripheral edge of the substrate 2 is increased by about 40 ° C. before and after the load lock chamber 10 is opened to the atmosphere.

比較例2(表2)については、エッチング処理中の基板2とトレイ15の温度は比較例1と同一である。ロードロック室10の大気開放前の基板2は中央部が76℃で外周縁部が93℃であるのに対し、ロードロック室10を大気開放すると中央部が82℃で外周縁部が120℃であり、トレイ15からの熱伝導によって基板2の温度上昇は僅かに低減されている。これはチャンバ3内での2分間の待機時間中にトレイ15の温度が若干低下したことに起因する。しかし、ロードロック室10を大気開放した時の基板2の温度は中央部と外周縁部の両方でいずれも依然として高温であり、基板2は十分に冷却されていない。   For Comparative Example 2 (Table 2), the temperatures of the substrate 2 and the tray 15 during the etching process are the same as those of Comparative Example 1. The substrate 2 before the release of the load lock chamber 10 to the atmosphere is 76 ° C. at the central portion and 93 ° C. at the outer peripheral edge, whereas when the load lock chamber 10 is opened to the atmosphere, the central portion is 82 ° C. and the outer peripheral edge is 120 ° C. The temperature rise of the substrate 2 is slightly reduced by the heat conduction from the tray 15. This is because the temperature of the tray 15 has slightly decreased during the waiting time of 2 minutes in the chamber 3. However, the temperature of the substrate 2 when the load lock chamber 10 is opened to the atmosphere is still high in both the central portion and the outer peripheral portion, and the substrate 2 is not sufficiently cooled.

比較例3(表3)については、エッチング処理中の基板2とトレイ15の温度は比較例1と同一である。ロードロック室10の大気開放前の基板2は中央部が76℃で外周縁部が93℃であるのに対し、ロードロック室10を大気開放すると中央部が82℃で外周縁部が98℃であり、トレイ15からの熱伝導による基板2の外周縁部の温度上昇は比較例1,3との比較では効果的に低減されている。これはチャンバ3内での待機時間を比較例2(2分)の2倍以上である5分に設定し、その間にトレイ15の温度が低下したことに起因する。しかし、この比較例3のようにエッチング処理後のチャンバ3内での待機時間を長く設定すると、スループットが低下する。また、ロードロック室10の大気開放時の基板2の外周縁部の温度は98℃であり、基板2の中央部の温度が82℃であるのに対してやや高温である。   For Comparative Example 3 (Table 3), the temperatures of the substrate 2 and the tray 15 during the etching process are the same as those of Comparative Example 1. The substrate 2 before the release of the load lock chamber 10 to the atmosphere has a central portion of 76 ° C. and an outer peripheral portion of 93 ° C., whereas when the load lock chamber 10 is opened to the atmosphere, the central portion is 82 ° C. and the outer peripheral portion is 98 ° C. The temperature rise at the outer peripheral edge of the substrate 2 due to heat conduction from the tray 15 is effectively reduced in comparison with Comparative Examples 1 and 3. This is because the standby time in the chamber 3 is set to 5 minutes, which is twice or more that of Comparative Example 2 (2 minutes), and the temperature of the tray 15 has decreased during that time. However, when the standby time in the chamber 3 after the etching process is set long as in the comparative example 3, the throughput is lowered. Further, the temperature of the outer peripheral edge of the substrate 2 when the load lock chamber 10 is opened to the atmosphere is 98 ° C., which is slightly higher than the temperature of the central portion of the substrate 2 is 82 ° C.

実験例1(表4)についても、エッチング処理中の基板2とトレイ15の温度は比較例1と同一である。ロードドック室10の大気開放前の基板2の温度は、中央部では比較例1〜3と同一の76℃であるが、外周縁部では76℃であり比較例1〜3(93℃)よりも低い。また、ロードロック室10を大気開放後の基板2の温度は、中央部では82℃で外周縁部では87℃であり、ロードロック室10の大気開放前後の基板2の温度上昇は、中央部では6℃で、外周縁部では11℃である。比較例1,2の場合、ロードロック室10の大気開放前後の基板2の外周縁部の温度上昇はそれぞれ37℃と27℃であり、実験例1ではロードロック室10の大気開放前後の基板2の外周縁部の温度上昇が効果的に低減されている。また、5分間もの待機時間を設けた比較例3と比較すると、ロードロック室10の大気開放後の基板2の外周縁部の温度は、比較例3では98℃であるのに対して実験例1では87℃である。これらの点から、突起76A〜76Cによる点接触的な態様でトレイ15に基板2を支持することによって、待機時間を設けていないにもかかわらず、基板2の外周縁部の温度上昇が効果的に低減されることが確認できる。   Also in Experimental Example 1 (Table 4), the temperatures of the substrate 2 and the tray 15 during the etching process are the same as in Comparative Example 1. The temperature of the substrate 2 before opening the load dock chamber 10 to the atmosphere is 76 ° C., which is the same as that in Comparative Examples 1 to 3 at the center, but is 76 ° C. at the outer peripheral edge, and from Comparative Examples 1 to 3 (93 ° C.). Is also low. The temperature of the substrate 2 after the load lock chamber 10 is opened to the atmosphere is 82 ° C. at the center and 87 ° C. at the outer peripheral edge, and the temperature rise of the substrate 2 before and after the load lock chamber 10 is opened to the atmosphere is Is 6 ° C. and 11 ° C. at the outer peripheral edge. In the case of Comparative Examples 1 and 2, the temperature rises at the outer peripheral edge of the substrate 2 before and after the load lock chamber 10 was opened to the atmosphere were 37 ° C. and 27 ° C., respectively. The temperature rise of the outer peripheral edge part 2 is effectively reduced. Further, compared with Comparative Example 3 in which a waiting time of 5 minutes is provided, the temperature of the outer peripheral edge of the substrate 2 after the load lock chamber 10 is released to the atmosphere is 98 ° C. in Comparative Example 3 and is an experimental example. 1 is 87 ° C. From these points, by supporting the substrate 2 on the tray 15 in a point-contact manner by the protrusions 76A to 76C, the temperature rise at the outer peripheral edge of the substrate 2 is effective even though no standby time is provided. It can be confirmed that it is reduced.

実験例2(表5)については、エッチング処理中、基板2は中央部と外周縁部の両方が比較例1〜3の場合と同じ76℃に維持される。しかし、エッチング処理中のトレイ15の温度は、比較例1〜3では254℃以上となるに対して、実験例2では154℃以下となっている。この点で基板15の下面15cにポリイミドテープ91を真空貼付けたことによりエッチング処理中のトレイ15が効果的に冷却されていることが確認できる。また、ロードロック室10の大気開放前の基板2の温度は、中央部では76℃で外周縁部では82℃である。これに対し、ロードロック室10の大気開放後の基板2の温度は、中央部では82℃で外周縁部では87℃である。ロードロック室10の大気開放前後の基板2の温度上昇は、中央部では6℃で外周縁部では5℃であり、比較例1(27℃)や比較例2(37℃)と比較して大幅に低減されている。また、5分もの大気時間を設けた比較例3を比較すると、ロードロック室10の大気開放後の基板2の外周縁部の温度は、比較例3では98℃であるのに対して実験例2では87℃である。これらの点から、ポリイミドテープ91を真空貼付することでエッチング処理中のトレイ15の温度を低減することで、待機時間を設けていないにもかかわらず、基板2の外周縁部の温度上昇が効果的に低減されることが確認できる。   For Experimental Example 2 (Table 5), during the etching process, the substrate 2 is maintained at 76 ° C., the same as in Comparative Examples 1 to 3, in both the central portion and the outer peripheral edge. However, the temperature of the tray 15 during the etching process is 254 ° C. or higher in Comparative Examples 1 to 3, whereas it is 154 ° C. or lower in Experimental Example 2. In this respect, it can be confirmed that the tray 15 during the etching process is effectively cooled by applying the polyimide tape 91 to the lower surface 15c of the substrate 15 by vacuum. In addition, the temperature of the substrate 2 before the load lock chamber 10 is opened to the atmosphere is 76 ° C. at the center and 82 ° C. at the outer peripheral edge. On the other hand, the temperature of the substrate 2 after the load lock chamber 10 is opened to the atmosphere is 82 ° C. at the center and 87 ° C. at the outer peripheral edge. The temperature rise of the substrate 2 before and after the release of the load lock chamber 10 to the atmosphere is 6 ° C. at the center and 5 ° C. at the outer peripheral edge, which is compared with Comparative Example 1 (27 ° C.) and Comparative Example 2 (37 ° C.). It is greatly reduced. In comparison with Comparative Example 3 in which an atmospheric time of 5 minutes is provided, the temperature of the outer peripheral edge of the substrate 2 after the release of the load lock chamber 10 to the atmosphere is 98 ° C. in Comparative Example 3, which is an experimental example. 2 is 87 ° C. From these points, the temperature increase of the outer peripheral edge of the substrate 2 is effective by reducing the temperature of the tray 15 during the etching process by vacuum-bonding the polyimide tape 91 even though no waiting time is provided. Can be confirmed.

ICP型のドライエッチング処理装置を例に本発明を説明したが、平行平板型のRIE(リアクティブイオン)型のドライエッチング、プラズマCVD用プラズマ処理装置等の他のプラズマ処理装置にも本発明を適用できる。   Although the present invention has been described by taking an ICP type dry etching processing apparatus as an example, the present invention is also applied to other plasma processing apparatuses such as a parallel plate type RIE (reactive ion) type dry etching and a plasma processing apparatus for plasma CVD. Applicable.

1 ドライエッチング装置
2 基板
2a 下面
2b 端面
3 チャンバ
3a ゲート
3b エッチングガス供給口
3c 排気口
4 天板
5 ICPコイル
6 マッチング回路
7 高周波電源
9 基板サセプタ
10 ロードドック室
10a ゲート
12 エッチングガス供給源
13 真空排気装置
15 トレイ
15a トレイ本体
15b 上面
15c 下面
15d 孔壁
15e 位置決め切欠
16 搬送アーム
17 駆動装置
18 昇降ピン
19A〜19I 基板収容孔
21 基板支持部
23 誘電体板
24 金属板
25 スペーサ板
26 ガイド筒体
27 アースシールド
28 トレイ支持面
29A〜29D 基板載置部
31 基板載置面
32 円環状突出部
33 円柱状突起
34 直線状溝
35 円環状溝
36 円形開口
40 静電吸着用電極
41 直流電源
42 抵抗
43 直流電圧印加機構
44 供給孔
45 伝熱ガス供給機構
46 伝熱ガス源
47 供給流路
48 流量計
49 流量制御バルブ
50 圧力計
51 排出流路
52 カットオフバルブ
53 バイパス流路
54 排気口
56 高周波印加機構
57 高周波電源
58 可変容量コンデンサ
59 冷却機構
60 冷媒流路
61 冷媒循環装置
63 コントローラ
71 アラインメント台
72A,72B カセット
73 搬送アーム
74 環状部
74a 上面
74b 下面
74c 先端面
76A〜76C 突起
76a 上面
76b 上側部分
76c 下側部分
91,191 ポリイミドテープ
92 テープ基材
93 接着材層
DESCRIPTION OF SYMBOLS 1 Dry etching apparatus 2 Substrate 2a Lower surface 2b End surface 3 Chamber 3a Gate 3b Etching gas supply port 3c Exhaust port 4 Top plate 5 ICP coil 6 Matching circuit 7 High frequency power supply 9 Substrate susceptor 10 Load dock chamber 10a Gate 12 Etching gas supply source 13 Vacuum Exhaust device 15 Tray 15a Tray body 15b Upper surface 15c Lower surface 15d Hole wall 15e Positioning notch 16 Transfer arm 17 Drive device 18 Lifting pins 19A to 19I Substrate receiving hole 21 Substrate support portion 23 Dielectric plate 24 Metal plate 25 Spacer plate 26 Guide cylinder 27 Earth Shield 28 Tray Support Surface 29A to 29D Substrate Placement Section 31 Substrate Placement Surface 32 Annular Projection Part 33 Columnar Projection 34 Linear Groove 35 Annular Groove 36 Circular Opening 40 Electrostatic Suction Electrode 41 DC Power Supply 42 Resistance 4 3 DC voltage application mechanism 44 Supply hole 45 Heat transfer gas supply mechanism 46 Heat transfer gas source 47 Supply flow path 48 Flow meter 49 Flow control valve 50 Pressure gauge 51 Discharge flow path 52 Cut-off valve 53 Bypass flow path 54 Exhaust port 56 High frequency Application mechanism 57 High frequency power supply 58 Variable capacitor 59 Cooling mechanism 60 Refrigerant flow path 61 Refrigerant circulation device 63 Controller 71 Alignment base 72A, 72B Cassette 73 Transfer arm 74 Annular part 74a Upper surface 74b Lower surface 74c Front end surface 76A-76C Projection 76a Upper surface 76b Upper side Part 76c Lower part 91,191 Polyimide tape 92 Tape base material 93 Adhesive layer

Claims (3)

減圧可能なチャンバと、
前記チャンバ内にプラズマを発生させるプラズマ発生源と、
基板が収容される基板収容孔が厚み方向に貫通するように形成され、前記基板収容孔の孔壁が前記基板収容孔の中心に向けて第1の傾斜角度で水平方向に対して傾斜しているトレイと、
前記孔壁の前記トレイの下面側から突出し、前記基板収容孔の中心に向けて前記第1の傾斜角度よりも小さい第2の傾斜角度で水平方向に対して傾斜する上面である基板接触部が前記基板収容孔に収容された前記基板の外周縁部を支持する、環状部を備える基板支持部と、
前記チャンバ内に設けられ、前記チャンバ内に搬入される前記基板を収容した前記トレイの下面を支持するトレイ支持面と、このトレイ支持面から上向きに突出し、前記トレイの下面側から前記基板収容孔に挿入され、かつその上端面である基板載置面に前記基板の下面が載置される基板載置部とを備える、誘電体部材と、
前記基板載置部に少なくとも一部が内蔵された、前記基板を前記基板載置面に静電吸着するための静電吸着用電極と、
前記静電吸着用電極に直流電圧を印加する直流電圧印加機構と、
前記基板と前記基板載置面との間の空間に伝熱ガスを供給する伝熱ガス供給機構と
を備えることを特徴とする、プラズマ処理装置。
A depressurizable chamber;
A plasma generation source for generating plasma in the chamber;
A substrate accommodation hole for accommodating the substrate is formed to penetrate in the thickness direction, and a hole wall of the substrate accommodation hole is inclined with respect to the horizontal direction at a first inclination angle toward the center of the substrate accommodation hole. A tray
A substrate contact portion that is an upper surface that protrudes from the lower surface side of the tray of the hole wall and is inclined with respect to the horizontal direction at a second inclination angle smaller than the first inclination angle toward the center of the substrate accommodation hole. A substrate support portion having an annular portion for supporting an outer peripheral edge portion of the substrate accommodated in the substrate accommodation hole;
A tray support surface that is provided in the chamber and supports a lower surface of the tray that accommodates the substrate to be carried into the chamber, and protrudes upward from the tray support surface, and the substrate receiving hole from the lower surface side of the tray A dielectric member, and a substrate placing portion on which a lower surface of the substrate is placed on a substrate placing surface that is an upper end surface of the dielectric member,
An electrostatic chucking electrode for electrostatically chucking the substrate on the substrate mounting surface, at least part of which is built in the substrate mounting unit;
A DC voltage application mechanism for applying a DC voltage to the electrostatic adsorption electrode;
A plasma processing apparatus, comprising: a heat transfer gas supply mechanism that supplies a heat transfer gas to a space between the substrate and the substrate mounting surface.
前記トレイの下面及び前記トレイ支持面の少なくともいずれか一方に伝熱材層が形成されていることを特徴とする、請求項1に記載のプラズマ処理装置。   The plasma processing apparatus according to claim 1, wherein a heat transfer material layer is formed on at least one of the lower surface of the tray and the tray support surface. 基板収容孔が厚み方向に貫通するように形成され、前記基板収容孔の孔壁が前記基板収容孔の中心に向けて第1の傾斜角度で水平方向に対して傾斜し、前記孔壁の下面側から突出し、前記基板収容孔の中心に向けて前記第1の傾斜角度よりも小さい第2の傾斜角度で水平方向に対して傾斜する上面である基板接触部を有した環状部を備える基板支持部が設けられたトレイを準備し、
前記トレイの上面側から前記基板収容孔に基板を収容し、前記第1の傾斜角度を有する前記孔壁で前記第2の傾斜角度を有する前記基板支持部まで前記基板の外周縁部を案内させ、前記基板の外周縁部を前記基板支持部で支持させ、
前記基板収容孔に前記基板が収容された前記トレイをチャンバ内に搬入し、
前記トレイを前記チャンバ内に設けられた誘電体部材に向けて降下させ、前記誘電体部材のトレイ支持面から上向きに突出する基板載置部を前記トレイの下面側から前記基板収容孔に挿入させ、前記トレイの下面を前記トレイ支持面で支持させると共に、前記基板載置部の上端面である基板載置面に前記基板の下面を載置し、前記基板と前記トレイとの間に隙間を生じさせ、
前記チャンバ内を減圧後した後にプラズマを発生させ、前記基板と前記トレイとの間に隙間を維持ししつ、前記基板をプラズマ処理し、
前記プラズマ処理終了後、前記トレイを前記誘電体部材の前記トレイ支持面から上昇させ、前記誘電体部材の前記基板載置面上に載置された前記基板を前記トレイの前記基板支持部に受け渡し、前記基板接触部を下面に点接触的又は線接触的に接触させることで前記基板支持部に前記基板を支持させ、前記基板を前記基板収容孔に収容し、
前記基板収容孔に前記基板が収容された前記トレイをチャンバ外に搬出する、プラズマ処理方法。
The substrate accommodation hole is formed to penetrate in the thickness direction, and the hole wall of the substrate accommodation hole is inclined with respect to the horizontal direction at a first inclination angle toward the center of the substrate accommodation hole, and the lower surface of the hole wall A substrate support comprising an annular portion having a substrate contact portion that protrudes from the side and is an upper surface that is inclined relative to the horizontal direction at a second inclination angle smaller than the first inclination angle toward the center of the substrate accommodation hole. Prepare a tray with a section,
The substrate is accommodated in the substrate accommodation hole from the upper surface side of the tray, and the outer peripheral edge portion of the substrate is guided to the substrate support portion having the second inclination angle by the hole wall having the first inclination angle. , Supporting the outer peripheral edge of the substrate with the substrate support,
The tray in which the substrate is accommodated in the substrate accommodation hole is carried into a chamber,
The tray is lowered toward a dielectric member provided in the chamber, and a substrate mounting portion protruding upward from the tray support surface of the dielectric member is inserted into the substrate accommodation hole from the lower surface side of the tray. The lower surface of the tray is supported by the tray support surface, and the lower surface of the substrate is placed on the substrate placement surface which is the upper end surface of the substrate placement portion, and a gap is formed between the substrate and the tray. Give rise to
Plasma is generated after decompressing the inside of the chamber, the substrate is plasma-treated while maintaining a gap between the substrate and the tray,
After completion of the plasma processing, the tray is raised from the tray support surface of the dielectric member, and the substrate placed on the substrate placement surface of the dielectric member is transferred to the substrate support portion of the tray. The substrate support part is supported in a point contact or line contact manner with the lower surface of the substrate contact part to support the substrate, and the substrate is accommodated in the substrate accommodation hole.
A plasma processing method, wherein the tray in which the substrate is accommodated in the substrate accommodation hole is carried out of the chamber.
JP2010244354A 2009-04-13 2010-10-29 Plasma processing apparatus and plasma processing method Active JP4841686B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2010244354A JP4841686B2 (en) 2009-04-13 2010-10-29 Plasma processing apparatus and plasma processing method

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2009097251 2009-04-13
JP2009097251 2009-04-13
JP2010244354A JP4841686B2 (en) 2009-04-13 2010-10-29 Plasma processing apparatus and plasma processing method

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2010526103A Division JP4709945B2 (en) 2009-04-13 2010-03-23 Plasma processing apparatus and plasma processing method

Publications (2)

Publication Number Publication Date
JP2011044732A true JP2011044732A (en) 2011-03-03
JP4841686B2 JP4841686B2 (en) 2011-12-21

Family

ID=43831870

Family Applications (3)

Application Number Title Priority Date Filing Date
JP2010526103A Active JP4709945B2 (en) 2009-04-13 2010-03-23 Plasma processing apparatus and plasma processing method
JP2010244354A Active JP4841686B2 (en) 2009-04-13 2010-10-29 Plasma processing apparatus and plasma processing method
JP2010244349A Active JP5297435B2 (en) 2009-04-13 2010-10-29 Plasma processing apparatus and plasma processing method

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2010526103A Active JP4709945B2 (en) 2009-04-13 2010-03-23 Plasma processing apparatus and plasma processing method

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2010244349A Active JP5297435B2 (en) 2009-04-13 2010-10-29 Plasma processing apparatus and plasma processing method

Country Status (1)

Country Link
JP (3) JP4709945B2 (en)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012234991A (en) * 2011-05-02 2012-11-29 Panasonic Corp Substrate plasma processing method
DE102011055061A1 (en) * 2011-11-04 2013-05-08 Aixtron Se CVD reactor or substrate holder for a CVD reactor
JP2013135110A (en) * 2011-12-27 2013-07-08 Panasonic Corp Plasma processing method and plasma processing apparatus for substrate
JP2013143518A (en) * 2012-01-12 2013-07-22 Mitsubishi Heavy Ind Ltd Placement structure of substrate and plasma processing apparatus
JP2017028312A (en) * 2016-10-07 2017-02-02 三菱電機株式会社 Transistor manufacturing method and amplifier manufacturing method
CN110731007A (en) * 2017-05-12 2020-01-24 朗姆研究公司 Temperature regulated substrate support for substrate processing system
CN111863665A (en) * 2020-07-31 2020-10-30 西安奕斯伟硅片技术有限公司 Silicon wafer heating device

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPWO2013146754A1 (en) 2012-03-27 2015-12-14 塩野義製薬株式会社 Aromatic hetero 5-membered ring derivative having TRPV4 inhibitory activity
US9376752B2 (en) * 2012-04-06 2016-06-28 Applied Materials, Inc. Edge ring for a deposition chamber
US9460950B2 (en) 2013-12-06 2016-10-04 Applied Materials, Inc. Wafer carrier for smaller wafers and wafer pieces

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07335616A (en) * 1994-06-06 1995-12-22 Hitachi Ltd Wafer treatment device
JP2000294619A (en) * 1999-04-07 2000-10-20 Kokusai Electric Co Ltd Manufacture of semiconductor and device for manufacturing semiconductor
JP2007109770A (en) * 2005-10-12 2007-04-26 Matsushita Electric Ind Co Ltd Plasma treatment apparatus and plasma treatment method
JP2009055046A (en) * 2008-09-26 2009-03-12 Hitachi Kokusai Electric Inc Method of manufacturing semiconductor and its device

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS63131519A (en) * 1986-11-21 1988-06-03 Toshiba Corp Dry etching apparatus
JP3977935B2 (en) * 1998-08-05 2007-09-19 松下電器産業株式会社 Plasma processing method and apparatus
JP4294816B2 (en) * 1999-11-11 2009-07-15 スピードファム株式会社 Silicon wafer surface treatment method, odorless silicon wafer production method, silicon wafer oxide film formation method, silicon oxide wafer production method, oxygen active species atmosphere formation apparatus, and planarization treatment system
JP4308564B2 (en) * 2002-04-09 2009-08-05 パナソニック株式会社 Plasma processing apparatus and plasma processing tray
KR100964775B1 (en) * 2005-10-12 2010-06-21 파나소닉 주식회사 Plasma processing apparatus and plasma processing method
JP2007109771A (en) * 2005-10-12 2007-04-26 Matsushita Electric Ind Co Ltd Tray for plasma treatment apparatus

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07335616A (en) * 1994-06-06 1995-12-22 Hitachi Ltd Wafer treatment device
JP2000294619A (en) * 1999-04-07 2000-10-20 Kokusai Electric Co Ltd Manufacture of semiconductor and device for manufacturing semiconductor
JP2007109770A (en) * 2005-10-12 2007-04-26 Matsushita Electric Ind Co Ltd Plasma treatment apparatus and plasma treatment method
JP2009055046A (en) * 2008-09-26 2009-03-12 Hitachi Kokusai Electric Inc Method of manufacturing semiconductor and its device

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012234991A (en) * 2011-05-02 2012-11-29 Panasonic Corp Substrate plasma processing method
DE102011055061A1 (en) * 2011-11-04 2013-05-08 Aixtron Se CVD reactor or substrate holder for a CVD reactor
JP2013135110A (en) * 2011-12-27 2013-07-08 Panasonic Corp Plasma processing method and plasma processing apparatus for substrate
JP2013143518A (en) * 2012-01-12 2013-07-22 Mitsubishi Heavy Ind Ltd Placement structure of substrate and plasma processing apparatus
JP2017028312A (en) * 2016-10-07 2017-02-02 三菱電機株式会社 Transistor manufacturing method and amplifier manufacturing method
CN110731007A (en) * 2017-05-12 2020-01-24 朗姆研究公司 Temperature regulated substrate support for substrate processing system
JP2020520099A (en) * 2017-05-12 2020-07-02 ラム リサーチ コーポレーションLam Research Corporation Temperature-controlled substrate support for substrate processing system
CN110731007B (en) * 2017-05-12 2024-04-12 朗姆研究公司 Substrate support for temperature adjustment of a substrate processing system
CN111863665A (en) * 2020-07-31 2020-10-30 西安奕斯伟硅片技术有限公司 Silicon wafer heating device
CN111863665B (en) * 2020-07-31 2024-03-15 西安奕斯伟材料科技股份有限公司 Silicon wafer heating device

Also Published As

Publication number Publication date
JP2011091410A (en) 2011-05-06
JP5297435B2 (en) 2013-09-25
JP4709945B2 (en) 2011-06-29
JPWO2010109848A1 (en) 2012-09-27
JP4841686B2 (en) 2011-12-21

Similar Documents

Publication Publication Date Title
WO2010109848A1 (en) Plasma processing apparatus and plasma processing method
JP5297435B2 (en) Plasma processing apparatus and plasma processing method
JP4361045B2 (en) Plasma processing apparatus and plasma processing method
KR100964775B1 (en) Plasma processing apparatus and plasma processing method
TWI818997B (en) Substrate support pedestal
JP5395633B2 (en) Substrate mounting table for substrate processing apparatus
JP2007109771A (en) Tray for plasma treatment apparatus
KR20020008780A (en) Method and apparatus for dechucking a substrate
JP7454976B2 (en) Substrate support stand, plasma processing system, and edge ring replacement method
JP5595549B2 (en) Tray for plasma processing apparatus, plasma processing apparatus, and plasma processing method
JP2010225775A (en) Plasma treatment apparatus
JP5324975B2 (en) Plasma processing equipment
JP2010232250A (en) Plasma treating apparatus
JP4518712B2 (en) Tray-type multi-chamber substrate processing equipment
JP2003045949A (en) Electrostatic absorption apparatus and vacuum processing apparatus
TW202137325A (en) Plasma processing system and edge ring replacement method
JP2007311823A (en) Chucking device and carrier device
JP5539436B2 (en) Plasma processing apparatus and plasma processing method
JP4781445B2 (en) Plasma processing apparatus and plasma processing method
JP4969595B2 (en) Plasma processing apparatus and plasma processing method
JP2020017590A (en) Substrate support device and plasma processing device
JP4783440B2 (en) Plasma processing apparatus and plasma processing method
JP2017220591A (en) Plasma treatment apparatus, plasma treatment method, and tray for plasma treatment apparatus
JP2011061654A (en) Dry etching method for substrate

Legal Events

Date Code Title Description
AA64 Notification of invalidation of claim of internal priority (with term)

Free format text: JAPANESE INTERMEDIATE CODE: A242764

Effective date: 20101214

A975 Report on accelerated examination

Free format text: JAPANESE INTERMEDIATE CODE: A971005

Effective date: 20110119

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110208

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110408

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110621

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110819

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20110913

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20111004

R150 Certificate of patent or registration of utility model

Ref document number: 4841686

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20141014

Year of fee payment: 3