JP2010225775A - Plasma treatment apparatus - Google Patents

Plasma treatment apparatus Download PDF

Info

Publication number
JP2010225775A
JP2010225775A JP2009070435A JP2009070435A JP2010225775A JP 2010225775 A JP2010225775 A JP 2010225775A JP 2009070435 A JP2009070435 A JP 2009070435A JP 2009070435 A JP2009070435 A JP 2009070435A JP 2010225775 A JP2010225775 A JP 2010225775A
Authority
JP
Japan
Prior art keywords
substrate
tray
heat transfer
transfer gas
chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2009070435A
Other languages
Japanese (ja)
Inventor
Shogo Okita
尚吾 置田
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Panasonic Corp
Original Assignee
Panasonic Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Panasonic Corp filed Critical Panasonic Corp
Priority to JP2009070435A priority Critical patent/JP2010225775A/en
Publication of JP2010225775A publication Critical patent/JP2010225775A/en
Pending legal-status Critical Current

Links

Images

Landscapes

  • Drying Of Semiconductors (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

<P>PROBLEM TO BE SOLVED: To provide an apparatus for highly efficiently cooling a tray in a plasma treatment apparatus which arranges the tray housing a substrate in a substrate housing hole on a substrate susceptor. <P>SOLUTION: The substrate 2 is housed in the substrate housing holes 19A-19D passing through in the thickness direction of the tray 15. A dielectric plate 23 inside a chamber 3 is provided with a tray support surface supporting the lower surface 15c of the tray 15 and substrate mounting parts 29A-29D projected upwards, and incorporates an electrode 40 for electrostatic attraction. The substrate mounting parts 29A-29D are inserted from the lower surface side of the tray 15 to the substrate housing holes 19A-19D, and the substrate 2 is mounted on a substrate mounting surface which is the upper end face. The space between the tray 15 and the tray support surface is sealed by an O ring, and heat transfer gas is supplied from a heat transfer gas supply mechanism 109 to the space. <P>COPYRIGHT: (C)2011,JPO&INPIT

Description

本発明は、ドライエッチング装置、プラズマCVD装置等のプラズマ処理装置に関する。   The present invention relates to a plasma processing apparatus such as a dry etching apparatus and a plasma CVD apparatus.

特許文献1には、厚み方向に貫通する基板収容孔に基板を収容した搬入出可能なトレイを下部電極として機能する基板サセプタ上に配置し、基板収容孔に進入させた基板サセプタの基板載置部の上端面(基板載置面)に基板を載置する構成のプラズマ処理装置が開示されている。基板は静電吸着により基板載置面に密着する。基板サセプタには冷却機構が設けられており、基板は基板サセプタとの直接的な熱伝導により冷却される。   In Patent Document 1, a tray that accommodates a substrate in a substrate accommodation hole penetrating in the thickness direction is disposed on a substrate susceptor that functions as a lower electrode, and the substrate placement of the substrate susceptor that has entered the substrate accommodation hole. A plasma processing apparatus having a configuration in which a substrate is placed on the upper end surface (substrate placement surface) of the part is disclosed. The substrate is brought into close contact with the substrate mounting surface by electrostatic adsorption. The substrate susceptor is provided with a cooling mechanism, and the substrate is cooled by direct heat conduction with the substrate susceptor.

しかし、特許文献1のプラズマ処理装置は、トレイを直接的に冷却するための機構を備えていない。プラズマ処理中は基板だけでなくトレイも高温となる。基板の外周縁付近の領域は基板収容孔の孔壁に臨んでいるため、この領域は高温となったトレイ(基板収容孔の孔壁及び孔壁から突出する基板支持部)からの輻射熱の影響を大きく受ける。具体的には、輻射熱により高温となった基板の外周縁付近の領域においてレジスト焼け(熱によりレジストマスクに変形等の劣化が生じる現象)が起こり、歩留まり低下の原因となる。   However, the plasma processing apparatus of Patent Document 1 does not include a mechanism for directly cooling the tray. During the plasma processing, not only the substrate but also the tray becomes hot. Since the region near the outer peripheral edge of the substrate faces the hole wall of the substrate accommodation hole, this region is affected by radiant heat from the tray (the substrate support hole protruding from the hole wall and the hole wall). Receive greatly. Specifically, resist burn (a phenomenon in which the resist mask undergoes deformation or other deterioration due to heat) occurs in a region near the outer peripheral edge of the substrate that has been heated to high temperatures due to radiant heat, which causes a decrease in yield.

特開2007−109770号公報JP 2007-109770 A

本発明は、基板収容孔に基板を収容したトレイを基板サセプタ上に配置するプラズマ処理装置において、トレイを高効率で冷却し、それによってレジスト焼けを防止して歩留まり向上を図ることを課題とする。   It is an object of the present invention to provide a plasma processing apparatus in which a tray containing a substrate in a substrate receiving hole is disposed on a substrate susceptor, thereby cooling the tray with high efficiency, thereby preventing resist burning and improving yield. .

本発明は、減圧可能なチャンバと、前記チャンバ内にプラズマを発生させるプラズマ発生源と、厚み方向に貫通する基板収容孔が設けられ、前記基板収容孔の孔壁から突出し、前記基板収容孔内に収容された基板の下面の外周縁部分を支持する基板支持部を備える、前記チャンバ内へ搬入搬出可能なトレイと、前記チャンバ内に設けられ、前記チャンバ内に搬入される前記基板を収容した前記トレイの下面を支持するトレイ支持面と、このトレイ支持面から上向きに突出し、前記トレイの下面側から前記基板収容孔に挿入され、かつその上端面である基板載置面に前記基板の下面が載置される基板載置部とを備える、誘電体部材と、前記基板載置部に少なくとも一部が内蔵された、前記基板を前記基板載置面に静電吸着するための静電吸着用電極と、前記静電吸着用電極に直流電圧を印加する直流電圧印加機構と、前記トレイを前記トレイ支持面に押し付けるクランプ部材と、前記基板と前記基板載置面との間の第1の空間に第1の伝熱ガスを供給する第1の伝熱ガス供給機構と、前記トレイと前記トレイ支持面との間の第2の空間に第2の伝熱ガスを供給する第2の伝熱ガス供給機構と、前記トレイ支持面に配置されて前記第2の空間をシールするシール部材とを備えることを特徴とする、プラズマ処理装置を提供する。   The present invention is provided with a chamber capable of depressurization, a plasma generation source for generating plasma in the chamber, and a substrate accommodation hole penetrating in the thickness direction, protruding from a hole wall of the substrate accommodation hole, A substrate support portion that supports an outer peripheral edge portion of the lower surface of the substrate accommodated in the tray, a tray that can be carried into and out of the chamber, and the substrate that is provided in the chamber and carried into the chamber is accommodated. A tray supporting surface that supports the lower surface of the tray, and protrudes upward from the tray supporting surface, is inserted into the substrate receiving hole from the lower surface side of the tray, and is placed on the substrate mounting surface that is the upper end surface of the lower surface of the substrate An electrostatic chuck for electrostatically adsorbing the substrate to the substrate mounting surface, at least a part of which is built in the dielectric member, and a substrate mounting unit on which the substrate is mounted for A first voltage space, a DC voltage application mechanism that applies a DC voltage to the electrostatic chucking electrode, a clamp member that presses the tray against the tray support surface, and a first space between the substrate and the substrate mounting surface A first heat transfer gas supply mechanism for supplying the first heat transfer gas to the second heat transfer gas, and a second heat transfer gas for supplying the second heat transfer gas to the second space between the tray and the tray support surface. A plasma processing apparatus is provided, comprising: a gas supply mechanism; and a seal member that is disposed on the tray support surface and seals the second space.

第1の伝熱ガス供給機構から基板と基板載置面と間の空間に第1の伝熱ガスを供給するだけでなく、クランプ部材で押し付けられるトレイとトレイ支持面との間の第2の空間に第2の伝熱ガス供給機構から第2の伝熱ガスを供給しているので、第2の伝熱ガスを介した誘電体部材との熱伝導によりトレイを直接的に冷却できる。その結果、トレイを高効率で冷却できるので、基板の外周縁付近の領域に対するトレイ(基板収容孔の孔壁及び孔壁から突出する基板支持部)からの輻射熱を大幅に低減でき、この領域におけるレジスト焼けを防止して歩留まりを向上できる。   In addition to supplying the first heat transfer gas from the first heat transfer gas supply mechanism to the space between the substrate and the substrate mounting surface, a second portion between the tray pressed by the clamp member and the tray support surface is provided. Since the second heat transfer gas is supplied to the space from the second heat transfer gas supply mechanism, the tray can be directly cooled by heat conduction with the dielectric member via the second heat transfer gas. As a result, since the tray can be cooled with high efficiency, the radiant heat from the tray (the hole wall of the substrate housing hole and the substrate support portion protruding from the hole wall) to the region near the outer periphery of the substrate can be significantly reduced. Yield can be improved by preventing resist burning.

前記基板載置面に載置された前記基板の下面が前記トレイの前記基板支持部から所定量離間するように、前記トレイの下面から前記基板支持部の上面までの距離が、前記トレイ支持部から前記基板載置面までの距離よりも短く設定されている。   The distance from the lower surface of the tray to the upper surface of the substrate support portion is such that the lower surface of the substrate placed on the substrate placement surface is separated from the substrate support portion of the tray by a predetermined amount. Is set to be shorter than the distance from the substrate mounting surface.

この構成により、トレイの下面がトレイ支持部に載置された状態では、基板載置面で押し上げられた基板は基板支持部から浮き上がった状態となる。   With this configuration, when the lower surface of the tray is placed on the tray support portion, the substrate pushed up by the substrate placement surface is lifted from the substrate support portion.

好ましくは、前記トレイに前記基板収容孔が複数個設けられ、前記誘電体部材は前記基板載置部を複数個備え、個々の前記基板載置部がそれぞれ個々の基板収容孔に挿入される。   Preferably, a plurality of the substrate accommodation holes are provided in the tray, the dielectric member includes a plurality of the substrate placement portions, and each of the substrate placement portions is inserted into each of the substrate accommodation holes.

この構成により、バッチ処理を実現できる。   With this configuration, batch processing can be realized.

本発明のプラズマ処理装置では、基板と基板載置面と間の空間だけでなく、クランプ部材で押し付けられるトレイとトレイ支持面との間の空間にも伝熱ガスを供給しているので、トレイを高効率で冷却でき、トレイからの輻射熱に起因する基板の外周縁付近の領域のレジスト焼けを防止して歩留まりを向上できる。   In the plasma processing apparatus of the present invention, the heat transfer gas is supplied not only to the space between the substrate and the substrate mounting surface but also to the space between the tray pressed by the clamp member and the tray support surface. Can be cooled with high efficiency, and resist baking can be prevented in the region near the outer peripheral edge of the substrate due to radiant heat from the tray, thereby improving the yield.

図1及び図2は、本発明の実施形態に係るICP(誘導結合プラズマ)型のドライエッチング装置1を示す。   1 and 2 show an ICP (inductively coupled plasma) type dry etching apparatus 1 according to an embodiment of the present invention.

ドライエッチング装置1は、その内部が基板2にプラズマ処理を行う処理室を構成する減圧可能なチャンバ(真空容器)3を備える。チャンバ3の上端開口は石英等の誘電体からなる天板4により密閉状態で閉鎖されている。天板4上にはICPコイル5が配設されている。ICPコイル5にはマッチング回路6を介して、高周波電源7が電気的に接続されている。天板4と対向するチャンバ3内の底部側には、バイアス電圧が印加される下部電極としての機能及び基板2の保持台としての機能を有する基板サセプタ9が配設されている。また、チャンバ内には昇降可能なクランプリング(クランプ)100が配置されている。クランプリング100は鉛直方向に延びる昇降ロッド101の上端に固定されている。昇降ロッド101の下端はベローズ102を介してチャンバ3の外部に突出している。昇降ロッド101には駆動装置103が機械的に連結されている。この駆動装置103が昇降ロッド101を昇降させることにより、チャンバ3内でクランプリング100が昇降する。   The dry etching apparatus 1 includes a depressurizable chamber (vacuum container) 3 that constitutes a processing chamber in which plasma processing is performed on the substrate 2. The upper end opening of the chamber 3 is closed in a sealed state by a top plate 4 made of a dielectric material such as quartz. An ICP coil 5 is disposed on the top plate 4. A high frequency power source 7 is electrically connected to the ICP coil 5 via a matching circuit 6. A substrate susceptor 9 having a function as a lower electrode to which a bias voltage is applied and a function as a holding table for the substrate 2 is disposed on the bottom side in the chamber 3 facing the top plate 4. A clamp ring (clamp) 100 that can be raised and lowered is disposed in the chamber. The clamp ring 100 is fixed to the upper end of a lifting rod 101 extending in the vertical direction. The lower end of the elevating rod 101 protrudes outside the chamber 3 through the bellows 102. A driving device 103 is mechanically connected to the lifting rod 101. When the driving device 103 raises and lowers the lifting rod 101, the clamp ring 100 moves up and down in the chamber 3.

チャンバ3には、隣接するロードドック室10(図2参照)と連通する開閉可能な搬入出用のゲート3aが設けられている。また、チャンバ3に設けられたエッチングガス供給口3bには、エッチングガス供給源12が接続されている。エッチングガス供給源12はMFC(マスフローコントローラ)等を備え、エッチングガス供給口3bから所望の流量でエッチングガスを供給できる。さらに、チャンバ3に設けられた排気口3cには、真空ポンプ等を備える真空排気装置13が接続されている。   The chamber 3 is provided with a loading / unloading gate 3a that can be opened and closed and communicates with an adjacent load dock chamber 10 (see FIG. 2). An etching gas supply source 12 is connected to the etching gas supply port 3 b provided in the chamber 3. The etching gas supply source 12 includes an MFC (mass flow controller) or the like, and can supply an etching gas at a desired flow rate from the etching gas supply port 3b. Further, a vacuum exhaust device 13 including a vacuum pump or the like is connected to the exhaust port 3 c provided in the chamber 3.

本実施形態では、図3から図4Bに示す1個のトレイ15に4枚の基板2が収容され、トレイ15はゲート3aを通ってロードドック室10からチャンバ3内(処理室)に搬入される。図2を参照すると、水平方向の直進移動(矢印A参照)と水平面内での回転(矢印B参照)が可能な搬送アーム16が設けられている。また、チャンバ3内には、基板サセプタ9を貫通し、かつ駆動装置17で駆動されて昇降する昇降ピン18が設けられている。トレイ15の搬入時には、トレイ15を支持した搬送アーム16がゲート3aを通ってロードドック室10からチャンバ3内に進入する。この際、図1において二点鎖線で示すように昇降ピン18は上昇位置にあり、チャンバ3内に進入した搬送アーム16から昇降ピン18の上端にトレイ15が移載される。この状態では、トレイ15は基板サセプタ9の上方に間隔をあけて位置している。このトレイ15の搬入時にはクランプリング100は搬送アーム16と干渉しないように上方の退避位置にある。続いて、昇降ピン18が図1において実線で示す降下位置に降下し、それによってトレイ15と基板2が基板サセプタ9上に載置される。その後、クランプリング100が降下してトレイ15を基板サセプタ9に押し付ける。一方、プラズマ処理終了後のトレイ15の搬出時には、クランプリング100が退避位置まで上昇してトレイ15の基板サセプタ9への押し付けが解除された後、昇降ピン18が上昇位置まで上昇し、続いてロードドック室10からチャンバ3内に進入した搬送アーム16にトレイ15が移載される。   In this embodiment, four substrates 2 are accommodated in one tray 15 shown in FIGS. 3 to 4B, and the tray 15 is carried into the chamber 3 (processing chamber) from the load dock chamber 10 through the gate 3a. The Referring to FIG. 2, there is provided a transfer arm 16 that can move in a horizontal direction (see arrow A) and rotate in a horizontal plane (see arrow B). In the chamber 3, lift pins 18 that pass through the substrate susceptor 9 and are driven by a drive device 17 to move up and down are provided. When the tray 15 is carried in, the transfer arm 16 that supports the tray 15 enters the chamber 3 from the load dock chamber 10 through the gate 3a. At this time, as shown by a two-dot chain line in FIG. 1, the lifting pins 18 are in the raised position, and the tray 15 is transferred from the transfer arm 16 that has entered the chamber 3 to the upper end of the lifting pins 18. In this state, the tray 15 is positioned above the substrate susceptor 9 with a gap. When the tray 15 is carried in, the clamp ring 100 is at the upper retracted position so as not to interfere with the transport arm 16. Subsequently, the elevating pins 18 are lowered to the lowered position indicated by the solid line in FIG. 1, whereby the tray 15 and the substrate 2 are placed on the substrate susceptor 9. Thereafter, the clamp ring 100 is lowered to press the tray 15 against the substrate susceptor 9. On the other hand, when the tray 15 is unloaded after the plasma processing is finished, the clamp ring 100 is raised to the retracted position and the pressing of the tray 15 to the substrate susceptor 9 is released, and then the lifting pins 18 are raised to the raised position. The tray 15 is transferred from the load dock chamber 10 to the transfer arm 16 that has entered the chamber 3.

次に、図3から図4B及び図6Aから図6Dを参照して、トレイ15について説明する。トレイ15は薄板円板状のトレイ本体15aを備える。トレイ15の材質としては、例えばアルミナ(Al2O3)、窒化アルミニウム(AlN)、ジルコニア(ZrO)、イットリア(Y2O3)、窒化シリコン(SiN)、炭化シリコン(SiC)等のセラミクス材や、アルマイトで被覆したアルミニウム、表面にセラミクスを溶射したアルミニウム、樹脂材料で被覆したアルミニウム等の金属がある。Cl系プロセスの場合にはアルミナ、イットリア、炭化シリコン、窒化アルミニウム等、F系プロセスの場合には石英、水晶、イットリア、炭化シリコン、アルマイトを容射したアルミニウム等を採用することが考えられる。 Next, the tray 15 will be described with reference to FIGS. 3 to 4B and FIGS. 6A to 6D. The tray 15 includes a thin disc-shaped tray body 15a. Examples of the material of the tray 15 include ceramic materials such as alumina (Al 2 O 3 ), aluminum nitride (AlN), zirconia (ZrO), yttria (Y 2 O 3 ), silicon nitride (SiN), and silicon carbide (SiC). There are also metals such as aluminum coated with alumite, aluminum coated with ceramics on the surface, and aluminum coated with a resin material. It is conceivable to employ alumina, yttria, silicon carbide, aluminum nitride or the like in the case of a Cl-based process, and aluminum or the like which applies quartz, quartz, yttria, silicon carbide, anodized or the like in the case of an F-based process.

トレイ本体15aには、上面15bから下面15cまで厚み方向に貫通する4個の基板収容孔19A〜19Dが設けられている。基板収容孔19A〜19Dは、上面15b及び下面15cから見てトレイ本体15aの中心に対して等角度間隔で配置されている。図6Aから図6Dに最も明瞭に示すように、基板収容孔19A〜19Dの孔壁15dの下面15c側には、基板収容孔19A〜19Dの中心に向けて突出する基板支持部21が設けられている。本実施形態では、基板支持部21は孔壁15dの全周に設けられており、平面視で円環状である。個々の基板収容孔19A〜19Dに周方向に互いに間隔をあけて配置した複数の基板支持部21を設けてもよい。   The tray body 15a is provided with four substrate accommodation holes 19A to 19D that penetrate in the thickness direction from the upper surface 15b to the lower surface 15c. The substrate accommodation holes 19A to 19D are arranged at equiangular intervals with respect to the center of the tray body 15a when viewed from the upper surface 15b and the lower surface 15c. As shown most clearly in FIGS. 6A to 6D, a substrate support portion 21 that protrudes toward the center of the substrate accommodation holes 19A to 19D is provided on the lower surface 15c side of the hole wall 15d of the substrate accommodation holes 19A to 19D. ing. In this embodiment, the board | substrate support part 21 is provided in the perimeter of the hole wall 15d, and is annular | circular shape by planar view. You may provide the several board | substrate support part 21 arrange | positioned in the each board | substrate accommodation hole 19A-19D at intervals in the circumferential direction.

個々の基板収容孔19A〜19Bにはそれぞれ1枚の基板2が収容される。図6Aに示すように、基板収容孔19A〜19Bに収容された基板2は、その下面2aの外周縁部分が基板支持部21の上面21aに支持される。また、前述のように基板収容孔19A〜19Dはトレイ本体15aを厚み方向に貫通するように形成されているので、トレイ本体15aの下面15c側から見ると、基板収容孔19A〜19Dにより基板2の下面2aが露出している。   One substrate 2 is accommodated in each of the substrate accommodation holes 19A to 19B. As shown in FIG. 6A, the outer peripheral edge portion of the lower surface 2 a of the substrate 2 accommodated in the substrate accommodating holes 19 </ b> A to 19 </ b> B is supported by the upper surface 21 a of the substrate support portion 21. Further, as described above, the substrate accommodation holes 19A to 19D are formed so as to penetrate the tray main body 15a in the thickness direction. Therefore, when viewed from the lower surface 15c side of the tray main body 15a, the substrate accommodation holes 19A to 19D allow the substrate 2 to pass through. The lower surface 2a is exposed.

トレイ本体15aには、外周縁を部分的に切り欠いた位置決め切欠15eが設けられている。図2に示すように、前述の搬入出用の搬送アーム16にトレイを載置する際に、位置決め切欠15eに搬送アーム16の位置決め突起16aが嵌め込まれる。位置決め切欠15e及び位置決め突起16aをロードドック室10内に設けられたセンサ22A,22Bで検出することにより、トレイ15の回転角度位置を検出できる。   The tray body 15a is provided with a positioning cutout 15e in which the outer peripheral edge is partially cut out. As shown in FIG. 2, when the tray is placed on the carry-in / out carrying arm 16, the positioning protrusion 16a of the carrying arm 16 is fitted into the positioning notch 15e. By detecting the positioning notches 15e and the positioning protrusions 16a with the sensors 22A and 22B provided in the load dock chamber 10, the rotational angle position of the tray 15 can be detected.

次に、図1、図3、及び図5Aから図6Dを参照して、基板サセプタ9について説明する。まず、図1を参照すると、基板サセプタ9は、セラミクス等からなる誘電体板(誘電体部材)23、表面にアルマイト被覆を形成したアルミニウム等からなり、本実施形態ではペデスタル電極として機能する金属板(支持部材)24、セラミクス等からなるスペーサ板25、セラミクス等からなるガイド筒体26、及び金属製のアースシールド27を備える。基板サセプタ9の最上部を構成する誘電体板23は、金属板24の上面に固定されている。また、金属板24はスペーサ板25上に固定されている。さらに、誘電体板23と金属板24の外周をガイド筒26が覆い、その外側とスペーサ板25の外周をアースシールド27が覆っている。   Next, the substrate susceptor 9 will be described with reference to FIGS. 1, 3, and 5A to 6D. First, referring to FIG. 1, the substrate susceptor 9 is made of a dielectric plate (dielectric member) 23 made of ceramics or the like, aluminum having an alumite coating on the surface, etc., and in this embodiment, a metal plate that functions as a pedestal electrode (Support member) 24, a spacer plate 25 made of ceramics or the like, a guide cylinder 26 made of ceramics or the like, and a metal earth shield 27 are provided. The dielectric plate 23 constituting the uppermost part of the substrate susceptor 9 is fixed to the upper surface of the metal plate 24. The metal plate 24 is fixed on the spacer plate 25. Further, the guide cylinder 26 covers the outer periphery of the dielectric plate 23 and the metal plate 24, and the earth shield 27 covers the outer periphery thereof and the outer periphery of the spacer plate 25.

図3及び図5Aから図6Dを参照すると、誘電体板23は全体として薄い円板状であり、平面視での外形が円形である。誘電体板23の上端面は、トレイ15の下面15cを支持するトレイ支持面(トレイ支持部)28を構成する。また、それぞれトレイ15の基板収容孔19A〜19Dと対応する短円柱状の4個の基板載置部29A〜29Dがトレイ支持面28から上向きに突出している。   Referring to FIGS. 3 and 5A to 6D, the dielectric plate 23 has a thin disk shape as a whole, and has an outer shape in a plan view. The upper end surface of the dielectric plate 23 constitutes a tray support surface (tray support portion) 28 that supports the lower surface 15 c of the tray 15. Further, four short columnar substrate placement portions 29A to 29D respectively corresponding to the substrate accommodation holes 19A to 19D of the tray 15 protrude upward from the tray support surface 28.

基板載置部29A〜29Dの上端面は、基板2の下面2aが載置される基板載置面31を構成する。また、基板載置部29A〜29Dには、基板載置面31の外周縁から上向きに突出し、その上端面が基板2の下面2aを支持する円環状突出部32が設けられている。また、基板載置面31の円環状突出部32で囲まれた部分には、基板載置面31よりも十分径が小さい円柱状突起33が、均一に分布するように複数個設けられている。円環状突出部32のみでなく円柱状突起33の上端面も基板2の下面2aを支持する。   The upper end surfaces of the substrate placement portions 29A to 29D constitute a substrate placement surface 31 on which the lower surface 2a of the substrate 2 is placed. Further, the substrate placement portions 29 </ b> A to 29 </ b> D are provided with an annular protrusion 32 that protrudes upward from the outer peripheral edge of the substrate placement surface 31 and whose upper end surface supports the lower surface 2 a of the substrate 2. In addition, a plurality of columnar projections 33 having a sufficiently smaller diameter than the substrate mounting surface 31 are provided in a portion surrounded by the annular protrusion 32 of the substrate mounting surface 31 so as to be uniformly distributed. . Not only the annular protrusion 32 but also the upper end surface of the columnar protrusion 33 supports the lower surface 2 a of the substrate 2.

図6A及び図6Dを参照すると、基板載置部29A〜29Dの外径R1は、基板支持部21の先端面21bで囲まれた円形開口36の径R2よりも小さく設定されている。従って、前述の搬入時にトレイ15が誘電体板23に向けて降下すると、個々の基板載置部29A〜29Dは対応する基板収容孔19A〜19Dにトレイ本体15aの下面15c側から進入し、トレイ15の下面15cは誘電体板23のトレイ支持面28上に載置される。また、トレイ本体15aの下面15cからの基板支持部21の上面21aの高さH1は、トレイ支持面28からの基板載置面31の高さH2よりも低く設定している。従って、トレイ15の下面15cがトレイ支持面28上に載置された状態では、基板2は基板載置部29A〜29Dの上端の基板載置面31で押し上げられ、トレイ15の基板支持部21から浮き上がっている。換言すれば、基板収容孔19A〜19Dに基板2を収容しているトレイ15を誘電体板23のトレイ支持面28上に載置すると、基板収容孔19A〜19Dに収容された基板2の下面2aは、基板支持部21の上面21aから浮き上がって所定量だけ上方に離間し(基板支持部21に対して非接触)、基板載置面31によって支持される。基板載置面31によって支持された基板2の外周縁部は、トレイ15、具体的には基板収容孔19A〜19Dの孔壁15d及び基板載置部21の先端に対して間隔をあけて臨んでいる。   Referring to FIGS. 6A and 6D, the outer diameter R1 of the substrate placement portions 29A to 29D is set smaller than the diameter R2 of the circular opening 36 surrounded by the front end surface 21b of the substrate support portion 21. Accordingly, when the tray 15 is lowered toward the dielectric plate 23 at the time of carrying in, the individual substrate placement portions 29A to 29D enter the corresponding substrate accommodation holes 19A to 19D from the lower surface 15c side of the tray main body 15a, and the tray. The lower surface 15 c of 15 is placed on the tray support surface 28 of the dielectric plate 23. Further, the height H1 of the upper surface 21a of the substrate support portion 21 from the lower surface 15c of the tray body 15a is set lower than the height H2 of the substrate placement surface 31 from the tray support surface 28. Therefore, in a state where the lower surface 15c of the tray 15 is placed on the tray support surface 28, the substrate 2 is pushed up by the substrate placement surface 31 at the upper end of the substrate placement portions 29A to 29D, and the substrate support portion 21 of the tray 15 is placed. From the surface. In other words, when the tray 15 accommodating the substrate 2 in the substrate accommodating holes 19A to 19D is placed on the tray support surface 28 of the dielectric plate 23, the lower surface of the substrate 2 accommodated in the substrate accommodating holes 19A to 19D. 2 a is lifted from the upper surface 21 a of the substrate support portion 21, separated upward by a predetermined amount (not in contact with the substrate support portion 21), and supported by the substrate placement surface 31. The outer peripheral edge portion of the substrate 2 supported by the substrate placement surface 31 faces the tray 15, specifically, the hole wall 15 d of the substrate accommodation holes 19 </ b> A to 19 </ b> D and the tip of the substrate placement portion 21. It is out.

図1及び図6Aから図6Dを参照すると、誘電体板23の個々の基板載置部29A〜29Dの基板載置面31付近には単極型の静電吸着用電極40が内蔵されている。本実施形態では、これらの静電吸着用電極40は平板状である。静電吸着用電極40は電気的に互いに絶縁されており、直流電源41と調整用の抵抗42等を備える共通の直流電圧印加機構43から静電吸着用の直流電圧が印加される。静電吸着用電極は双極型でもよい。また、基板載置部29A〜29Dに共通して1個の静電吸着用電極を設けてもよい。   Referring to FIGS. 1 and 6A to 6D, a monopolar electrostatic attraction electrode 40 is built in the vicinity of the substrate placement surface 31 of each of the substrate placement portions 29A to 29D of the dielectric plate 23. . In the present embodiment, these electrostatic adsorption electrodes 40 have a flat plate shape. The electrostatic chucking electrodes 40 are electrically insulated from each other, and a DC voltage for electrostatic chucking is applied from a common DC voltage applying mechanism 43 including a DC power source 41 and an adjusting resistor 42. The electrode for electrostatic attraction may be a bipolar type. Moreover, you may provide one electrode for electrostatic attraction in common with substrate mounting part 29A-29D.

図3及び図5Aから図6Dを参照すると、個々の基板載置部29A〜29Dの基板載置面31には、伝熱ガス(本実施形態ではヘリウム)の供給孔44が設けられている。これらの供給孔44は共通の伝熱ガス供給機構45(図1に図示する)に接続されている。伝熱ガス供給機構(第1の伝熱ガス供給機構)45は、伝熱ガス源(本実施形態ではヘリウムガス源)46、伝熱ガス源46から供給孔44に到る供給流路47、供給流路47の伝熱ガス源46側から順に設けられた流量計48、流量制御バルブ49、及び圧力計50を備える。また、伝熱ガス供給機構45は、供給流路47から分岐する排出流路51と、この排出流路51に設けられたカットオフバルブ52を備える。さらに、伝熱ガス供給機構45は、供給流路47の圧力計50よりも供給孔44側と排出流路51を接続するバイパス流路53を備える。個々の基板載置部29A〜29Dの基板載置面31とその上に載置された基板2の下面2aとの間、詳細には基板2の下面2aと円環状突出部32で囲まれた閉鎖された空間(第1の空間)に、伝熱ガス供給機構45によって伝熱ガスが供給される。伝熱ガスの供給時にはカットオフバルブ52は閉弁され、伝熱ガス供給源46から供給路47を経て供給孔44へ伝熱ガスが送られる。流量計48と圧力計50で検出される供給流路47の流量及び圧力に基づき、後述するコントローラ63が流量制御バルブ49を制御する。一方、伝熱ガスの排出時にはカットオフバルブ52が開弁され、基板2の下面2aと基板載置面31の間の伝熱ガスは、供給孔44、供給流路47、及び排出流路51を経て排気口54から排気される。   Referring to FIG. 3 and FIGS. 5A to 6D, heat transfer gas (helium in this embodiment) supply holes 44 are provided in the substrate placement surfaces 31 of the individual substrate placement portions 29 </ b> A to 29 </ b> D. These supply holes 44 are connected to a common heat transfer gas supply mechanism 45 (shown in FIG. 1). A heat transfer gas supply mechanism (first heat transfer gas supply mechanism) 45 includes a heat transfer gas source (in this embodiment, a helium gas source) 46, a supply flow path 47 from the heat transfer gas source 46 to the supply hole 44, A flow meter 48, a flow control valve 49, and a pressure gauge 50 are provided in order from the heat transfer gas source 46 side of the supply flow path 47. The heat transfer gas supply mechanism 45 includes a discharge flow channel 51 that branches from the supply flow channel 47 and a cut-off valve 52 provided in the discharge flow channel 51. Furthermore, the heat transfer gas supply mechanism 45 includes a bypass channel 53 that connects the supply channel 44 side to the discharge channel 51 with respect to the pressure gauge 50 of the supply channel 47. Between the substrate placement surface 31 of each of the substrate placement portions 29A to 29D and the lower surface 2a of the substrate 2 placed thereon, in detail, it is surrounded by the lower surface 2a of the substrate 2 and the annular protrusion 32. The heat transfer gas is supplied to the closed space (first space) by the heat transfer gas supply mechanism 45. When supplying the heat transfer gas, the cutoff valve 52 is closed, and the heat transfer gas is sent from the heat transfer gas supply source 46 to the supply hole 44 through the supply path 47. Based on the flow rate and pressure of the supply flow path 47 detected by the flow meter 48 and the pressure gauge 50, the controller 63 described later controls the flow rate control valve 49. On the other hand, when the heat transfer gas is discharged, the cut-off valve 52 is opened, and the heat transfer gas between the lower surface 2a of the substrate 2 and the substrate placement surface 31 passes through the supply hole 44, the supply flow path 47, and the discharge flow path 51. Then, the air is exhausted from the exhaust port 54.

図5A及び図5Bに最も明瞭に示すように、誘電体板23のトレイ支持面28には収容溝104,105A〜105Dが設けられている。これらの収容溝104,105A〜105DにはそれぞれOリング(シール部材)106,107A〜107Dが収容されている。収容溝104はトレイ支持面28の外周縁に沿って形成された大径の円環状の溝である。収容溝107A〜107Dは、それぞれ対応する基板載置部29A〜29Dを取り囲むように形成された小径の円環状の溝である。これらのOリング106,107A〜107Dにより、トレイ支持面28にトレイ15を載置した際に、トレイ15の下面15cとトレイ支持面28との間に形成される空間(第2の空間)がシールされて密閉状態となる。   As shown most clearly in FIGS. 5A and 5B, receiving grooves 104, 105 </ b> A to 105 </ b> D are provided on the tray support surface 28 of the dielectric plate 23. O-rings (seal members) 106 and 107A to 107D are accommodated in the accommodating grooves 104 and 105A to 105D, respectively. The housing groove 104 is a large-diameter annular groove formed along the outer peripheral edge of the tray support surface 28. The housing grooves 107A to 107D are small-diameter annular grooves formed so as to surround the corresponding substrate mounting portions 29A to 29D, respectively. A space (second space) formed between the lower surface 15c of the tray 15 and the tray support surface 28 when the tray 15 is placed on the tray support surface 28 by the O-rings 106 and 107A to 107D. Sealed and sealed.

図1、図3、及び図5Aを参照すると、トレイ支持面28の中央には、トレイ15の下面15cとトレイ支持面28との間の空間に連通する供給孔108Aが設けられている。また、昇降ピン18を収容した孔(より具体的には昇降ピン18と孔との間の隙間)も供給孔108Bとして機能する。これらの供給孔108A,Bは伝熱ガス供給機構(第2の伝熱ガス供給機構)109に接続されている。この伝熱ガス供給機構109は、前述した基板2の下面2aと基板載置面31の間の空間に伝熱ガスを供給するための伝熱ガス供給機構45と機能及び構成が同様である(同一の要素には同一の符号を付している)。この伝熱ガス供給機構109により、トレイ支持面28にはトレイ15の下面15cとトレイ支持面28との間の空間に対して供給孔108から伝熱ガス(本実施形態ではヘリウム)が供給される。単一の伝熱ガス供給機構により、基板2の下面2aと基板載置面31の間の空間と、トレイ15の下面15cとトレイ支持面28との間の空間の両方に伝熱ガスを供給してもよい。トレイ支持面28には、供給孔108A,Bから噴出する伝熱ガスをトレイ15とトレイ支持面28との間の空間に速やかに拡散させるために、溝200,201が形成されている。溝200はトレイ支持面28の外周縁付近に形成された円環状の溝であり、複数の溝201が供給孔108Aと溝200を接続するように放射状に延びている。供給孔108Bは溝200,201の接続箇所付近に設けられている。   Referring to FIGS. 1, 3, and 5 </ b> A, a supply hole 108 </ b> A communicating with the space between the lower surface 15 c of the tray 15 and the tray support surface 28 is provided in the center of the tray support surface 28. Moreover, a hole (more specifically, a gap between the lift pin 18 and the hole) that accommodates the lift pin 18 also functions as the supply hole 108B. These supply holes 108A and 108B are connected to a heat transfer gas supply mechanism (second heat transfer gas supply mechanism) 109. The heat transfer gas supply mechanism 109 has the same function and configuration as the heat transfer gas supply mechanism 45 for supplying heat transfer gas to the space between the lower surface 2a of the substrate 2 and the substrate mounting surface 31 described above ( The same elements are given the same reference numerals). By this heat transfer gas supply mechanism 109, heat transfer gas (helium in this embodiment) is supplied from the supply hole 108 to the space between the lower surface 15 c of the tray 15 and the tray support surface 28 on the tray support surface 28. The Heat transfer gas is supplied to both the space between the lower surface 2a of the substrate 2 and the substrate placement surface 31 and the space between the lower surface 15c of the tray 15 and the tray support surface 28 by a single heat transfer gas supply mechanism. May be. Grooves 200 and 201 are formed in the tray support surface 28 in order to quickly diffuse the heat transfer gas ejected from the supply holes 108A and 108B into the space between the tray 15 and the tray support surface 28. The grooves 200 are annular grooves formed near the outer peripheral edge of the tray support surface 28, and a plurality of grooves 201 extend radially so as to connect the supply holes 108 </ b> A and the grooves 200. The supply hole 108B is provided in the vicinity of the connection location of the grooves 200 and 201.

金属板24には、プラズマ発生用の高周波電圧であるバイアス電圧を印加する高周波印加機構56が電気的に接続されている。高周波印加機構56は、高周波電源57とマッチング用の可変容量コンデンサ58とを備える。   A high frequency applying mechanism 56 that applies a bias voltage, which is a high frequency voltage for generating plasma, is electrically connected to the metal plate 24. The high frequency applying mechanism 56 includes a high frequency power source 57 and a matching variable capacitor 58.

また、金属板24を冷却する冷却機構59が設けられている。冷却機構59は金属板24内に形成された冷媒流路60と、温調された冷媒を冷媒流路60中で循環させる冷媒循環装置61とを備える。   Further, a cooling mechanism 59 for cooling the metal plate 24 is provided. The cooling mechanism 59 includes a refrigerant flow path 60 formed in the metal plate 24 and a refrigerant circulation device 61 that circulates the temperature-controlled refrigerant in the refrigerant flow path 60.

図1にのみ模式的に示すコントローラ63は、流量計48及び圧力計50を含む種々のセンサや操作入力に基づいて、高周波電源7、エッチングガス供給源12、搬送アーム16、真空排気装置13、駆動装置17,103、直流電圧印加機構43、伝熱ガス供給機構45,109、高周波電圧印加機構56、及び冷却機構59を含むドライエッチング装置1全体の動作を制御する。   The controller 63 schematically shown only in FIG. 1 is based on various sensors and operation inputs including a flow meter 48 and a pressure gauge 50, and a high-frequency power source 7, an etching gas supply source 12, a transfer arm 16, a vacuum exhaust device 13, The operation of the entire dry etching apparatus 1 including the driving devices 17 and 103, the DC voltage application mechanism 43, the heat transfer gas supply mechanisms 45 and 109, the high frequency voltage application mechanism 56, and the cooling mechanism 59 is controlled.

次に、本実施形態のドライエッチング装置1を使用したドライエッチング方法を説明する。   Next, a dry etching method using the dry etching apparatus 1 of the present embodiment will be described.

まず、トレイ1の基板収容孔19A〜19Dにそれぞれ基板2が収容される。トレイ1の基板支持部21aで支持された基板2は、トレイ本体15aの下面側から見ると基板収容孔19A〜19Dによりトレイ本体15aの下面15cから露出している。   First, the board | substrate 2 is accommodated in the board | substrate accommodation holes 19A-19D of the tray 1, respectively. The substrate 2 supported by the substrate support portion 21a of the tray 1 is exposed from the lower surface 15c of the tray body 15a through the substrate housing holes 19A to 19D when viewed from the lower surface side of the tray body 15a.

次に、基板収容孔19A〜19Dにそれぞれ基板2が収容されたトレイ15が搬送アーム16で支持され、ロードドック室10からゲート3aを通ってチャンバ3内に搬入される。図1の二点鎖線で示すように、トレイ1は基板サセプタ9の上方に間隔をあけて配置される。また、クランプリング100は搬送アーム16と干渉しないように上方の退避位置にある。   Next, the tray 15 that accommodates the substrate 2 in each of the substrate accommodation holes 19A to 19D is supported by the transfer arm 16, and is carried into the chamber 3 from the load dock chamber 10 through the gate 3a. As shown by a two-dot chain line in FIG. 1, the tray 1 is disposed above the substrate susceptor 9 with a gap. Further, the clamp ring 100 is in the upper retracted position so as not to interfere with the transport arm 16.

図6Aに示すように駆動装置17によって駆動された昇降ピン18が上昇し、搬送アーム16から昇降ピン18の上端にトレイ15が移載される。トレイ15の移載後、搬送アーム16はロードロック室10に待避し、ゲート3aが閉鎖される。   As shown in FIG. 6A, the lifting pins 18 driven by the driving device 17 are raised, and the tray 15 is transferred from the transfer arm 16 to the upper end of the lifting pins 18. After the transfer of the tray 15, the transfer arm 16 is retracted to the load lock chamber 10, and the gate 3a is closed.

上端にトレイ15を支持した昇降ピン18は、図1において二点鎖線で示す上昇位置から基板サセプタ9に向けて降下する。図6B及び図6Cを参照すると、トレイ15は下面15cが基板サセプタ9の誘電体板23のトレイ支持面28まで降下し、トレイ15は誘電体板23のトレイ支持面28によって支持される。トレイ15がトレイ支持面28に向けて降下する際に、誘電体板23の基板載置部29A〜29Dがトレイ15の対応する基板収容孔19A〜19D内にトレイ15の下面15c側から進入する。トレイ15の下面15cがトレイ支持面28に近付くのに伴い、基板載置部29A〜29Dの先端の基板載置面31は基板収容孔19A〜19D内をトレイ15の上面15bに向かって進む。図6Cに示すように、トレイ15の下面15cが誘電体板23のトレイ支持面28に載置されると、個々の基板収容孔19A〜19D内の基板2は基板載置部29A〜29Dによって基板支持部21の上面21aから持ち上げられる。詳細には、基板2はその下面2aが基板載置部29A〜29Dの基板載置面31に載置され、トレイ15の基板支持部21の上面21aに対して間隔をあけて上方に配置される。   The raising / lowering pins 18 that support the tray 15 at the upper end are lowered toward the substrate susceptor 9 from the raised position indicated by a two-dot chain line in FIG. 6B and 6C, the lower surface 15c of the tray 15 descends to the tray support surface 28 of the dielectric plate 23 of the substrate susceptor 9, and the tray 15 is supported by the tray support surface 28 of the dielectric plate 23. When the tray 15 descends toward the tray support surface 28, the substrate placement portions 29A to 29D of the dielectric plate 23 enter the corresponding substrate accommodation holes 19A to 19D of the tray 15 from the lower surface 15c side of the tray 15. . As the lower surface 15c of the tray 15 approaches the tray support surface 28, the substrate placement surface 31 at the tip of the substrate placement portions 29A to 29D advances in the substrate accommodation holes 19A to 19D toward the upper surface 15b of the tray 15. As shown in FIG. 6C, when the lower surface 15c of the tray 15 is placed on the tray support surface 28 of the dielectric plate 23, the substrates 2 in the individual substrate accommodation holes 19A to 19D are moved by the substrate placement portions 29A to 29D. It is lifted from the upper surface 21 a of the substrate support portion 21. Specifically, the lower surface 2a of the substrate 2 is placed on the substrate placement surface 31 of the substrate placement portions 29A to 29D, and is disposed above the upper surface 21a of the substrate support portion 21 of the tray 15 with a gap. The

このようにトレイ15の基板収容孔19A〜19D内に基板載置部29A〜29Dが進入することにより、基板2は基板載置面31に載置される。従って、トレイ15に収容された4枚の基板2は、いずれも高い位置決め精度で基板載置部29A〜29Dの基板載置面31に載置される。   As described above, the substrate placement portions 29A to 29D enter the substrate accommodation holes 19A to 19D of the tray 15, so that the substrate 2 is placed on the substrate placement surface 31. Accordingly, the four substrates 2 accommodated in the tray 15 are all placed on the substrate placement surfaces 31 of the substrate placement portions 29A to 29D with high positioning accuracy.

次に、図6Dで示すように、クランプリング100が降下し、トレイ支持面28に載置されたトレイ15の外周縁付近をトレイ支持面28に対して下向きに押し付ける。このクランプリング100による押し付けにより、トレイ15の下面15cとトレイ支持面28との間の空間がOリング106,107A〜107Bによって密閉される。   Next, as shown in FIG. 6D, the clamp ring 100 is lowered and presses the vicinity of the outer peripheral edge of the tray 15 placed on the tray support surface 28 downward against the tray support surface 28. By the pressing by the clamp ring 100, the space between the lower surface 15c of the tray 15 and the tray support surface 28 is sealed by the O-rings 106 and 107A to 107B.

次に、誘電体板23に内蔵された静電吸着用電極40に対して直流電圧印加機構43から直流電圧が印加され、個々の基板載置部29A〜29Dの基板載置面31に基板2が静電吸着される。基板2の下面2aはトレイ15を介することなく基板載置面31上に直接載置されている。従って、基板2は基板載置面31に対して高い密着度で保持される。   Next, a DC voltage is applied from the DC voltage application mechanism 43 to the electrostatic attraction electrode 40 built in the dielectric plate 23, and the substrate 2 is applied to the substrate placement surfaces 31 of the individual substrate placement portions 29 </ b> A to 29 </ b> D. Is electrostatically adsorbed. The lower surface 2 a of the substrate 2 is directly placed on the substrate placement surface 31 without using the tray 15. Accordingly, the substrate 2 is held with a high degree of adhesion to the substrate placement surface 31.

続いて、個々の基板載置部29A〜29Dの円環状突出部32と基板2の下面2aで囲まれた空間に、供給孔44を通って伝熱ガス供給機構45から伝熱ガスが供給され、この空間に伝熱ガスが充填される。また、トレイ15の下面15cとトレイ支持面28との間の空間に、供給孔108A,Bを通って伝熱ガス供給装置109から伝熱ガスが供給され、この空間に伝熱ガスが充填される。   Subsequently, the heat transfer gas is supplied from the heat transfer gas supply mechanism 45 through the supply holes 44 to the space surrounded by the annular protrusions 32 of the individual substrate placement portions 29 </ b> A to 29 </ b> D and the lower surface 2 a of the substrate 2. This space is filled with heat transfer gas. A heat transfer gas is supplied from the heat transfer gas supply device 109 to the space between the lower surface 15c of the tray 15 and the tray support surface 28 through the supply holes 108A and B, and this space is filled with the heat transfer gas. The

その後、エッチングガス供給源12からチャンバ3内にエッチングガスが供給され、真空排気装置13によりチャンバ3内は所定圧力に維持される。続いて、高周波電源7からICPコイル5に高周波電圧を印加すると共に、高周波印加機構56により基板サセプタ9の金属板24にバイアス電圧を印加し、チャンバ3内にプラズマを発生させる。このプラズマにより基板2がエッチングされる。1枚のトレイ15で4枚の基板2を基板サセプタ9上に載置できるので、バッチ処理が可能である。   Thereafter, an etching gas is supplied from the etching gas supply source 12 into the chamber 3, and the inside of the chamber 3 is maintained at a predetermined pressure by the vacuum exhaust device 13. Subsequently, a high frequency voltage is applied from the high frequency power supply 7 to the ICP coil 5, and a bias voltage is applied to the metal plate 24 of the substrate susceptor 9 by the high frequency application mechanism 56 to generate plasma in the chamber 3. The substrate 2 is etched by this plasma. Since four substrates 2 can be placed on the substrate susceptor 9 with one tray 15, batch processing is possible.

エッチング中は、冷媒循環装置61によって冷媒流路60中で冷媒を循環させて金属板24を冷却し、それによって誘電体板23及び誘電体板23の基板載置面31に保持された基板2を冷却する。前述のように、基板2はその下面2aがトレイ15を介することなく基板載置面31に直接載置され、高い密着度で保持されている。従って、円環状突出部32と基板2の下面2aで囲まれた伝熱ガスが充填されている空間の密閉度が高く、伝熱ガスを介した基板2と基板載置面31との間の熱伝導性が良好である。その結果、個々の基板載置部29A〜29Dの基板載置面31に保持された基板2を高い冷却効率で冷却できるので、高い高周波パワーを供給してドライエッチングの効率を向上できる。また、基板2の温度を高精度で制御できる。また、個々の基板2毎に基板載置部29A〜29Dの円環状突出部32と下面2aで囲まれた空間に伝熱ガスが充填される。換言すれば、伝熱ガスが充填される空間は個々の基板2毎に異なる。この点でも個々の基板2と誘電体板23の基板載置面31との熱伝導性が良好であり、高い冷却効率と高精度の温度制御を実現できる。   During the etching, the refrigerant is circulated in the refrigerant flow path 60 by the refrigerant circulation device 61 to cool the metal plate 24, thereby the substrate 2 held on the dielectric plate 23 and the substrate mounting surface 31 of the dielectric plate 23. Cool down. As described above, the lower surface 2a of the substrate 2 is directly placed on the substrate placement surface 31 without the tray 15 and is held with a high degree of adhesion. Therefore, the sealing degree of the space filled with the heat transfer gas surrounded by the annular protrusion 32 and the lower surface 2a of the substrate 2 is high, and the space between the substrate 2 and the substrate placement surface 31 through the heat transfer gas is high. Good thermal conductivity. As a result, since the substrate 2 held on the substrate placement surfaces 31 of the individual substrate placement portions 29A to 29D can be cooled with high cooling efficiency, high high-frequency power can be supplied to improve dry etching efficiency. Further, the temperature of the substrate 2 can be controlled with high accuracy. In addition, a heat transfer gas is filled in the space surrounded by the annular protrusion 32 and the lower surface 2a of the substrate placement portions 29A to 29D for each individual substrate 2. In other words, the space filled with the heat transfer gas is different for each substrate 2. Also in this respect, the thermal conductivity between the individual substrates 2 and the substrate mounting surface 31 of the dielectric plate 23 is good, and high cooling efficiency and high-accuracy temperature control can be realized.

前述のように、基板2は個々の基板載置部29A〜29Dの基板載置面31に直接載置され、かつ静電吸着されるので、基板載置面31に対する密着度が高い。従って、基板2の上面の外周縁部分を誘電体板23に対して機械的に加圧するためのクランプリング等の部材は不要である。換言すれば、基板2の上面には、その中央部分だけでなく外周縁付近にもプラズマの状態が不安定化する原因となる部材が存在しない。従って、外周縁付近を含む基板2の表面の全領域で均一なドライエッチング処理を実現できる。   As described above, since the substrate 2 is directly placed on the substrate placement surfaces 31 of the individual substrate placement portions 29A to 29D and is electrostatically attracted, the degree of adhesion to the substrate placement surface 31 is high. Therefore, a member such as a clamp ring for mechanically pressing the outer peripheral edge portion of the upper surface of the substrate 2 against the dielectric plate 23 is unnecessary. In other words, there is no member on the upper surface of the substrate 2 that causes the plasma state to become unstable not only in the central portion but also in the vicinity of the outer periphery. Therefore, a uniform dry etching process can be realized in the entire region of the surface of the substrate 2 including the vicinity of the outer peripheral edge.

また、前述のように基板2の下面2aと基板載置面31との間の空間に伝熱ガス供給機構45により充填しているだけでなく、クランプリング100で押し付けられるトレイ15とトレイ支持面28との間の空間(Oリング106,107A〜107Bでシールされている。)にも伝熱ガス供給機構109により伝熱ガスを充填している。そのため、トレイ15とトレイ支持面28(誘電体板23)との間は、この伝熱ガスにより良好な熱伝導性が確保されている。そして、前述のように誘電体板23は冷媒循環装置61によって冷却される金属板24によって冷却される。このようにトレイ15を直接的に冷却することで、トレイ15の温度上昇を防止している。前述のように基板載置面31によって支持された基板2の外周縁部は、トレイ15、具体的には基板収容孔19A〜19Dの孔壁15d及び基板載置部21の先端に対して間隔をあけて臨んでいる。しかし、トレイ15を直接的に冷却しているので、基板2の外周縁付近の領域に対するトレイ15(基板収容孔19A〜19Dの孔壁15d及び孔壁15dから突出する基板支持部21)からの輻射熱を大幅に低減でき、この領域におけるレジスト焼けを防止して歩留まりを向上できる。   Further, as described above, the space between the lower surface 2a of the substrate 2 and the substrate mounting surface 31 is not only filled with the heat transfer gas supply mechanism 45, but also the tray 15 pressed against the clamp ring 100 and the tray support surface. The heat transfer gas is also filled by the heat transfer gas supply mechanism 109 in the space between them (sealed by the O-rings 106 and 107A to 107B). Therefore, good heat conductivity is ensured between the tray 15 and the tray support surface 28 (dielectric plate 23) by this heat transfer gas. As described above, the dielectric plate 23 is cooled by the metal plate 24 that is cooled by the refrigerant circulation device 61. Thus, the tray 15 is directly cooled to prevent the temperature of the tray 15 from rising. As described above, the outer peripheral edge portion of the substrate 2 supported by the substrate placement surface 31 is spaced from the tray 15, specifically, the hole wall 15 d of the substrate accommodation holes 19 </ b> A to 19 </ b> D and the tip of the substrate placement portion 21. Opening up. However, since the tray 15 is directly cooled, the tray 15 (the hole wall 15d of the substrate housing holes 19A to 19D and the substrate support portion 21 protruding from the hole wall 15d) for the region near the outer peripheral edge of the substrate 2 is used. Radiant heat can be greatly reduced, resist burning in this region can be prevented, and yield can be improved.

エッチング終了後、高周波電源7からICPコイル5への高周波電圧の印加と、高周波印加機構56から金属板24へのバイアス電圧の印加を停止する。続いて、真空排気装置13によりエッチングガスをチャンバ3内から排気する。また、伝熱ガス供給機構45により基板載置面31と基板2の下面2aの間の空間から伝熱ガスを排気、伝熱ガス供給機構109によりトレイ支持面28とトレイ15の下面15cの間の空間から伝熱ガスを排気する。さらに、直流電圧印加機構43から静電吸着用電極40への直流電圧の印加を停止して基板2の静電吸着を解除する。   After the etching is finished, the application of the high frequency voltage from the high frequency power source 7 to the ICP coil 5 and the application of the bias voltage from the high frequency application mechanism 56 to the metal plate 24 are stopped. Subsequently, the etching gas is exhausted from the chamber 3 by the vacuum exhaust device 13. Further, the heat transfer gas supply mechanism 45 exhausts the heat transfer gas from the space between the substrate mounting surface 31 and the lower surface 2a of the substrate 2, and the heat transfer gas supply mechanism 109 connects between the tray support surface 28 and the lower surface 15c of the tray 15. The heat transfer gas is exhausted from the space. Further, the application of the DC voltage from the DC voltage application mechanism 43 to the electrostatic chucking electrode 40 is stopped to release the electrostatic chucking of the substrate 2.

次に、駆動装置103によってクランプリング100を退避位置まで上昇させ、トレイ15のトレイ支持面28への押し付けを解除する。その後、駆動装置17により昇降ピン18を上昇させる。昇降ピン18が上昇すると、その上端でトレイ15の下面15cが押し上げられ誘電体板23のトレイ支持面28から浮き上がる。昇降ピン18と共にトイレ15がさらに上昇すると、図5Aに示すように、トレイ15の基板支持部21により基板2の下面2cが押し上げられ、基板2は基板載置部29A〜29Dの基板載置面31から浮き上がる。昇降ピン18は図1において二点鎖線で示す上昇位置に上昇する。   Next, the clamp ring 100 is raised to the retracted position by the driving device 103, and the pressing of the tray 15 on the tray support surface 28 is released. Thereafter, the lift pins 18 are raised by the driving device 17. When the elevating pin 18 is raised, the lower surface 15c of the tray 15 is pushed up at the upper end of the elevating pin 18 and is lifted from the tray support surface 28 of the dielectric plate 23. When the toilet 15 is further lifted together with the lift pins 18, the lower surface 2c of the substrate 2 is pushed up by the substrate support portion 21 of the tray 15 as shown in FIG. 5A, and the substrate 2 is placed on the substrate placement surfaces of the substrate placement portions 29A to 29D. From 31 The raising / lowering pin 18 rises to a raised position indicated by a two-dot chain line in FIG.

トレイ支持面28からトレイ15を上昇させることにより基板載置面31から基板2を持上げて搬出する際に、基板2の下面2aの外周縁部分がトレイ15の基板支持部21の上面21aに支持されて接触する。プラズマ処理中にトレイ15が冷却されていない場合、プラズマ処理によってトレイ15が加熱される為、搬出の際に、プラズマ処理時に加熱されたトレイ15とプラズマ処理時に冷却されている基板2との接触により、トレイ15からの熱伝導により基板2の温度(特に基板2の外周縁部分)が上昇する。この温度上昇は基板2の反り等の基板2の品質低下の原因となる。しかし、本実施形態では、トレイ15の下面とトレイ支持面28との間の空間に伝熱ガスを充填することでトレイ15は効果的に冷却されているため、トレイ15の温度上昇が効果的に抑制されている。そのため、搬出の際のトレイ15から熱伝導に起因する基板2の温度上昇が抑制され、反り等の問題が生じない。 When the substrate 2 is lifted from the substrate mounting surface 31 and carried out by raising the tray 15 from the tray support surface 28, the outer peripheral edge portion of the lower surface 2 a of the substrate 2 is supported on the upper surface 21 a of the substrate support portion 21 of the tray 15. Being touched. When the tray 15 is not cooled during the plasma processing, the tray 15 is heated by the plasma processing. Therefore, when the tray 15 is carried out, the contact between the tray 15 heated during the plasma processing and the substrate 2 cooled during the plasma processing is performed. Thus, the temperature of the substrate 2 (particularly the outer peripheral edge portion of the substrate 2) rises due to heat conduction from the tray 15. This increase in temperature causes a decrease in the quality of the substrate 2 such as a warp of the substrate 2. However, in this embodiment, since the tray 15 is effectively cooled by filling the space between the lower surface of the tray 15 and the tray support surface 28 with the heat transfer gas, the temperature rise of the tray 15 is effective. Is suppressed. Therefore, the temperature rise of the board | substrate 2 resulting from heat conduction from the tray 15 at the time of carrying out is suppressed, and problems, such as curvature, do not arise.

その後、ゲート3aを通ってロードドック室10からチャンバ3内に進入した搬送アーム16に、トレイ15が移載される。トレイ15は搬送アーム16によってロードドック室10へ搬出される。   Thereafter, the tray 15 is transferred to the transfer arm 16 that has entered the chamber 3 from the load dock chamber 10 through the gate 3a. The tray 15 is carried out to the load dock chamber 10 by the transfer arm 16.

実際にドライエッチングを実行してトレイ15の材質について検討した。具体的には、基板2としてサファイア基板にGaN層を形成した基板を使用した。また、実験に使用したドライエッチング装置では、トレイ15の下面とトレイ支持面28との間の空間への伝熱ガスの充填を行わなかった。実験に使用したドライエッチング装置のその他の点は、実施形態のものと同一である。以下の表1に示す条件1,2について、6種類のトレイ15の材質についてドライエッチングを実行した。条件1はバイアス電力が大きくエッチング速度が速い場合、条件2はバイアス電力が小さい場合である。結果を表2に示す。表2において選択比は基板2(GaN基板)のエッチングレートに対するトレイ15の消耗レートの比率を示す(GaNエッチングレート/トレイ消耗レート)。   The material of the tray 15 was examined by actually performing dry etching. Specifically, a substrate in which a GaN layer is formed on a sapphire substrate was used as the substrate 2. In the dry etching apparatus used in the experiment, the heat transfer gas was not filled into the space between the lower surface of the tray 15 and the tray support surface 28. Other points of the dry etching apparatus used in the experiment are the same as those of the embodiment. Under conditions 1 and 2 shown in Table 1 below, dry etching was performed on six types of tray 15 materials. Condition 1 is when the bias power is large and the etching rate is high, and Condition 2 is when the bias power is small. The results are shown in Table 2. In Table 2, the selection ratio indicates the ratio of the consumption rate of the tray 15 to the etching rate of the substrate 2 (GaN substrate) (GaN etching rate / tray consumption rate).

Figure 2010225775
Figure 2010225775

Figure 2010225775
Figure 2010225775

基板2の外形とトレイ15の基板収容孔29A〜28Dの隙間を小さく設定すると、シース電位がトレイ15の底面に形成され、トレイ底面に直接にイオンが入射しないので、局所的に削れは生じないこの場合には、トレイ15の上面の削れ量がトレイ15の寿命を決定する。トレイ15が薄くなると、基板2の周辺部の均一性が悪化し、トレイ15の強度も低下するので。基板2の上端面の高さよりトレイ15の上面が0.4〜0.6mm程度削れた時点がトレイ15の寿命である。N-Contact用のGaNエッチングの場合、GaNを1.0〜2.0um程度エッチングする。例えば、前述の条件1にて基板2(GaN)を1.0μmエッチングし、トレイ15の厚みの消耗限界を0.4mm、トレイ材質をSiCとした場合、実験結果より選択比3.9であるからトレイ15のバッチ処理回数寿命は、約1560回程度(400÷(1/3.9)である。また、基板2(GaN)を2.0μmエッチングする場合は同様の条件でトレイ15のバッチ処理回数寿命は780回程度となる。トレイ材質をトレイ消耗度合いが低いアルミナ、イットリアにすることで、更にトレイ寿命を延ばすことができる。   If the gap between the outer shape of the substrate 2 and the substrate accommodation holes 29A to 28D of the tray 15 is set small, a sheath potential is formed on the bottom surface of the tray 15 and ions are not directly incident on the bottom surface of the tray 15; In this case, the scraping amount of the upper surface of the tray 15 determines the life of the tray 15. If the tray 15 becomes thinner, the uniformity of the peripheral portion of the substrate 2 deteriorates and the strength of the tray 15 also decreases. The time when the upper surface of the tray 15 is scraped by about 0.4 to 0.6 mm from the height of the upper end surface of the substrate 2 is the life of the tray 15. In the case of GaN etching for N-Contact, GaN is etched by about 1.0 to 2.0 μm. For example, when the substrate 2 (GaN) is etched by 1.0 μm under the above condition 1, the consumption limit of the thickness of the tray 15 is 0.4 mm, and the tray material is SiC, the selection ratio is 3.9 from the experimental results. To the tray 15 has a life of about 1560 times (400 / (1 / 3.9). When the substrate 2 (GaN) is etched by 2.0 μm, the batch of the tray 15 is subjected to the same conditions. The service life is about 780. The tray life can be further extended by using alumina and yttria, which have a low level of tray wear.

本発明は、以上の実施形態に限定されず、例えば以下に列挙するような種々の変形が可能である。   The present invention is not limited to the above embodiment, and various modifications as listed below, for example, are possible.

図7A及び図7Bは、誘電体板23の代案を示す。この代案では、基板載置面31に、供給孔44から放射状に延びる4つの直線状溝34と、円環状突出部32の内側に配置された円環状溝35を設けている。直線状溝34と円環状溝35は互いに連通している。これら直線状溝34と円環状溝35を設けることにより、供給孔44から噴出される伝熱ガスが基板2の下面2aと基板載置面31の間の空間内に均等に拡散する。その結果、基板2の冷却効率と温度制御の精度をさらに高めることができる。   7A and 7B show alternatives of the dielectric plate 23. FIG. In this alternative, the substrate mounting surface 31 is provided with four linear grooves 34 extending radially from the supply holes 44 and an annular groove 35 disposed inside the annular protrusion 32. The linear groove 34 and the annular groove 35 communicate with each other. By providing the linear groove 34 and the annular groove 35, the heat transfer gas ejected from the supply hole 44 is evenly diffused in the space between the lower surface 2 a of the substrate 2 and the substrate mounting surface 31. As a result, the cooling efficiency of the substrate 2 and the accuracy of temperature control can be further increased.

図8及び図9は、トレイ15に関する種々の代案を示す。図8の例では、トレイ本体15aに、7個の基板収容孔19A〜19Gが形成されている。図9の例では、トレイ本体15aに矩形状の基板を収容するための9個の基板収容孔19A〜19Iが形成されている。これら図8及び図9に限定されず、トレイ15の基板収容孔の形状及び個数は、収容する基板の形状や個数に応じて種々設定することが可能である。例えば、トレイ本体15aに単一の基板収容孔を設け、1枚の基板をトレイ15に収容してもよい。また、基板サセプタ9の誘電体板23に設ける基板載置部の形状や個数も、基板収容孔の形状及び個数に応じて種々設定できる。   8 and 9 show various alternatives for the tray 15. In the example of FIG. 8, seven substrate housing holes 19A to 19G are formed in the tray body 15a. In the example of FIG. 9, nine substrate housing holes 19 </ b> A to 19 </ b> I for housing a rectangular substrate are formed in the tray body 15 a. The shape and the number of the substrate accommodation holes of the tray 15 can be variously set according to the shape and the number of the substrates to be accommodated. For example, a single substrate accommodation hole may be provided in the tray main body 15 a to accommodate a single substrate in the tray 15. In addition, the shape and number of substrate placement portions provided on the dielectric plate 23 of the substrate susceptor 9 can be variously set according to the shape and number of substrate accommodation holes.

ICP型のドライエッチング処理装置を例に本発明を説明したが、平行平板型のRIE(リアクティブイオン)型のドライエッチング、プラズマCVD用プラズマ処理装置等の他のプラズマ処理装置にも本発明を適用できる。   Although the present invention has been described by taking an ICP type dry etching processing apparatus as an example, the present invention is also applied to other plasma processing apparatuses such as a parallel plate type RIE (reactive ion) type dry etching and a plasma processing apparatus for plasma CVD. Applicable.

本発明の実施形態に係るドライエッチング装置の模式的な断面図。1 is a schematic cross-sectional view of a dry etching apparatus according to an embodiment of the present invention. 本発明の実施形態に係るドライエッチング装置の模式的な平面図。1 is a schematic plan view of a dry etching apparatus according to an embodiment of the present invention. クランプリング、トレイ、誘電体板を示す斜視図。The perspective view which shows a clamp ring, a tray, and a dielectric material board. トレイの平面図。The top view of a tray. 図4Aの断面図。FIG. 4B is a cross-sectional view of FIG. 4A. 誘電体板の平面図。The top view of a dielectric material board. 図5Aの断面図。FIG. 5B is a cross-sectional view of FIG. 5A. 図1の部分拡大図(トレイは誘電体板の上方に位置している。)。FIG. 2 is a partially enlarged view of FIG. 1 (the tray is located above the dielectric plate). 図1の部分拡大図(トレイは誘電体板に向けて降下している。)。FIG. 2 is a partially enlarged view of FIG. 1 (the tray is lowered toward the dielectric plate). 図1の部分拡大図(トレイは誘電体板のトレイ支持面に載置されている。)。FIG. 2 is a partially enlarged view of FIG. 1 (the tray is placed on the tray support surface of the dielectric plate). 図1の部分拡大図(クランプリングがトレイを誘電体板に押し付けている。)。FIG. 2 is a partially enlarged view of FIG. 1 (a clamp ring presses a tray against a dielectric plate). 誘電体板の代案を示す平面図。The top view which shows the alternative of a dielectric material board. 図11Aの断面図。FIG. 11B is a cross-sectional view of FIG. 11A. トレイの第1の代案を示す平面図。The top view which shows the 1st alternative of a tray. トレイの第2の代案を示す平面図。The top view which shows the 2nd alternative of a tray.

1 ドライエッチング装置
2 基板
2a 下面
3 チャンバ
3a ゲート
3b エッチングガス供給口
3c 排気口
4 天板
5 ICPコイル
6 マッチング回路
7 高周波電源
9 基板サセプタ
10 ロードドック室
12 エッチングガス供給源
13 真空排気装置
15 トレイ
15a トレイ本体
15b 上面
15c 下面
15d 孔壁
15e 位置決め切欠
16 搬送アーム
16a 位置決め突起
17 駆動装置
18 昇降ピン
19A〜19D 基板収容孔
21 基板支持部
21a 上面
21b 先端面
22A,22B センサ
23 誘電体板
24 金属板
25 スペーサ板
26 ガイド筒体
27 アースシールド
28 トレイ支持面
29A〜29D 基板載置部
31 基板載置面
32 円環状突出部
33 円柱状突起
34 直線状溝
35 円環状溝
36 円形開口
40,40A,40B 静電吸着用電極
41 直流電源
42 抵抗
43 直流電圧印加機構
44 供給孔
45 伝熱ガス供給機構
46 伝熱ガス源
47 供給流路
48 流量計
49 流量制御バルブ
50 圧力計
51 排出流路
52 カットオフバルブ
53 バイパス流路
54 排気口
56 高周波印加機構
57 高周波電源
58 可変容量コンデンサ
59 冷却機構
60 冷媒流路
61 冷媒循環装置
63 コントローラ
100 クランプリング
101 昇降ロッド
102 ベローズ
103 駆動装置
104,105A〜105D 収容溝
106,107A〜107D Oリング
108A,108B 供給孔
200,201 溝
109 伝熱ガス供給機構
DESCRIPTION OF SYMBOLS 1 Dry etching apparatus 2 Substrate 2a Lower surface 3 Chamber 3a Gate 3b Etching gas supply port 3c Exhaust port 4 Top plate 5 ICP coil 6 Matching circuit 7 High frequency power supply 9 Substrate susceptor 10 Load dock chamber 12 Etching gas supply source 13 Vacuum exhaust device 15 Tray 15a Tray body 15b Upper surface 15c Lower surface 15d Hole wall 15e Positioning notch 16 Transfer arm 16a Positioning protrusion 17 Drive device 18 Lifting pins 19A to 19D Substrate receiving hole 21 Substrate support portion 21a Upper surface 21b Front end surface 22A, 22B Sensor 23 Dielectric plate 24 Metal Plate 25 Spacer plate 26 Guide cylinder 27 Ground shield 28 Tray support surface 29A to 29D Substrate placement portion 31 Substrate placement surface 32 Toroidal protrusion 33 Cylindrical protrusion 34 Linear groove 35 Toroidal groove 36 Circular opening 0, 40A, 40B Electrostatic adsorption electrode 41 DC power supply 42 Resistance 43 DC voltage application mechanism 44 Supply hole 45 Heat transfer gas supply mechanism 46 Heat transfer gas source 47 Supply flow path 48 Flow meter 49 Flow control valve 50 Pressure gauge 51 Discharge Flow path 52 Cut off valve 53 Bypass flow path 54 Exhaust port 56 High frequency application mechanism 57 High frequency power supply 58 Variable capacity capacitor 59 Cooling mechanism 60 Refrigerant flow path 61 Refrigerant circulation device 63 Controller 100 Clamp ring 101 Lifting rod 102 Bellows 103 Drive device 104, 105A to 105D accommodating groove 106, 107A to 107D O-ring 108A, 108B supply hole 200, 201 groove 109 heat transfer gas supply mechanism

Claims (3)

減圧可能なチャンバと、
前記チャンバ内にプラズマを発生させるプラズマ発生源と、
厚み方向に貫通する基板収容孔が設けられ、前記基板収容孔の孔壁から突出し、前記基板収容孔内に収容された基板の下面の外周縁部分を支持する基板支持部を備える、前記チャンバ内へ搬入搬出可能なトレイと、
前記チャンバ内に設けられ、前記チャンバ内に搬入される前記基板を収容した前記トレイの下面を支持するトレイ支持面と、このトレイ支持面から上向きに突出し、前記トレイの下面側から前記基板収容孔に挿入され、かつその上端面である基板載置面に前記基板の下面が載置される基板載置部とを備える、誘電体部材と、
前記基板載置部に少なくとも一部が内蔵された、前記基板を前記基板載置面に静電吸着するための静電吸着用電極と、
前記静電吸着用電極に直流電圧を印加する直流電圧印加機構と、
前記トレイを前記トレイ支持面に押し付けるクランプ部材と、
前記基板と前記基板載置面との間の第1の空間に第1の伝熱ガスを供給する第1の伝熱ガス供給機構と
前記トレイと前記トレイ支持面との間の第2の空間に第2の伝熱ガスを供給する第2の伝熱ガス供給機構と、
前記トレイ支持面に配置されて前記第2の空間をシールするシール部材と
を備えることを特徴とする、プラズマ処理装置。
A depressurizable chamber;
A plasma generation source for generating plasma in the chamber;
A substrate receiving hole provided in the chamber, the substrate receiving hole penetrating in the thickness direction, and protruding from a hole wall of the substrate receiving hole and supporting an outer peripheral edge portion of a lower surface of the substrate accommodated in the substrate accommodating hole. A tray that can be carried in and out,
A tray support surface that is provided in the chamber and supports the lower surface of the tray that accommodates the substrate to be carried into the chamber, and projects upward from the tray support surface, and the substrate receiving hole from the lower surface side of the tray A dielectric member, and a substrate placing portion on which a lower surface of the substrate is placed on a substrate placing surface that is an upper end surface of the dielectric member,
An electrostatic chucking electrode for electrostatically chucking the substrate on the substrate mounting surface, at least part of which is built in the substrate mounting unit;
A DC voltage application mechanism for applying a DC voltage to the electrostatic adsorption electrode;
A clamp member for pressing the tray against the tray support surface;
A first heat transfer gas supply mechanism for supplying a first heat transfer gas to a first space between the substrate and the substrate mounting surface; and a second space between the tray and the tray support surface. A second heat transfer gas supply mechanism for supplying a second heat transfer gas to
A plasma processing apparatus, comprising: a sealing member that is disposed on the tray support surface and seals the second space.
前記基板載置面に載置された前記基板の下面が前記トレイの前記基板支持部から所定量離間するように、前記トレイの下面から前記基板支持部の上面までの距離が、前記トレイ支持部から前記基板載置面までの距離よりも短く設定されていることを特徴とする、請求項1に記載のプラズマ処理装置。   The distance from the lower surface of the tray to the upper surface of the substrate support portion is such that the lower surface of the substrate placed on the substrate placement surface is separated from the substrate support portion of the tray by a predetermined amount. The plasma processing apparatus according to claim 1, wherein the plasma processing apparatus is set to be shorter than a distance from the substrate mounting surface to the substrate mounting surface. 前記トレイに前記基板収容孔が複数個設けられ、
前記誘電体部材は前記基板載置部を複数個備え、個々の前記基板載置部がそれぞれ個々の基板収容孔に挿入されることを特徴とする、請求項1又は請求項2に記載のプラズマ処理装置。
A plurality of the substrate accommodation holes are provided in the tray,
3. The plasma according to claim 1, wherein the dielectric member includes a plurality of the substrate mounting portions, and each of the substrate mounting portions is inserted into each of the substrate receiving holes. Processing equipment.
JP2009070435A 2009-03-23 2009-03-23 Plasma treatment apparatus Pending JP2010225775A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2009070435A JP2010225775A (en) 2009-03-23 2009-03-23 Plasma treatment apparatus

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2009070435A JP2010225775A (en) 2009-03-23 2009-03-23 Plasma treatment apparatus

Publications (1)

Publication Number Publication Date
JP2010225775A true JP2010225775A (en) 2010-10-07

Family

ID=43042668

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2009070435A Pending JP2010225775A (en) 2009-03-23 2009-03-23 Plasma treatment apparatus

Country Status (1)

Country Link
JP (1) JP2010225775A (en)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012234904A (en) * 2011-04-28 2012-11-29 Panasonic Corp Electrostatic chuck and dry etching device with the same
CN103531513A (en) * 2012-07-03 2014-01-22 吉佳蓝科技股份有限公司 Substrate support apparatus and substrate processing apparatus
WO2014034229A1 (en) * 2012-09-03 2014-03-06 東京エレクトロン株式会社 Plasma processing apparatus and substrate processing apparatus provided with same
KR20140059566A (en) * 2012-11-08 2014-05-16 엘지이노텍 주식회사 Chuck apparatus
KR20160022732A (en) * 2014-08-20 2016-03-02 주식회사 탑 엔지니어링 Jig and Method for Assembling Wafer Tray
TWI570763B (en) * 2013-05-17 2017-02-11 佳能安內華股份有限公司 Etching apparatus
US10403793B2 (en) 2016-08-01 2019-09-03 Nichia Corporation Method of forming nanorods and method of manufacturing semiconductor element

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07310187A (en) * 1994-05-16 1995-11-28 Kobe Steel Ltd Plasma treating device
JPH1050665A (en) * 1996-07-30 1998-02-20 Nec Kansai Ltd Dry-etching apparatus
JP2007109770A (en) * 2005-10-12 2007-04-26 Matsushita Electric Ind Co Ltd Plasma treatment apparatus and plasma treatment method

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07310187A (en) * 1994-05-16 1995-11-28 Kobe Steel Ltd Plasma treating device
JPH1050665A (en) * 1996-07-30 1998-02-20 Nec Kansai Ltd Dry-etching apparatus
JP2007109770A (en) * 2005-10-12 2007-04-26 Matsushita Electric Ind Co Ltd Plasma treatment apparatus and plasma treatment method

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012234904A (en) * 2011-04-28 2012-11-29 Panasonic Corp Electrostatic chuck and dry etching device with the same
TWI493652B (en) * 2012-07-03 2015-07-21 Gigalane Co Ltd A substrate-supporting apparatus and a substrate-processing apparatus
CN103531513A (en) * 2012-07-03 2014-01-22 吉佳蓝科技股份有限公司 Substrate support apparatus and substrate processing apparatus
JP2014013882A (en) * 2012-07-03 2014-01-23 Gigalane Co Ltd Substrate support apparatus and substrate processing apparatus
WO2014034229A1 (en) * 2012-09-03 2014-03-06 東京エレクトロン株式会社 Plasma processing apparatus and substrate processing apparatus provided with same
JP2014049667A (en) * 2012-09-03 2014-03-17 Tokyo Electron Ltd Plasma processing apparatus, and substrate processing apparatus provided with the same
KR20140059566A (en) * 2012-11-08 2014-05-16 엘지이노텍 주식회사 Chuck apparatus
KR101950692B1 (en) * 2012-11-08 2019-02-21 엘지이노텍 주식회사 Semiconductor etching apparatus
TWI570763B (en) * 2013-05-17 2017-02-11 佳能安內華股份有限公司 Etching apparatus
US11195700B2 (en) 2013-05-17 2021-12-07 Canon Anelva Corporation Etching apparatus
KR20160022732A (en) * 2014-08-20 2016-03-02 주식회사 탑 엔지니어링 Jig and Method for Assembling Wafer Tray
KR102230847B1 (en) 2014-08-20 2021-03-23 주식회사 탑 엔지니어링 Jig and Method for Assembling Wafer Tray
US10403793B2 (en) 2016-08-01 2019-09-03 Nichia Corporation Method of forming nanorods and method of manufacturing semiconductor element

Similar Documents

Publication Publication Date Title
JP4361045B2 (en) Plasma processing apparatus and plasma processing method
KR101153118B1 (en) Plasma processing apparatus and plasma processing method
JP2007109771A (en) Tray for plasma treatment apparatus
WO2010109848A1 (en) Plasma processing apparatus and plasma processing method
US20100122774A1 (en) Substrate mounting table and substrate processing apparatus having same
JP2010225775A (en) Plasma treatment apparatus
JP5297435B2 (en) Plasma processing apparatus and plasma processing method
JP5243465B2 (en) Plasma processing equipment
JP5595549B2 (en) Tray for plasma processing apparatus, plasma processing apparatus, and plasma processing method
US11387080B2 (en) Substrate support and plasma processing apparatus
JP2013153171A (en) Plasma processing apparatus and plasma processing method
JP2010232250A (en) Plasma treating apparatus
US20220301833A1 (en) Substrate support and plasma processing apparatus
JP5324975B2 (en) Plasma processing equipment
JP5539436B2 (en) Plasma processing apparatus and plasma processing method
JP4781445B2 (en) Plasma processing apparatus and plasma processing method
JP4969595B2 (en) Plasma processing apparatus and plasma processing method
KR100686285B1 (en) Plasma Processing Apparatus and Exhausting Plate
JP2020017590A (en) Substrate support device and plasma processing device
JP4783440B2 (en) Plasma processing apparatus and plasma processing method
JP6778882B2 (en) Plasma processing equipment, plasma processing method, and tray for plasma processing equipment

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20110303

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20110921

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130129

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20131001