JP2009158524A - Method of manufacturing semiconductor device - Google Patents

Method of manufacturing semiconductor device Download PDF

Info

Publication number
JP2009158524A
JP2009158524A JP2007331647A JP2007331647A JP2009158524A JP 2009158524 A JP2009158524 A JP 2009158524A JP 2007331647 A JP2007331647 A JP 2007331647A JP 2007331647 A JP2007331647 A JP 2007331647A JP 2009158524 A JP2009158524 A JP 2009158524A
Authority
JP
Japan
Prior art keywords
foreign matter
semiconductor device
manufacturing
matter shielding
item
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2007331647A
Other languages
Japanese (ja)
Inventor
Kazuhiko Teranaka
一彦 寺中
Yoko Omori
陽光 大森
Hiroshi Horikawa
洋 堀川
Takaharu Kirino
隆治 桐野
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Renesas Technology Corp
Original Assignee
Renesas Technology Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Renesas Technology Corp filed Critical Renesas Technology Corp
Priority to JP2007331647A priority Critical patent/JP2009158524A/en
Publication of JP2009158524A publication Critical patent/JP2009158524A/en
Pending legal-status Critical Current

Links

Images

Classifications

    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F04POSITIVE - DISPLACEMENT MACHINES FOR LIQUIDS; PUMPS FOR LIQUIDS OR ELASTIC FLUIDS
    • F04DNON-POSITIVE-DISPLACEMENT PUMPS
    • F04D29/00Details, component parts, or accessories
    • F04D29/70Suction grids; Strainers; Dust separation; Cleaning
    • F04D29/701Suction grids; Strainers; Dust separation; Cleaning especially adapted for elastic fluid pumps
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F04POSITIVE - DISPLACEMENT MACHINES FOR LIQUIDS; PUMPS FOR LIQUIDS OR ELASTIC FLUIDS
    • F04DNON-POSITIVE-DISPLACEMENT PUMPS
    • F04D19/00Axial-flow pumps
    • F04D19/02Multi-stage pumps
    • F04D19/04Multi-stage pumps specially adapted to the production of a high vacuum, e.g. molecular pumps

Landscapes

  • Engineering & Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • General Engineering & Computer Science (AREA)
  • Element Separation (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

<P>PROBLEM TO BE SOLVED: To solve the problem, wherein a foreign matter blocking plate is provided between a wafer processing chamber and a turbomolecular pump of a plasma CVD system, to prevent dropping of foreign matters from the wafer processing chamber, resulting in damaging the turbo molecular pump, namely, a macro foreign matter alone can be surely prevented from falling therefrom; however, conversely, due to this, entry of micro foreign matters, from the turbomolecular pump to the wafer processing chamber, cannot be avoided. <P>SOLUTION: In this method of manufacturing semiconductor device, a foreign matter blocking plate 71 is provided in double in between a vapor phase processing chamber 52 of the CVD system and a turbomolecular pump 74, and conduct vapor phase processing is carried out. <P>COPYRIGHT: (C)2009,JPO&INPIT

Description

本発明は、主に半導体装置(または半導体集積回路装置)の製造方法におけるプラズマCVD(Chemical Vapor Deposition)技術に適用して有効な技術に関する。   The present invention relates to a technique effectively applied mainly to a plasma CVD (Chemical Vapor Deposition) technique in a method for manufacturing a semiconductor device (or a semiconductor integrated circuit device).

日本特開2006−299968号公報(特許文献1)には、ターボ分子ポンプへ高真空側からオー・リング等の破片などの異物が入り込まないように、開口率が0.8から0.9程度と比較的高開口率である単一のパンチング・ボードをターボ分子ポンプの高真空側に設けることが開示されている。ここでは、格子を形成する単位開口形状として正方形、長方形、略長方形(横長の六角形)が示されており、長辺(長径)は7から8ミリメートル程度であり、短辺(短径)は3から4ミリメートル程度である。   In Japanese Patent Laid-Open No. 2006-299968 (Patent Document 1), the aperture ratio is about 0.8 to 0.9 so that foreign matter such as debris such as O-rings does not enter the turbo molecular pump from the high vacuum side. And providing a single punching board with a relatively high aperture ratio on the high vacuum side of the turbomolecular pump. Here, squares, rectangles, and substantially rectangles (horizontal hexagons) are shown as unit opening shapes forming the lattice, the long side (major axis) is about 7 to 8 millimeters, and the short side (minor axis) is It is about 3 to 4 millimeters.

日本特開平11−247790号公報(特許文献2)には、ターボ分子ポンプへ高真空側から異物が入り込まないように、最大厚みが1ミリメートル程度と比較的薄く、角孔最大径5ミリメートル程度である単一のメッシュをターボ分子ポンプの高真空側に設けることが開示されている。   In Japanese Patent Laid-Open No. 11-247790 (Patent Document 2), the maximum thickness is relatively thin, about 1 millimeter, so that the foreign matter does not enter the turbo molecular pump from the high vacuum side. It is disclosed to provide a single mesh on the high vacuum side of a turbomolecular pump.

特開2006−299968号公報JP 2006-299968 A 特開平11−247790号公報JP 11-247790 A

本願発明者らは、ノベラス・テクノロジー社(Novellus Technology)の300φウエハ用HDP−CVD(High Density Plasma Chemical Vapor Deposition)装置である「コンセプト3スピード(Concept Three Speed)」その他後継機種等類似の装置による半導体装置の製造プロセスにおける異物の問題を検討した結果、以下のような問題点を発見した。   The inventors of the present application use “Concept Three Speed” which is an HDP-CVD (High Density Plasma Chemical Vapor Deposition) device for 300φ wafers of Novellus Technology, and other similar devices. As a result of examining the problem of foreign matter in the semiconductor device manufacturing process, the following problems were discovered.

すなわち、この装置のウエハ処理室とターボ分子ポンプの間には、ウエハ処理室から異物が落下してターボ分子ポンプに障害を与えないように、メッシュ状またはパンチング・ボード(厚手のものも含む)状の市販のルーバ・スクリーン(Louver Screen)、すなわち異物遮蔽板が設けられている。このルーバ・スクリーンは直径260センチメートル程度のアルミニウム合金製円板で、厚さは8ミリメートル程度である。このルーバ・スクリーンには、開口率を0.8以上または0.9以上とするため、ほぼ45度の角度で貫通孔が周辺取り付け部を除くほぼ全面に密集して設けられている。この貫通孔の形状は略長方形で長辺(長径)は65ミリメートル程度であり、短辺(短径)は10ミリメートル程度である。   That is, between the wafer processing chamber and the turbo molecular pump of this apparatus, a mesh or punching board (including a thick one) is used so that foreign matter does not fall from the wafer processing chamber and cause an obstacle to the turbo molecular pump. A commercially available louver screen, that is, a foreign material shielding plate is provided. This louver screen is an aluminum alloy disk having a diameter of about 260 centimeters and a thickness of about 8 millimeters. In this louver screen, through-holes are densely provided on almost the entire surface except for the peripheral mounting portion at an angle of about 45 degrees so that the aperture ratio is 0.8 or more or 0.9 or more. The shape of this through-hole is substantially rectangular, the long side (major axis) is about 65 mm, and the short side (minor axis) is about 10 mm.

しかし、これによって確かに、ウエハ処理室からのマクロ異物の落下等は防止できるものの、逆にターボ分子ポンプからウエハ処理室へもたらされるミクロ異物は回避できないことが明らかとなった。   However, although it is possible to prevent the macro foreign matter from dropping from the wafer processing chamber by this, it has become clear that the micro foreign matter brought from the turbo molecular pump to the wafer processing chamber cannot be avoided.

本発明の目的は、高信頼性の半導体装置の製造プロセスを提供することにある。   An object of the present invention is to provide a manufacturing process of a highly reliable semiconductor device.

本発明の前記並びにその他の目的と新規な特徴は本明細書の記述及び添付図面から明らかになるであろう。   The above and other objects and novel features of the present invention will be apparent from the description of this specification and the accompanying drawings.

本願において開示される発明のうち代表的なものの概要を簡単に説明すれば下記の通りである。   The following is a brief description of an outline of typical inventions disclosed in the present application.

すなわち、本願発明は気相処理装置の気相処理室とターボ分子ポンプの間に置く異物遮蔽板を二重にした状態で気相処理を実行するものである。   That is, the present invention executes the vapor phase treatment in a state where the foreign matter shielding plate placed between the vapor phase treatment chamber of the vapor phase treatment apparatus and the turbo molecular pump is doubled.

本願において開示される発明のうち代表的なものによって得られる効果を簡単に説明すれば下記のとおりである。   The effects obtained by the representative ones of the inventions disclosed in the present application will be briefly described as follows.

すなわち、気相処理装置の気相処理室とターボ分子ポンプの間に置く異物遮蔽板を二重にした状態で気相処理を実行することにより、ターボ分子ポンプ側から気相処理室への異物の逆流を低減することができる。   In other words, the foreign matter from the turbo molecular pump side to the gas phase processing chamber can be obtained by executing the gas phase processing in a state where the foreign matter shielding plate placed between the gas phase processing chamber of the gas phase processing apparatus and the turbo molecular pump is doubled. Can be reduced.

〔実施の形態の概要〕
先ず、本願において開示される発明の代表的な実施の形態について概要を説明する。
[Outline of Embodiment]
First, an outline of a typical embodiment of the invention disclosed in the present application will be described.

1.以下の工程を含む半導体装置の製造方法:
(a)ウエハ処理装置の気相処理室内のウエハ・ステージにウエハを設置する工程;
(b)前記ウエハを前記ウエハ・ステージに設置した状態で、前記気相処理室をターボ分子ポンプで真空引きしながら、前記気相処理室に反応ガスを供給することによって、前記ウエハに気相処理を施す工程、
ここで、前記気相処理室と前記ターボ分子ポンプの間には、それらを隔離するように、主面同士がほぼ平行であって相互に近接した第1及び第2の異物遮蔽板が設けられている。
1. A semiconductor device manufacturing method including the following steps:
(A) a step of placing a wafer on a wafer stage in a vapor phase processing chamber of a wafer processing apparatus;
(B) In a state where the wafer is placed on the wafer stage, while supplying a reactive gas to the gas phase processing chamber while evacuating the gas phase processing chamber with a turbo molecular pump, The process of applying the treatment,
Here, between the gas phase processing chamber and the turbo molecular pump, there are provided first and second foreign matter shielding plates whose main surfaces are substantially parallel and close to each other so as to isolate them. ing.

2.前記1項の半導体装置の製造方法において、前記第1及び第2の異物遮蔽板の各々は、表面開口率が、その正射影開口率よりも実質的に大きい。   2. In the method of manufacturing a semiconductor device according to the item 1, each of the first and second foreign matter shielding plates has a surface aperture ratio substantially larger than its orthographic aperture ratio.

3.前記1または2項の半導体装置の製造方法において、前記第1及び第2の異物遮蔽板の合成正射影開口率は、前記第1及び第2の異物遮蔽板の前記正射影開口率のいずれよりも実質的に小さい。   3. In the method of manufacturing a semiconductor device according to the item 1 or 2, the synthetic orthogonal aperture ratio of the first and second foreign matter shielding plates is any of the orthogonal projection aperture ratios of the first and second foreign matter shielding plates. Is also substantially small.

4.前記1から3項のいずれか一つの半導体装置の製造方法において、前記第1及び第2の異物遮蔽板の各々は、ほぼ全域に敷き詰められた多数の貫通開口を有する。   4). 4. In the method of manufacturing a semiconductor device according to any one of items 1 to 3, each of the first and second foreign matter shielding plates has a large number of through openings spread over substantially the entire area.

5.前記4項の半導体装置の製造方法において、前記第1及び第2の異物遮蔽板の各々の前記多数の貫通開口の内の主要な貫通開口は、それぞれの主面に立てた法線に対して、傾きを持つ。   5). 5. In the method of manufacturing a semiconductor device according to the item 4, the main through-opening among the plurality of through-openings of each of the first and second foreign matter shielding plates is in a normal line standing on each main surface. , With a tilt.

6.前記5項の半導体装置の製造方法において、前記第1及び第2の異物遮蔽板の各々の前記多数の貫通開口の内の前記主要な貫通開口の前記傾きは30度以上、60度未満である。   6). 6. In the method of manufacturing a semiconductor device according to 5 above, the inclination of the main through-opening among the multiple through-openings of each of the first and second foreign matter shielding plates is 30 degrees or more and less than 60 degrees. .

7.前記5項の半導体装置の製造方法において、前記第1及び第2の異物遮蔽板の各々の前記多数の貫通開口の内の前記主要な貫通開口の前記傾きは相互にほぼ同一である。   7. In the method of manufacturing a semiconductor device according to the item 5, the inclinations of the main through-openings among the multiple through-openings of the first and second foreign matter shielding plates are substantially the same.

8.前記1から7項のいずれか一つの半導体装置の製造方法において、前記第1及び第2の異物遮蔽板はほぼ同一の形状を有する。   8). 8. The method for manufacturing a semiconductor device according to any one of 1 to 7, wherein the first and second foreign matter shielding plates have substantially the same shape.

9.前記1から8項のいずれか一つの半導体装置の製造方法において、前記第1及び第2の異物遮蔽板は相互に方位が異なるように配置されている。   9. 9. In the method of manufacturing a semiconductor device according to any one of items 1 to 8, the first and second foreign matter shielding plates are arranged so that their directions are different from each other.

10.前記9項の半導体装置の製造方法において、前記第1及び第2の異物遮蔽板の前記方位の差は20度から90度の範囲内にある。   10. In the method for manufacturing a semiconductor device according to the item 9, the difference between the orientations of the first and second foreign matter shielding plates is in a range of 20 degrees to 90 degrees.

11.前記9項の半導体装置の製造方法において、前記第1及び第2の異物遮蔽板の前記方位の差は60度から90度の範囲内にある。   11. In the method of manufacturing a semiconductor device according to the item 9, the difference between the directions of the first and second foreign matter shielding plates is in a range of 60 degrees to 90 degrees.

12.前記4から11項のいずれか一つの半導体装置の製造方法において、前記第1及び第2の異物遮蔽板の各々の前記多数の貫通開口の内の主要な貫通開口は、扁平略長方形形状を有する。   12 In the method of manufacturing a semiconductor device according to any one of 4 to 11, the main through-opening among the plurality of through-openings of each of the first and second foreign matter shielding plates has a flat and substantially rectangular shape. .

13.前記12項の半導体装置の製造方法において、前記主要な貫通開口の前記扁平略長方形形状の短辺の寸法は、前記工程(b)における空気の常温における平均自由行程と同程度である。   13. In the method of manufacturing a semiconductor device according to the item 12, the dimension of the short side of the flat substantially rectangular shape of the main through-opening is approximately the same as the mean free path of air at room temperature in the step (b).

14.前記1から13項のいずれか一つの半導体装置の製造方法において、前記第1及び第2の異物遮蔽板の各々の正射影開口率は0.3未満である。   14 14. In the method of manufacturing a semiconductor device according to any one of 1 to 13, the orthographic aperture ratio of each of the first and second foreign matter shielding plates is less than 0.3.

15.前記1から14項のいずれか一つの半導体装置の製造方法において、前記第1及び第2の異物遮蔽板の各々の正射影開口率は0.1未満である。   15. 15. In the method for manufacturing a semiconductor device according to any one of 1 to 14, the orthographic aperture ratio of each of the first and second foreign matter shielding plates is less than 0.1.

16.前記1から15項のいずれか一つの半導体装置の製造方法において、前記気相処理はプラズマCVD処理である。   16. 16. The method for manufacturing a semiconductor device according to any one of 1 to 15, wherein the vapor phase process is a plasma CVD process.

17.前記1から15項のいずれか一つの半導体装置の製造方法において、前記気相処理はプラズマ・エッチング処理である。   17. 16. The method for manufacturing a semiconductor device according to any one of 1 to 15, wherein the vapor phase process is a plasma etching process.

18.前記1から17項のいずれか一つの半導体装置の製造方法において、前記第1及び第2の異物遮蔽板の各々の正射影開口率は負である。   18. 18. In the method of manufacturing a semiconductor device according to any one of 1 to 17, the orthographic aperture ratio of each of the first and second foreign matter shielding plates is negative.

19.前記1から18項のいずれか一つの半導体装置の製造方法において、前記第1及び第2の異物遮蔽板の方位の差は30度から90度の範囲内にある。   19. 19. In the method of manufacturing a semiconductor device according to any one of items 1 to 18, the difference in orientation between the first and second foreign matter shielding plates is in a range of 30 degrees to 90 degrees.

20.前記1から18項のいずれか一つの半導体装置の製造方法において、前記第1及び第2の異物遮蔽板の方位の差は40度から90度の範囲内にある。   20. 19. In the method of manufacturing a semiconductor device according to any one of items 1 to 18, the difference in orientation between the first and second foreign matter shielding plates is in a range of 40 degrees to 90 degrees.

21.前記1から20項のいずれか一つの半導体装置の製造方法において、前記第1及び第2の異物遮蔽板の各々の多数の貫通開口の内の主要な貫通開口の傾きは約45度である。   21. 21. In the method of manufacturing a semiconductor device according to any one of items 1 to 20, an inclination of a main through-opening among a plurality of through-openings of each of the first and second foreign matter shielding plates is about 45 degrees.

22.前記1から21項のいずれか一つの半導体装置の製造方法において、前記第1及び第2の異物遮蔽板の各々の多数の貫通開口の内の扁平略長方形形状の主要な貫通開口の前記扁平略長方形形状の短辺の寸法は、前記工程(b)における空気の常温における平均自由行程と同程度である。   22. 24. In the method of manufacturing a semiconductor device according to any one of items 1 to 21, the flat and substantially rectangular main through-opening of the plurality of through-openings of each of the first and second foreign matter shielding plates is substantially flat. The dimension of the short side of the rectangular shape is about the same as the mean free path of air at room temperature in the step (b).

23.前記1から22項のいずれか一つの半導体装置の製造方法において、前記第1及び第2の異物遮蔽板の各々の多数の貫通開口の内の主要な貫通開口は、それぞれの主面に立てた法線に対して、傾きを持つ。   23. 23. In the method of manufacturing a semiconductor device according to any one of items 1 to 22, main through-openings among a plurality of through-openings of each of the first and second foreign matter shielding plates are erected on respective main surfaces. Inclined with respect to the normal.

24.前記1から23項のいずれか一つの半導体装置の製造方法において、前記第1及び第2の異物遮蔽板の各々の多数の貫通開口の内の主要な貫通開口の傾きは30度以上、60度未満である。   24. 24. In the method of manufacturing a semiconductor device as described above in any one of 1 to 23, an inclination of a main through-opening among a plurality of through-openings of each of the first and second foreign matter shielding plates is 30 degrees or more and 60 degrees. Is less than.

25.前記1から24項のいずれか一つの半導体装置の製造方法において、前記第1及び第2の異物遮蔽板の各々の多数の貫通開口の内の主要な貫通開口の前記傾きは相互にほぼ同一である。   25. 25. In the method of manufacturing a semiconductor device according to any one of items 1 to 24, the inclinations of main through-openings among a plurality of through-openings of each of the first and second foreign matter shielding plates are substantially the same. is there.

26.前記1から25項のいずれか一つの半導体装置の製造方法において、前記第1及び第2の異物遮蔽板の方位の差は20度から90度の範囲内にある。   26. 26. In the method of manufacturing a semiconductor device as described above in any one of 1 to 25, a difference in orientation between the first and second foreign matter shielding plates is in a range of 20 degrees to 90 degrees.

27.前記1から25項のいずれか一つの半導体装置の製造方法において、前記第1及び第2の異物遮蔽板の方位の差は60度から90度の範囲内にある。   27. 26. In the method of manufacturing a semiconductor device as described above in any one of 1 to 25, a difference in orientation between the first and second foreign matter shielding plates is in a range of 60 degrees to 90 degrees.

28.前記1から27項のいずれか一つの半導体装置の製造方法において、前記気相処理はSTI工程における素子分離溝を埋め込むためのシリコン酸化膜を堆積するプラズマCVD処理である。   28. 28. In the method of manufacturing a semiconductor device as described above in any one of 1 to 27, the vapor phase process is a plasma CVD process for depositing a silicon oxide film for embedding an element isolation trench in an STI process.

29.前記1から27項のいずれか一つの半導体装置の製造方法において、前記気相処理は高密度プラズマCVD処理である。   29. 28. In the method for manufacturing a semiconductor device as described above in any one of 1 to 27, the vapor phase process is a high-density plasma CVD process.

30.以下の工程を含む半導体装置の製造方法:
(a)ウエハ処理装置の気相処理室内のウエハ・ステージにウエハを設置する工程;
(b)前記ウエハを前記ウエハ・ステージに設置した状態で、前記気相処理室をターボ分子ポンプで真空引きしながら、前記気相処理室に反応ガスを供給することによって、前記ウエハに気相処理を施す工程、
ここで、前記気相処理室と前記ターボ分子ポンプの間には、それらを隔離するように、多数の貫通開口を有する異物遮蔽板が設けられており、前記多数の貫通開口の平均開口形状比は10以上である。
30. A semiconductor device manufacturing method including the following steps:
(A) a step of placing a wafer on a wafer stage in a vapor phase processing chamber of a wafer processing apparatus;
(B) In a state where the wafer is placed on the wafer stage, while supplying a reactive gas to the gas phase processing chamber while evacuating the gas phase processing chamber with a turbo molecular pump, The process of applying the treatment,
Here, a foreign matter shielding plate having a large number of through openings is provided between the gas phase processing chamber and the turbo molecular pump so as to isolate them, and an average opening shape ratio of the large number of through openings Is 10 or more.

31.前記30項の半導体装置の製造方法において、前記多数の貫通開口の前記平均開口形状比は15以上である。   31. In the method of manufacturing a semiconductor device according to the item 30, the average opening shape ratio of the large number of through openings is 15 or more.

32.前記30項の半導体装置の製造方法において、前記多数の貫通開口の前記平均開口形状比は20以上である。   32. In the method for manufacturing a semiconductor device according to the item 30, the average opening shape ratio of the plurality of through openings is 20 or more.

33.前記30項の半導体装置の製造方法において、前記多数の貫通開口は前記異物遮蔽板のほぼ全域に敷き詰められている。   33. In the method for manufacturing a semiconductor device according to the item 30, the plurality of through openings are spread over substantially the entire area of the foreign matter shielding plate.

34.前記30から32項のいずれか一つの半導体装置の製造方法において、前記多数の貫通開口は前記異物遮蔽板のほぼ全域に敷き詰められている。   34. 33. In the method for manufacturing a semiconductor device according to any one of items 30 to 32, the plurality of through openings are spread over substantially the entire area of the foreign matter shielding plate.

35.前記30から34項のいずれか一つの半導体装置の製造方法において、前記異物遮蔽板の前記多数の貫通開口の内の主要な貫通開口は、それぞれの主面に立てた法線に対して、傾きを持つ。   35. 35. In the method of manufacturing a semiconductor device according to any one of 30 to 34, a main through-opening among the plurality of through-openings of the foreign matter shielding plate is inclined with respect to a normal line standing on each main surface. have.

36.前記30から35項のいずれか一つの半導体装置の製造方法において、前記異物遮蔽板の前記多数の貫通開口の内の主要な貫通開口の傾きは30度以上、60度未満である。   36. 36. In the method for manufacturing a semiconductor device according to any one of Items 30 to 35, an inclination of a main through-opening among the multiple through-openings of the foreign matter shielding plate is 30 degrees or more and less than 60 degrees.

37.前記30から36項のいずれか一つの半導体装置の製造方法において、前記異物遮蔽板の前記多数の貫通開口の内の主要な貫通開口は、扁平略長方形形状を有する。   37. 37. In the method of manufacturing a semiconductor device as described above in any one of 30 to 36, a main through-opening among the multiple through-openings of the foreign matter shielding plate has a flat and substantially rectangular shape.

38.前記30から37項のいずれか一つの半導体装置の製造方法において、扁平略長方形形状を有する主要な貫通開口のの短辺の寸法は、前記工程(b)における空気の常温における平均自由行程と同程度である。   38. 38. In the method for manufacturing a semiconductor device as described above in any one of 30 to 37, the dimension of the short side of the main through opening having a flat and substantially rectangular shape is the same as the mean free path of air at room temperature in the step (b). Degree.

39.前記30から38項のいずれか一つの半導体装置の製造方法において、前記気相処理はプラズマCVD処理である。   39. 39. In the method for manufacturing a semiconductor device according to any one of Items 30 to 38, the vapor phase process is a plasma CVD process.

40.前記30から38項のいずれか一つの半導体装置の製造方法において、前記気相処理はプラズマ・エッチング処理である。   40. 39. In the method for manufacturing a semiconductor device according to any one of Items 30 to 38, the vapor phase process is a plasma etching process.

〔本願における記載形式・基本的用語・用法の説明〕
1.本願において、実施の態様の記載は、必要に応じて、便宜上複数のセクションに分けて記載する場合もあるが、特にそうでない旨明示した場合を除き、これらは相互に独立別個のものではなく、単一の例の各部分、一方が他方の一部詳細または一部または全部の変形例等である。また、原則として、同様の部分は繰り返しを省略する。また、実施の態様における各構成要素は、特にそうでない旨明示した場合、理論的にその数に限定される場合および文脈から明らかにそうでない場合を除き、必須のものではない。
[Description format, basic terms, usage in this application]
1. In the present application, the description of the embodiment may be divided into a plurality of sections for convenience, if necessary. However, unless otherwise specified, these are not independent from each other. Each part of a single example, one part is the other part of the details, or part or all of the modifications. Moreover, as a general rule, the same part is not repeated. In addition, each component in the embodiment is not indispensable unless specifically stated otherwise, unless it is theoretically limited to the number, and obviously not in context.

2.同様に実施の態様等の記載において、材料、組成等について、「AからなるX」等といっても、特にそうでない旨明示した場合および文脈から明らかにそうでない場合を除き、A以外の要素を主要な構成要素のひとつとするものを排除するものではない。たとえば、成分についていえば、「Aを主要な成分として含むX」等の意味である。たとえば、「シリコン部材」等といっても、純粋なシリコンに限定されるものではなく、SiGe合金やその他シリコンを主要な成分とする多元合金、その他の添加物等を含む部材も含むものであることはいうまでもない。同様に、「酸化シリコン膜」と言っても、比較的純粋な非ドープ酸化シリコン(Undoped Silicon Dioxide)だけでなく、FSG(Fluorosilicate Glass)、TEOSベース酸化シリコン(TEOS-based silicon oxide)、SiOC(Silicon Oxicarbide)またはカーボンドープ酸化シリコン(Carbon-doped Silicon oxide)またはOSG(Organosilicate glass)、PSG(Phosphorus Silicate Glass)、BPSG(Borophosphosilicate Glass)等の熱酸化膜、CVD酸化膜、SOG(Spin ON Glass)、ナノ・クラスタリング・シリカ(Nano-Clustering Silica:NSC)等の塗布系酸化シリコン、これらと同様な部材に空孔を導入したシリカ系Low-k絶縁膜(ポーラス系絶縁膜)、およびこれらを主要な構成要素とする他のシリコン系絶縁膜との複合膜等を含むことは言うまでもない。   2. Similarly, in the description of the embodiment, etc., regarding the material, composition, etc., “X consisting of A” etc. is an element other than A unless specifically stated otherwise and clearly not in context. It is not excluded that one of the main components. For example, as for the component, it means “X containing A as a main component”. For example, “silicon member” is not limited to pure silicon, but also includes SiGe alloys, other multi-component alloys containing silicon as a main component, and members containing other additives. Needless to say. Similarly, the term “silicon oxide film” refers not only to relatively pure undoped silicon oxide, but also to FSG (Fluorosilicate Glass), TEOS-based silicon oxide, and SiOC ( Silicon Oxicarbide) or Carbon-doped Silicon oxide (OSG) (Organosilicate glass), PSG (Phosphorus Silicate Glass), BPSG (Borophosphosilicate Glass) and other thermal oxide films, CVD oxide films, SOG (Spin ON Glass) , Nano-clustering silica (NSC) -coated silicon oxide, silica-based low-k insulating film (porous insulating film) with pores introduced in the same material, and these Needless to say, it includes a composite film with another silicon-based insulating film as an essential component.

3.同様に、図形、位置、属性等に関して、好適な例示をするが、特にそうでない旨明示した場合および文脈から明らかにそうでない場合を除き、厳密にそれに限定されるものではないことは言うまでもない。   3. Similarly, suitable examples of graphics, positions, attributes, and the like are given, but it is needless to say that the present invention is not strictly limited to those cases unless explicitly stated otherwise, and unless otherwise apparent from the context.

4.さらに、特定の数値、数量に言及したときも、特にそうでない旨明示した場合、理論的にその数に限定される場合および文脈から明らかにそうでない場合を除き、その特定の数値を超える数値であってもよいし、その特定の数値未満の数値でもよい。   4). In addition, when a specific number or quantity is mentioned, a numerical value exceeding that specific number will be used unless specifically stated otherwise, unless theoretically limited to that number, or unless otherwise clearly indicated by the context. There may be a numerical value less than the specific numerical value.

5.「ウエハ」というときは、通常は半導体装置(半導体集積回路装置、電子装置も同じ)をその上に形成する単結晶シリコンウエハを指すが、エピタキシャルウエハ、SOIウエハ、絶縁基板と半導体層等の複合ウエハ等も含むことは言うまでもない。   5). “Wafer” usually refers to a single crystal silicon wafer on which a semiconductor device (same as a semiconductor integrated circuit device and an electronic device) is formed, but an epitaxial wafer, an SOI wafer, a composite of an insulating substrate and a semiconductor layer, etc. Needless to say, wafers are also included.

6.「異物遮蔽板」は一般に「ルーバ・スクリーン」と呼ばれており、通常、円形のメッシュあるいはパンチング・ボード等の金属その他の板状部材にその主面に垂直又は傾いた等断面積の貫通開口をあけたものが用いられる。一般に、貫通孔はガスに対するコンダクタンスを下げないために異物遮蔽板の周辺取り付け部を除く内部領域(有効領域)のほぼ全面に密集配列される。   6). A “foreign material shielding plate” is generally called a “louver screen” and is usually a circular mesh or a metal or other plate-like member such as a punching board. Those with a gap are used. In general, the through holes are densely arranged over almost the entire inner region (effective region) excluding the peripheral mounting portion of the foreign substance shielding plate so as not to lower the conductance with respect to the gas.

7.異物遮蔽板について「開口率」とは、主要な貫通開口径と比較して厚さが十分に薄い場合(薄い異物遮蔽板)には全開口面積を有効領域面積で割ったものである。開口等に関して「主要な」とは、その占める面積が最も大きい同種のものを指す。また、開口等に関して「平均」とは、その占める面積について重み付けしたものを意味する。   7. The “opening ratio” of the foreign matter shielding plate is obtained by dividing the total opening area by the effective area when the thickness is sufficiently thin (thin foreign matter shielding plate) compared to the main through-opening diameter. “Major” in terms of openings and the like refers to the same type that occupies the largest area. In addition, “average” with respect to openings and the like means weighted areas occupied.

しかし、主要な貫通開口径と比較して厚さが同程度または相対的に厚くなってくると(厚い異物遮蔽板の場合)、定義が複雑になってくる。ここで、「BはAと同程度」というときは、「(B/2)≦A≦2B」の関係を満たすことを言う。   However, the definition becomes complicated when the thickness is comparable or relatively thick compared to the main through-opening diameter (in the case of a thick foreign matter shielding plate). Here, “B is about the same as A” means that the relationship “(B / 2) ≦ A ≦ 2B” is satisfied.

すなわち、厚い異物遮蔽板でのガスのコンダクタンスは第一義的には表面の開口の大きさ(面積)に依存する。そこで、異物遮蔽板の表面の開口の全面積を有効領域面積で割ったものを「表面開口率」とする。一般にガスに対するコンダクタンスを確保するため、貫通開口の厚さ方向の断面積は等しいから、表面開口率がガスのコンダクタンスを決定する。断面積が異なる場合は、最も狭いところの断面積(律速断面積)が「表面開口の面積」となる。   That is, the gas conductance at the thick foreign matter shielding plate primarily depends on the size (area) of the opening on the surface. Therefore, the “surface aperture ratio” is obtained by dividing the total area of the openings on the surface of the foreign substance shielding plate by the effective area. In general, since the cross-sectional areas in the thickness direction of the through-opening are equal in order to ensure the conductance with respect to the gas, the surface opening ratio determines the conductance of the gas. When the cross-sectional areas are different, the narrowest cross-sectional area (rate-controlling cross-sectional area) becomes the “surface opening area”.

一方、ミクロ異物に対するコンダクタンスを決めるものは(比例するというわけではない)、「正射影開口率」であり、正の値の場合は直感的に説明できる。すなわち、異物遮蔽板の主面に垂直な平行光線を当てたとき、反対側に置かれた同主面に平行なスクリーン上にできる明パターンの総面積を有効領域面積で割ったものである。ただし、異物遮蔽板は光の完全吸収体であるとする。負の値の場合は後に図でもって説明する。特に、複数枚の要素異物遮蔽板からなる複合異物遮蔽板(重ね合わせ異物遮蔽板)の全体としての正射影開口率を個々の要素異物遮蔽板のものと区別するときは、「合成正射影開口率」という。同様に、複合異物遮蔽板の表面開口率を個々の要素異物遮蔽板のものと区別するときは、「合成表面開口率」という。   On the other hand, what determines the conductance with respect to the micro foreign matter (not necessarily proportional) is the “orthographic projection aperture ratio”, and a positive value can be intuitively explained. That is, when a parallel light beam perpendicular to the main surface of the foreign substance shielding plate is applied, the total area of the bright pattern formed on the screen parallel to the main surface placed on the opposite side is divided by the effective area area. However, the foreign substance shielding plate is assumed to be a complete absorber of light. A negative value will be described later with reference to the drawings. In particular, when distinguishing the orthographic aperture ratio as a whole of a composite foreign matter shielding plate (overlapping foreign matter shielding plate) made up of a plurality of element foreign matter shielding plates from that of individual element foreign matter shielding plates, the "composite projection opening" "Rate". Similarly, when the surface opening ratio of the composite foreign matter shielding plate is distinguished from that of individual element foreign matter shielding plates, it is referred to as “composite surface opening ratio”.

ここで、表面開口率を正射影開口率で割ったものを「開口形状比」という。この量はミクロ異物に対する阻止能の目安である。   Here, a value obtained by dividing the surface aperture ratio by the orthogonal projection aperture ratio is referred to as “aperture shape ratio”. This amount is a measure of the stopping power against micro foreign matters.

貫通開口の2次元的形状は円形、楕円形、正方形、ひし形、長方形またはこれらに類似する略円形(正六角形等を含む)、略楕円形、略正方形(面取りした正方形等を含む、以下同じ)、略ひし形、略長方形(略楕円の一部を含む)等を取りうる。特に、多用されるものとして、「扁平略長方形形状」がある。ここで、「扁平」とは、長辺の長さL,短辺の幅Wとするとき、(L/W)≧3の関係を満たすものを言う(ここでL/Wを「扁平率」という)。この扁平性のために長辺は平均自由行程より十分に長いので、ガス分子が十分に自由な経路を取ってルーバを通過することができる。   The two-dimensional shape of the through-opening is a circle, ellipse, square, rhombus, rectangle, or a similar circle (including regular hexagons), a substantially ellipse, and a square (including chamfered squares, etc.). , Approximately rhombus, approximately rectangle (including a part of approximately ellipse), and the like. In particular, there is a “flat, substantially rectangular shape” that is frequently used. Here, the term “flatness” refers to those satisfying the relationship of (L / W) ≧ 3 when the length L of the long side and the width W of the short side (where L / W is the “flatness ratio”). Called). Because of this flatness, the long side is sufficiently longer than the mean free path, so that gas molecules can take a sufficiently free path and pass through the louver.

複合異物遮蔽板は通常、同一形状の要素異物遮蔽板を重ね合わせて構成するが、ここで、「同一形状」とは、主要な貫通開口の形状及び配列がほぼ同一であることを言う。もちろん、作製は複雑になるが、異なる形状の要素異物遮蔽板を重ね合わせて構成してもよい。ただし、同一形状といっても、方位、配向等は異なる場合が多い。主要な貫通開口の中心軸の方向(裏面から表面へ)が「方位」であり、通常、異物遮蔽板の主面への正射影間の角度、すなわち、「方位の差(θ)」が合成正射影開口率を決定する。「配向」は、そのまま重ね合わせるか、一方を表裏反転して重ね合わせるか等の方位以外の相互関係を示す。複数の要素異物遮蔽板(2枚に限らず、3枚以上でもよい)の組み合わせ方は、方位、配向等の組み合わせで無限にある。特に、方位の差、主要な貫通開口の中心軸の方向の(異物遮蔽板の主面に立てた方線からの)傾き、すなわち「開口傾斜角」等の角度の表記に関しては、正値の0度から90度(等価角度を含む)の鋭角範囲でのみ表示する。これは、その他の任意の角度は、この鋭角範囲の所定の角度の回転またはそれプラス配向の反転に等価だからである。なお、ここでは方位の差について、「直角、直交またはほぼ直角、直交」とは70度以上を意味する。   The composite foreign matter shielding plate is usually configured by superposing element foreign matter shielding plates having the same shape. Here, the “same shape” means that the shape and arrangement of the main through openings are almost the same. Of course, the production is complicated, but element foreign matter shielding plates having different shapes may be overlapped. However, even in the same shape, the orientation, orientation, and the like are often different. The direction of the central axis of the main through-opening (from the back surface to the front surface) is the “azimuth”. Usually, the angle between the orthogonal projections on the main surface of the foreign substance shielding plate, that is, the “azimuth difference (θ)” is synthesized. Determine the orthographic aperture ratio. “Orientation” indicates a correlation other than the orientation, such as superimposing as it is or inverting one side upside down. There are an infinite number of combinations of a plurality of element foreign substance shielding plates (not limited to two but may be three or more) depending on combinations of orientation, orientation, and the like. In particular, regarding the notation of the angle, such as the difference in orientation, the inclination in the direction of the central axis of the main through-opening (from the direction standing on the main surface of the foreign substance shielding plate), that is, the “opening inclination angle”, etc. Display only in the acute angle range of 0 to 90 degrees (including equivalent angle). This is because any other angle is equivalent to a rotation of a given angle in this acute range or a reversal of the positive orientation. Here, regarding the difference in orientation, “right angle, orthogonal or almost right angle, orthogonal” means 70 degrees or more.

〔実施の形態の詳細〕
実施の形態について更に詳述する。各図中において、同一または同様の部分は同一または類似の記号または参照番号で示し、説明は原則として繰り返さない。また、図において異物遮蔽板の貫通開口は、見易さを確保するために、ほぼ全面を埋め尽くしているすべてを描かずに、原則として注目する貫通開口のみを表示することにする。
[Details of the embodiment]
The embodiment will be further described in detail. In the drawings, the same or similar parts are denoted by the same or similar symbols or reference numerals, and description thereof will not be repeated in principle. Further, in the drawing, in order to ensure the visibility, the through-holes of the foreign substance shielding plate are displayed only in the through-holes of interest as a general rule, without drawing all that is completely filled up.

1.本実施の形態の半導体装置の製造方法におけるプラズマCVDプロセス及びそれに使用するプラズマCVD装置の説明(主に図1から7)
図1は本実施の形態の半導体装置の製造方法において使用するプラズマCVD装置の正面模式断面図である。図2は本実施の形態の半導体装置の製造方法において使用するプラズマCVD装置の真空系全体を含めた正面模式断面図である。図3は本実施の形態の半導体装置の製造方法において使用するプラズマCVD装置のゲート・バルブ周辺部の模式断面図である。図4は本実施の形態の半導体装置の製造方法において使用するプラズマCVD装置の開状態のゲート・バルブの模式断面図である。図5は本実施の形態の半導体装置の製造方法において使用するプラズマCVD装置の閉状態のゲート・バルブの模式断面図である。図6は本実施の形態の半導体装置の製造方法において使用するプラズマCVD装置の全体上面図である。図7は本実施の形態の半導体装置の製造方法の装置プロセス・フローを示すブロック・フロー図である。
1. Description of the plasma CVD process and the plasma CVD apparatus used in the method of manufacturing the semiconductor device of the present embodiment (mainly FIGS. 1 to 7)
FIG. 1 is a schematic front sectional view of a plasma CVD apparatus used in the method for manufacturing a semiconductor device of the present embodiment. FIG. 2 is a schematic front sectional view including the entire vacuum system of the plasma CVD apparatus used in the method for manufacturing a semiconductor device of the present embodiment. FIG. 3 is a schematic cross-sectional view of the periphery of the gate / valve of the plasma CVD apparatus used in the method of manufacturing a semiconductor device of the present embodiment. FIG. 4 is a schematic cross-sectional view of the gate valve in the open state of the plasma CVD apparatus used in the method for manufacturing a semiconductor device of the present embodiment. FIG. 5 is a schematic cross-sectional view of the gate valve in the closed state of the plasma CVD apparatus used in the method for manufacturing a semiconductor device of the present embodiment. FIG. 6 is an overall top view of the plasma CVD apparatus used in the semiconductor device manufacturing method of the present embodiment. FIG. 7 is a block flow diagram showing an apparatus process flow of the semiconductor device manufacturing method of the present embodiment.

先ず図1により、本実施の形態に使用する誘導結合型(Inductively Coupled)プラズマCVD装置55(いわゆる高密度プラズマ炉に分類され、誘導結合型成膜用プラズマ励起コイル53を有する)の構成を説明する。   First, referring to FIG. 1, the configuration of an inductively coupled plasma CVD apparatus 55 (classified as a so-called high-density plasma furnace having an inductively coupled film-forming plasma excitation coil 53) used in this embodiment will be described. To do.

図1、図2及び図6において、まず、成膜時の各部の動作の概略を説明する。300φ単結晶シリコンウエハ等の被処理ウエハ1は図6に示すように密閉ウエハ容器すなわちフープ(Foup)102a,102bに複数、収容された状態でプラズマCVD装置55のロードポート101に設置される。次に、大気圧下搬送ロボット104によって、大気圧下前室103(実際には大気圧より若干陽圧にされている)を介してロードロック室105aに導入され、真空状態にされた後、真空搬送室106を通って真空搬送ロボット107によって、目的とする成膜処理室52a(他の成膜処理室52b,52cでもよい)へ移送される。図1に示すように、移送されたウエハ1は、成膜処理室52内(たとえば高さ約550ミリメートルで下部は600ミリメートル径の円筒状、上部はハーフドーム状)に設けられた下部電極66上の静電チャック56(ウエハサセプタまたはウエハ・ステージでもある)上にデバイス面1aすなわち第1の主面を上にして置かれる。下部電極66はバイアス電源に接続されている。所定の真空度で反応ガス141(通常、その他の添加ガスを含む)が成膜反応ガス供給口60から供給され、RF電源からマッチング・ボックスを介してRF電力が励起コイル53に供給されると、誘導結合によりプラズマが生成され、それによって成膜反応が進行する。なお、成膜処理室52の下方にはリモート・プラズマ・クリーニング用のリモート・プラズマ生成室51が設けられている。以下の説明では、統計データとの関係でリモート・プラズマ・クリーニングを使用しないものについて説明するが、個々に説明する例は、装置構成、異物遮蔽板の構造等に本質的な変化はなく、インサイチュー・プラズマ・クリーニング(成膜室でプラズマを生成するクリーニング)をリモート・プラズマ・クリーニングに変更したことに伴う必要なプロセス手順の変更のみで、そのまま適用できる。   In FIG. 1, FIG. 2 and FIG. 6, the outline of the operation of each part during film formation will be described first. As shown in FIG. 6, a plurality of wafers 1 to be processed such as 300φ single crystal silicon wafers are placed in a load port 101 of a plasma CVD apparatus 55 in a state of being accommodated in a plurality of sealed wafer containers, ie, FOUPs 102a and 102b. Next, after being introduced into the load lock chamber 105a by the transfer robot 104 under the atmospheric pressure via the front chamber 103 under the atmospheric pressure (actually a slightly positive pressure from the atmospheric pressure) and evacuated, The film is transferred through the vacuum transfer chamber 106 by the vacuum transfer robot 107 to the target film formation processing chamber 52a (or other film formation processing chambers 52b and 52c). As shown in FIG. 1, the transferred wafer 1 has a lower electrode 66 provided in a film formation processing chamber 52 (for example, a cylindrical shape with a height of about 550 millimeters and a lower part having a diameter of 600 millimeters and an upper part having a half dome shape). The device surface 1a, that is, the first main surface is placed on the upper electrostatic chuck 56 (which is also a wafer susceptor or wafer stage). The lower electrode 66 is connected to a bias power source. When a reaction gas 141 (usually including other additive gases) is supplied from a film formation reaction gas supply port 60 at a predetermined degree of vacuum, and RF power is supplied from an RF power source to the excitation coil 53 via a matching box. Then, plasma is generated by inductive coupling, and the film formation reaction proceeds accordingly. A remote plasma generation chamber 51 for remote plasma cleaning is provided below the film forming chamber 52. In the following description, the case where the remote plasma cleaning is not used in relation to the statistical data will be described. However, in the examples described individually, there is no essential change in the apparatus configuration, the structure of the foreign matter shielding plate, and the like. It can be applied as it is, only by changing the necessary process procedure associated with the change of chew plasma cleaning (cleaning for generating plasma in the film forming chamber) to remote plasma cleaning.

図1又は図2に示すように、真空系は2系統に分岐している。高真空系は成膜処理室52からルーバ・スクリーン71(異物遮蔽板)、ゲートバルブ73を介して、ターボ分子ポンプ74に連結されている(これらで高真空系インターフェース部72を構成している)。ターボ分子ポンプ74は高真空系配管77を介して、分岐バルブ75に連結されており、それより先は共通の荒引きポンプ76(ドライポンプ)に連結され、大気に至っている。低真空系は分岐バルブ75で分岐して、低真空系バルブ79、低真空系配管98を介して直接、成膜処理室52に連結されている。   As shown in FIG. 1 or FIG. 2, the vacuum system is branched into two systems. The high vacuum system is connected to the turbo molecular pump 74 from the film formation processing chamber 52 through the louver screen 71 (foreign material shielding plate) and the gate valve 73 (these constitute the high vacuum system interface unit 72). ). The turbo molecular pump 74 is connected to a branch valve 75 via a high vacuum system pipe 77, and further to that is connected to a common roughing pump 76 (dry pump) to reach the atmosphere. The low vacuum system is branched by a branch valve 75 and directly connected to the film forming chamber 52 through a low vacuum system valve 79 and a low vacuum system pipe 98.

成膜が終わると、図6に示すように、処理が完了したウエハ1は真空搬送室106を介して真空搬送ロボット107により、ロードロック室105bに移送される。そこで、大気圧に戻されて大気圧下搬送ロボット104によって、大気圧下前室103を介してもとのフープ102a,102bに戻される。   When the film formation is completed, as shown in FIG. 6, the processed wafer 1 is transferred to the load lock chamber 105 b by the vacuum transfer robot 107 through the vacuum transfer chamber 106. Therefore, the pressure is returned to the atmospheric pressure and returned to the original FOUPs 102a and 102b via the atmospheric pressure front chamber 103 by the atmospheric pressure transfer robot 104.

次に図7に基づいて前記プラズマCVD装置55を用いた本実施の形態のプラズマCVDプロセスの流れを説明する。図7に示すように、高真空系インターフェース部72のゲート・バルブ73が開き、ターボ分子ポンプ74による真空引きが開始する(ゲート・オープン・ステップ35)。なお、プラズマ励起電力は装置の正常稼働中は原則的に常時オンの状態にある。プラズマ電力を常時オンにするのは、プラズマ電力を切ると、プラズマ中にトラップされていた微細異物(パーティクル)が重力により落下し、ウエハ1等に付着するからである。その後、成膜処理室52に成膜反応ガス供給口60から酸素及び水素が供給され、不所望な残留ハロゲン等を除去するための水素前処理36が行われる(詳細は図23参照、以下同じ)。このとき、ゲート・バルブ73は開いた状態のままで、ターボ分子ポンプ74による真空引きが行われており、圧力は0.5パスカル程度である。次に、成膜反応ガス供給口60からモノシランガス、酸素ガス、ヘリウムガスが供給されて、成膜処理室52にある程度目的とする膜と同一の膜を付けて、炉体の状態を安定させるプリコート処理37が実行される。このとき、ゲート・バルブ73は開いた状態のままで、ターボ分子ポンプ74による真空引きが行われており、圧力は0.5パスカル程度である。ここまでは、ウエハ1が、成膜処理室52にない状態で行われるが、ここでウエハ1が成膜処理室52に導入され、ウエハ・ステージ56上に設置される。その状態で、成膜反応ガス供給口60からモノシランガス、酸素ガス、ヘリウムガスが供給されて、単位ウエハ群(N枚)の最初のウエハ1に対して目的とするシリコン酸化膜の成膜が行われる(成膜処理38)。このとき、ゲート・バルブ73は開いた状態のままで、ターボ分子ポンプ74による真空引きが行われており、圧力は0.5パスカル程度である。成膜が完了するとウエハ1は先に説明したように、成膜処理室52から外に搬出される。それと入れ違いに次のウエハ1が搬入される。このようにして単位ウエハ群(N枚)の最終ウエハ1の処理(成膜処理N)が完了すると、次の工程に移行する。ここで、Nは炉体の状態及び膜に要求される仕様により1枚から12枚程度が好適である。この例では、Nは4枚程度と仮定して説明する。次のステップでは、ゲートバルブ73が閉じられ(ゲート・クローズ・ステップ45)、真空系が低真空系に切り替わる。この後、三フッ化窒素、酸素等が成膜反応ガス供給口60から供給され、成膜処理室52内に厚く積もった堆積膜を除去するためのクリーニング処理46が行われる。このとき、ゲート・バルブ73は閉じた状態のままで、成膜処理室52は低真空系配管78を介してドライ・ポンプ76による真空引きが行われており、圧力は200パスカル程度である。もちろん、この間もプラズマ励起電極はオンのままである。その後、成膜反応ガス供給口60から酸素ガス、水素ガス等が供給され、残留フッ素等を除去するための水素後処理47が行われる。このとき、ゲート・バルブ73は閉じた状態のままで、成膜処理室52は低真空系配管78を介してドライ・ポンプ76による真空引きが行われており、圧力は200パスカル程度である。これで成膜サイクルが完成する。通常、この成膜サイクルが繰り返されて、半導体装置の生産が進行する。   Next, the flow of the plasma CVD process of the present embodiment using the plasma CVD apparatus 55 will be described with reference to FIG. As shown in FIG. 7, the gate valve 73 of the high vacuum system interface unit 72 is opened, and evacuation by the turbo molecular pump 74 is started (gate open step 35). Note that the plasma excitation power is always on in principle during normal operation of the apparatus. The reason why the plasma power is always turned on is that when the plasma power is turned off, fine foreign substances (particles) trapped in the plasma fall due to gravity and adhere to the wafer 1 or the like. Thereafter, oxygen and hydrogen are supplied to the film formation processing chamber 52 from the film formation reaction gas supply port 60, and a hydrogen pretreatment 36 is performed to remove undesired residual halogen and the like (refer to FIG. 23 for details, the same applies hereinafter). ). At this time, evacuation is performed by the turbo molecular pump 74 while the gate valve 73 remains open, and the pressure is about 0.5 Pascal. Next, a monosilane gas, an oxygen gas, and a helium gas are supplied from the film formation reaction gas supply port 60, and a film identical to the target film is attached to the film formation processing chamber 52 to some extent to stabilize the state of the furnace body. Process 37 is executed. At this time, evacuation is performed by the turbo molecular pump 74 while the gate valve 73 remains open, and the pressure is about 0.5 Pascal. Up to this point, the wafer 1 is not in the film formation chamber 52, but here the wafer 1 is introduced into the film formation chamber 52 and placed on the wafer stage 56. In this state, monosilane gas, oxygen gas, and helium gas are supplied from the film formation reaction gas supply port 60, and the target silicon oxide film is formed on the first wafer 1 of the unit wafer group (N sheets). (Film formation process 38). At this time, evacuation is performed by the turbo molecular pump 74 while the gate valve 73 remains open, and the pressure is about 0.5 Pascal. When the film formation is completed, the wafer 1 is unloaded from the film formation processing chamber 52 as described above. At the same time, the next wafer 1 is loaded. When the processing (film formation processing N) of the final wafer 1 of the unit wafer group (N sheets) is completed in this way, the process proceeds to the next step. Here, N is preferably about 1 to 12 sheets depending on the state of the furnace body and the specifications required for the film. In this example, it is assumed that N is about 4 sheets. In the next step, the gate valve 73 is closed (gate close step 45), and the vacuum system is switched to the low vacuum system. Thereafter, nitrogen trifluoride, oxygen, and the like are supplied from the film forming reaction gas supply port 60, and a cleaning process 46 is performed to remove the deposited film accumulated in the film forming process chamber 52 thickly. At this time, the gate valve 73 remains closed, and the film forming chamber 52 is evacuated by the dry pump 76 via the low vacuum system pipe 78, and the pressure is about 200 Pascals. Of course, the plasma excitation electrode remains on during this time. Thereafter, oxygen gas, hydrogen gas, and the like are supplied from the film formation reaction gas supply port 60, and a hydrogen post-treatment 47 for removing residual fluorine and the like is performed. At this time, the gate valve 73 remains closed, and the film forming chamber 52 is evacuated by the dry pump 76 via the low vacuum system pipe 78, and the pressure is about 200 Pascals. This completes the film formation cycle. Usually, this film formation cycle is repeated, and the production of semiconductor devices proceeds.

次に、図3から5に基づいて、高真空系インターフェース部72について説明する。図3に示すように、高真空系インターフェース部72の成膜処理室52側の端部には複合異物遮蔽板71(ルーバ・スクリーン)が設置されている。これは、通常は成膜処理室52からのマクロ異物の落下等を防止するものであるが、ここではそれに加えて、逆に、ターボ分子ポンプ74を含めたゲート・バルブ83側からの微細異物の逆流を防止するために設置されたものである。ここでは、たとえば同一の形状の円板状の2枚の異物遮蔽板71a,71bを方位を変えて(円の中心の周りに90度回転)重ね合わせたものである。このようにすることによって、貫通開口81,82の相互の配置が異ならせ、そのことによってガスに対するコンダクタンスをさほど犠牲にすることなく、ミクロ異物の通過を有効に阻止することができる。ゲート・バルブ83にはゲート84を有するゲート・ハウジング89内にゲート・プレート87とバッキング・プレート88を保持するシャフト86がある。開いている状態は図4に示されている。一方、図5に示すように、このシャフト86が移動して、ゲート・プレート87が受け座85に達すると閉の状態になる。閉の状態ではシャフト86のボール収納孔92(図4)にあるボール91がゲート・プレート87を成膜処理室52側のゲート84周辺のゲート・ハウジング89の壁面に押し付けるようになっている。このとき、ゲート・プレート87のオーリング溝93にはオーリング96が設置されており、それによって真空が保たれるようになっている。開状態では、図4に示すように、ボール91はゲート・プレート87とバッキング・プレート88のボール収容溝95に戻るようになっている。   Next, the high vacuum system interface unit 72 will be described with reference to FIGS. As shown in FIG. 3, a composite foreign material shielding plate 71 (louver screen) is installed at the end of the high vacuum system interface unit 72 on the film forming chamber 52 side. This normally prevents the macro foreign matter from dropping from the film forming chamber 52, but here, in addition to this, on the contrary, the fine foreign matter from the gate valve 83 side including the turbo molecular pump 74 is provided. It was installed to prevent backflow. Here, for example, two disc-shaped foreign matter shielding plates 71a and 71b having the same shape are superposed with their orientation changed (rotated 90 degrees around the center of the circle). By doing so, the mutual arrangement of the through openings 81 and 82 can be made different, thereby effectively preventing the passage of the micro foreign matter without sacrificing the conductance with respect to the gas. The gate valve 83 has a shaft 86 that holds a gate plate 87 and a backing plate 88 in a gate housing 89 having a gate 84. The open state is shown in FIG. On the other hand, as shown in FIG. 5, when the shaft 86 moves and the gate plate 87 reaches the receiving seat 85, the shaft 86 is closed. In the closed state, the ball 91 in the ball housing hole 92 (FIG. 4) of the shaft 86 presses the gate plate 87 against the wall surface of the gate housing 89 around the gate 84 on the film forming chamber 52 side. At this time, an O-ring 96 is installed in the O-ring groove 93 of the gate plate 87 so that a vacuum is maintained. In the open state, as shown in FIG. 4, the ball 91 returns to the ball receiving groove 95 of the gate plate 87 and the backing plate 88.

図3に示すように、ゲート・バルブ83を挟んで成膜処理室52と反対側には、ターボ分子ポンプ74が連結されているが、このターボ分子ポンプ74は周辺のステータ97と中央で高速回転するロータ98等からできている。ここで、ミクロ異物のソースとしては、ゲート・バルブ83またはロータ98に付着した反応生成物が考えられる。以下、この詳細を更に説明する。   As shown in FIG. 3, a turbo molecular pump 74 is connected to the opposite side of the film forming chamber 52 across the gate valve 83. The turbo molecular pump 74 is connected to the peripheral stator 97 at a high speed at the center. It is made of a rotating rotor 98 or the like. Here, as a source of the micro foreign matter, a reaction product attached to the gate valve 83 or the rotor 98 can be considered. The details will be further described below.

2.ルーバ・スクリーン(異物遮蔽板)の詳細説明(主に図8から15および38)
図8は本実施の形態の半導体装置の製造方法において使用するプラズマCVD装置の異物遮蔽板の上面図である。図9は本実施の形態の半導体装置の製造方法において使用するプラズマCVD装置の重ね合わせ異物遮蔽板を構成する気相処理室側の異物遮蔽板(図9(a))とターボ分子ポンプ側の異物遮蔽板(図9(b))の上面図である。図10は本実施の形態の半導体装置の製造方法において使用するプラズマCVD装置の重ね合わせ異物遮蔽板を構成する気相処理室側の異物遮蔽板とターボ分子ポンプ側の異物遮蔽板におけるそれぞれの扁平略長方形貫通開口間の面積的関係を示す上面図である。図11は本実施の形態の半導体装置の製造方法において使用するプラズマCVD装置の重ね合わせ異物遮蔽板を構成する気相処理室側の異物遮蔽板とターボ分子ポンプ側の異物遮蔽板におけるそれぞれの扁平略長方形貫通開口間の位置関係を示す上面図である。図12は本実施の形態の半導体装置の製造方法において使用するプラズマCVD装置の重ね合わせ異物遮蔽板を構成する気相処理室側の異物遮蔽板の模式断面図(説明対象の貫通開口のみに着目、それ以外は省略、以下同じ)である。図13は本実施の形態の半導体装置の製造方法において使用するプラズマCVD装置の重ね合わせ異物遮蔽板を構成するターボ分子ポンプ側の異物遮蔽板の模式断面図である。図14は本実施の形態の半導体装置の製造方法において使用するプラズマCVD装置の重ね合わせ異物遮蔽板を構成する気相処理室側の異物遮蔽板およびターボ分子ポンプ側の異物遮蔽板の模式断面図である。図15は本実施の形態の半導体装置の製造方法によるウエハ上の異物数と従来の方法によるものを比較したウエハ上異物数推移図である。図38は本実施の形態の半導体装置の製造方法において使用するプラズマCVD装置の重ね合わせ異物遮蔽板を構成する気相処理室側の異物遮蔽板とターボ分子ポンプ側の異物遮蔽板がある角度をなす場合における扁平略長方形貫通開口間の有効な面積的の関係を示す上面図である。これらに基づいて、セクション1で説明したルーバ・スクリーンの詳細説明を行う。
2. Detailed explanation of louver screen (foreign material shielding plate) (mainly FIGS. 8 to 15 and 38)
FIG. 8 is a top view of a foreign matter shielding plate of a plasma CVD apparatus used in the method for manufacturing a semiconductor device of the present embodiment. FIG. 9 shows a foreign substance shielding plate (FIG. 9A) on the vapor phase processing chamber side and a turbo molecular pump side constituting the overlapping foreign substance shielding plate of the plasma CVD apparatus used in the semiconductor device manufacturing method of the present embodiment. It is a top view of a foreign material shielding plate (FIG. 9B). FIG. 10 shows the flatness of the foreign matter shielding plate on the vapor phase processing chamber side and the foreign matter shielding plate on the turbo molecular pump side that constitute the overlapping foreign matter shielding plate of the plasma CVD apparatus used in the semiconductor device manufacturing method of this embodiment. It is a top view which shows the area relationship between substantially rectangular through-openings. FIG. 11 shows the flatness of the foreign matter shielding plate on the vapor phase processing chamber side and the foreign matter shielding plate on the turbo molecular pump side, which constitute the overlapping foreign matter shielding plate of the plasma CVD apparatus used in the semiconductor device manufacturing method of the present embodiment. It is a top view which shows the positional relationship between substantially rectangular through-openings. FIG. 12 is a schematic cross-sectional view of the foreign matter shielding plate on the vapor phase processing chamber side constituting the superimposed foreign matter shielding plate of the plasma CVD apparatus used in the method of manufacturing a semiconductor device of the present embodiment (focusing only on the through-opening to be explained). Others are omitted, the same shall apply hereinafter). FIG. 13 is a schematic cross-sectional view of a foreign substance shielding plate on the turbo molecular pump side that constitutes the overlapping foreign substance shielding plate of the plasma CVD apparatus used in the method for manufacturing a semiconductor device of the present embodiment. FIG. 14 is a schematic cross-sectional view of a foreign matter shielding plate on the vapor phase processing chamber side and a foreign matter shielding plate on the turbo molecular pump side that constitutes the superimposed foreign matter shielding plate of the plasma CVD apparatus used in the method of manufacturing a semiconductor device of the present embodiment. It is. FIG. 15 is a transition diagram of the number of foreign matters on the wafer comparing the number of foreign matters on the wafer produced by the semiconductor device manufacturing method of the present embodiment with that obtained by the conventional method. FIG. 38 shows the angle at which the foreign matter shielding plate on the vapor phase processing chamber and the foreign matter shielding plate on the turbo molecular pump side, which constitute the overlapping foreign matter shielding plate of the plasma CVD apparatus used in the semiconductor device manufacturing method of this embodiment. It is a top view which shows the effective area relationship between the flat substantially rectangular through-opening in the case of making. Based on these, the louver screen described in Section 1 will be described in detail.

図8は図3で説明した複合異物遮蔽板71の内の上側の要素異物遮蔽板71aをより詳細に説明したものである。もっとも、この例では下側の要素異物遮蔽板71bも方位は異なるものの、同一形状であるので、共通部分は上側の要素異物遮蔽板71aについてだけ説明する。方位は図中に矢印で示す。なお、要素異物遮蔽板71a、71bは、たとえば、8ミリメートル程度の厚さのアルミニウム又はアルミニウム合金円板からできている。直径は257ミリメートル程度であり、有効部分131の直径は254ミリメートル程度である。図8に示すように、貫通開口81の内、主要なものは、同一の扁平略長方形形状をしており、円板の中央から順次、密集して敷き詰められている。中央部の表面開口81atと裏面開口81abを円板の主面に立てた法線から(矢印の方位の方向に)45度傾いた同一断面積の斜方形の貫通開口を例にとると、表面開口81btまでは同一形状の繰り返しであるが、円板の端では、長さが足りないので表面開口81ptのように幅が同じで、長さが短いものとなる。このような配列を半ピッチずらせて右(表面開口81ct,81dt)と左で繰り返す。主要な貫通開口の表面開口の扁平略長方形形状の寸法は、たとえば、長辺65ミリメートル程度、短辺10ミリメートル程度である。この幅は、0.5パスカル、常温における空気の平均自由行程と同程度である。表面開口間の間隔(長手方向)は0.5ミリメートル程度である(短手方向もほぼ同じ。両方とも最も薄いところを表す。)。これで、表面開口率が0.9またはそれ以上となるので、ガスに対するコンダクタンスの低下は問題とならない。一般に表面開口率は0.8以上が望ましい。それ以下でも可能であるが、その分、ターボ分子ポンプ74の能力を上げる必要があり、大きな負担となる。   FIG. 8 illustrates the element foreign material shielding plate 71a on the upper side of the composite foreign material shielding plate 71 described in FIG. 3 in more detail. However, in this example, although the lower element foreign material shielding plate 71b has the same shape although the orientation is different, only the upper element foreign material shielding plate 71a will be described as a common part. The direction is indicated by an arrow in the figure. The element foreign matter shielding plates 71a and 71b are made of, for example, aluminum or an aluminum alloy disc having a thickness of about 8 millimeters. The diameter is about 257 millimeters, and the effective portion 131 has a diameter of about 254 millimeters. As shown in FIG. 8, the main ones of the through openings 81 have the same flat and substantially rectangular shape, and are densely packed sequentially from the center of the disk. For example, a rectangular through opening having the same cross-sectional area inclined 45 degrees (in the direction of the arrow) from the normal line where the front surface opening 81at and the back surface opening 81ab are formed on the main surface of the disk. The same shape is repeated up to the opening 81bt, but at the end of the disk, the length is insufficient, so the width is the same as the surface opening 81pt, and the length is short. This arrangement is repeated on the right (surface openings 81ct, 81dt) and left with a half-pitch shift. The dimensions of the substantially rectangular shape of the surface opening of the main through opening are, for example, about 65 millimeters on the long side and about 10 millimeters on the short side. This width is about the same as the mean free path of air at 0.5 Pascal and normal temperature. The distance between the surface openings (longitudinal direction) is about 0.5 millimeter (the short direction is almost the same. Both represent the thinnest part). Thus, since the surface aperture ratio becomes 0.9 or more, the decrease in conductance with respect to the gas is not a problem. In general, the surface aperture ratio is desirably 0.8 or more. Even lower than that is possible, but it is necessary to increase the capacity of the turbo molecular pump 74, and this is a heavy burden.

次に、図9を用いて、上側の要素異物遮蔽板71a(図9(a))と下側の要素異物遮蔽板71b(図9(b))の関係を説明する。図9に示すように、上側の要素異物遮蔽板71aを円板の中心軸の周りに反時計回りに(プラス回転)90度回転させたものが、下側の要素異物遮蔽板71bとなる。この場合、マイナス90度回転(時計回りに)しても、幾何学的には異なるが、ルーバ・スクリーンとしては、ほぼ等価の結果となると考えられる。   Next, the relationship between the upper element foreign material shielding plate 71a (FIG. 9A) and the lower element foreign material shielding plate 71b (FIG. 9B) will be described with reference to FIG. As shown in FIG. 9, the lower element foreign material shielding plate 71b is obtained by rotating the upper element foreign material shielding plate 71a counterclockwise (plus rotation) 90 degrees around the central axis of the disk. In this case, even if it is rotated minus 90 degrees (clockwise), although it is geometrically different, it is considered that the louver screen has almost equivalent results.

図10において、上側の要素異物遮蔽板71aと下側の要素異物遮蔽板71bを前記のような方位差で重ね合わせた場合を説明する。図10に示すように、上側の要素異物遮蔽板71aの扁平略長方形形状の表面開口81atと裏面開口81abの積集合が正射影開口111となり、正射影開口111の面積は表面開口81atのそれの20%程度である。同様に、下側の要素異物遮蔽板71bの扁平略長方形形状の表面開口82atと裏面開口82abの積集合が正射影開口112となり、正射影開口112の面積は表面開口82atのそれの20%程度である。すなわち、各要素異物遮蔽板の正射影開口率は、0.2程度と考えられる。そうすると、合成正射影開口率は各々の積であるから0.04程度となる。すなわち、1枚の異物遮蔽板を2枚方位を90度ずらせて重ね合わせたときの正射影開口率は、1枚の異物遮蔽板の正射影開口率の20%程度になると考えられる。従って、ミクロ異物を有効に阻止するためには、要素異物遮蔽板の正射影開口率は0.3未満が好適である。   In FIG. 10, the case where the upper element foreign material shielding plate 71a and the lower element foreign material shielding plate 71b are overlapped with each other with the above azimuth difference will be described. As shown in FIG. 10, the product set of the surface opening 81at and the back opening 81ab of the flat and substantially rectangular shape of the upper element foreign matter shielding plate 71a becomes the orthogonal projection opening 111, and the area of the orthogonal projection opening 111 is that of the surface opening 81at. It is about 20%. Similarly, the product set of the flat and substantially rectangular surface opening 82at and the back opening 82ab of the lower element foreign object shielding plate 71b becomes the orthographic projection 112, and the area of the orthographic projection 112 is about 20% of that of the surface opening 82at. It is. That is, the orthogonal projection aperture ratio of each element foreign object shielding plate is considered to be about 0.2. Then, the combined orthographic aperture ratio is about 0.04 because it is the product of each. That is, it is considered that the orthographic aperture ratio when two foreign substance shielding plates are overlapped with the azimuth being 90 degrees is about 20% of the orthographic aperture ratio of one foreign substance shielding plate. Therefore, in order to effectively prevent micro foreign matters, the orthogonal projection aperture ratio of the element foreign matter shielding plate is preferably less than 0.3.

このことは、図15からも推測可能である。このグラフは前記のCVD装置により成膜したウエハの異物量(異物径0.2マイクロメータ以上)を時系列的に示したものである。100日目と120日目の間で、単一ルーバ・スクリーンから個々に説明した複合ルーバ・スクリーンに変更したものである。交換前は100個前後を中心に激しくばらついていた異物数が、交換後はその数分の一に減少して、ばらつきも顕著に少なくなっている。   This can also be inferred from FIG. This graph shows the amount of foreign matter (foreign matter diameter of 0.2 μm or more) of a wafer formed by the CVD apparatus in time series. Between day 100 and day 120, the single louver screen was changed to the individually described composite louver screen. The number of foreign objects that had fluctuated violently around 100 before the replacement decreased to a fraction of that after the replacement, and the variation was remarkably reduced.

次に、図11から図14に基づいて、これまでの説明に引き続き、異物遮蔽板の断面構造について詳しく説明する。図12は上側の要素異物遮蔽板71aについての図11のXX’断面を示す。図12に示すように、貫通開口81の正射影開口111の面積が正値である。すなわち、表面開口81atの鈍角側端部から下ろした垂線と裏面開口81abの交点と裏面開口81abの鈍角側端部とを結ぶ線分が正の長さを持つ。図中の矢印は方位である。   Next, based on FIGS. 11 to 14, the cross-sectional structure of the foreign matter shielding plate will be described in detail following the description so far. FIG. 12 shows the XX ′ cross section of FIG. 11 for the upper element foreign material shielding plate 71a. As shown in FIG. 12, the area of the orthogonal projection opening 111 of the through opening 81 is a positive value. That is, a line segment connecting the perpendicular line dropped from the obtuse angle side end of the front surface opening 81at and the back surface opening 81ab and the obtuse angle side end of the back surface opening 81ab has a positive length. The arrow in the figure is the direction.

図13は上側の要素異物遮蔽板71a(下側の要素異物遮蔽板71b)についての図11のYY’断面(ZZ’断面)を示す。図13に示すように、この部分では要素異物遮蔽板の表面から裏面が垂直に見渡せる状態になっている。   13 shows a YY ′ section (ZZ ′ section) of FIG. 11 with respect to the upper element foreign object shielding plate 71a (lower element foreign object shielding plate 71b). As shown in FIG. 13, in this portion, the back surface can be seen vertically from the front surface of the element foreign object shielding plate.

図14は複合異物遮蔽板71についての図11のZZ’断面を示す。これと図10をあわせてみると、合成正射影開口率が顕著に縮小されているのがわかる。   FIG. 14 shows a ZZ ′ cross section of FIG. When this is combined with FIG. 10, it can be seen that the combined orthographic aperture ratio is significantly reduced.

この例では、方位の差を90度とそれと実質的に等価な角度としたが、これに限定されるものではない。実際、角度の効果は図38に示すように、上側の要素異物遮蔽板71aと下側の要素異物遮蔽板71bの各表面開口81atの82at積領域115の面積Sが方位の差の正弦に反比例し、それが合成正射影開口率に反映される。しかし、方位の差が20度未満では、扁平率が相当高いのでなければ(たとえば10以上)、要素異物遮蔽板を重ねる効果はあまりないと見られる。また、方位の差が45度を越えると90度の場合とあまり大きく変わらない値となる。したがって、90度が数学的には合成正射影開口率の圧縮効果が最大であるが、実際的には70度以上はほとんど変わりはないと見られる。これらから、ほぼ同一の形状の要素異物平板を円の中心を一致させて重ね合わせる場合、方位の差は20度から90度が望ましい。更に、ミクロ異物阻止能を上げるには、方位の差は30度から90度が好適である。更に、ミクロ異物阻止能を上げるには、方位の差は40度から90度が更に好適である(40度以上では扁平率をあまり極端に上げなくて済むメリットがある。この傾向は方位の差が大きくなるに連れて大きくなる。90度で最大となる。)。更に、ミクロ異物阻止能を上げるには、方位の差は60度から90度が更に好適である。ここでは90度近傍(70度から90度)を最適の例として示す。   In this example, the azimuth difference is 90 degrees and an angle substantially equivalent thereto, but is not limited to this. Actually, as shown in FIG. 38, the effect of the angle is that the area S of the 82at product region 115 of each surface opening 81at of the upper element foreign matter shielding plate 71a and the lower element foreign matter shielding plate 71b is inversely proportional to the sine of the difference in orientation. This is reflected in the composite orthographic aperture ratio. However, when the difference in orientation is less than 20 degrees, unless the flatness is considerably high (for example, 10 or more), it is considered that there is not much effect of overlapping the element foreign object shielding plates. Further, when the difference in orientation exceeds 45 degrees, the value is not so different from that of 90 degrees. Therefore, 90 degrees is the mathematically effective compression effect of the synthetic orthographic aperture ratio, but practically, it seems that there is almost no change at 70 degrees or more. From these, when the element foreign material flat plates having substantially the same shape are overlapped with the center of the circle being coincident, the difference in orientation is desirably 20 degrees to 90 degrees. Furthermore, in order to increase the micro foreign matter blocking ability, the orientation difference is preferably 30 to 90 degrees. Furthermore, in order to increase the micro foreign matter blocking ability, the orientation difference is more preferably 40 ° to 90 ° (the advantage is that it is not necessary to increase the flatness very much above 40 °. This tendency is the difference in orientation). As the value increases, the value increases at 90 degrees.) Furthermore, in order to improve the micro foreign matter blocking ability, the difference in orientation is more preferably 60 degrees to 90 degrees. Here, the vicinity of 90 degrees (70 to 90 degrees) is shown as an optimal example.

3.ルーバ・スクリーンの貫通開口の断面形状および配向の説明(主に図16から19)
図16は本実施の形態の半導体装置の製造方法において使用するプラズマCVD装置の重ね合わせ異物遮蔽板、またはそれを構成する気相処理室側の異物遮蔽板またはターボ分子ポンプ側の異物遮蔽板の貫通開口形状(正射影開口率>0の場合、すなわち、法線116a,116b間が開口になっている)を説明する模式断面図である。図17は本実施の形態の半導体装置の製造方法において使用するプラズマCVD装置の重ね合わせ異物遮蔽板、またはそれを構成する気相処理室側の異物遮蔽板またはターボ分子ポンプ側の異物遮蔽板のその他の例に関する貫通開口形状(正射影開口率=0の場合)を説明する模式断面図である。図18は本実施の形態の半導体装置の製造方法において使用するプラズマCVD装置の重ね合わせ異物遮蔽板、またはそれを構成する気相処理室側の異物遮蔽板またはターボ分子ポンプ側の異物遮蔽板のその他の例に関する貫通開口形状(正射影開口率<0の場合)を説明する模式断面図である。図19は本実施の形態の半導体装置の製造方法において使用するプラズマCVD装置の重ね合わせ異物遮蔽板の他の例(裏表反転型)を示す模式断面図である。これらに基づいて、ルーバ・スクリーンの貫通開口の断面形状および配向について詳しく説明する。
3. Explanation of cross-sectional shape and orientation of through opening of louver screen (mainly FIGS. 16 to 19)
FIG. 16 shows an overlapped foreign substance shielding plate of the plasma CVD apparatus used in the semiconductor device manufacturing method of the present embodiment, or a foreign substance shielding plate on the vapor phase processing chamber or a turbo molecular pump side constituting the foreign substance shielding plate. FIG. 6 is a schematic cross-sectional view illustrating a through-opening shape (in the case of orthographic aperture ratio> 0, that is, an opening is formed between normal lines 116a and 116b). FIG. 17 shows a superimposed foreign matter shielding plate of a plasma CVD apparatus used in the semiconductor device manufacturing method of the present embodiment, or a foreign matter shielding plate on the vapor phase processing chamber or a turbomolecular pump side constituting the same. It is a schematic cross section explaining the through-opening shape (in the case of orthogonal projection aperture ratio = 0) regarding other examples. FIG. 18 is a schematic view of a superposed foreign matter shielding plate of a plasma CVD apparatus used in the semiconductor device manufacturing method of the present embodiment, or a foreign matter shielding plate on the gas phase processing chamber side or a foreign matter shielding plate on the turbo molecular pump side constituting the same. It is a schematic cross section explaining the through-opening shape (in the case of orthographic aperture ratio <0) regarding other examples. FIG. 19 is a schematic cross-sectional view showing another example (backside-inversion type) of a superimposed foreign matter shielding plate of a plasma CVD apparatus used in the method for manufacturing a semiconductor device of the present embodiment. Based on these, the cross-sectional shape and orientation of the through opening of the louver screen will be described in detail.

前記セクション1及び2で説明した例では、図16に示すように、複合異物遮蔽板71、上側の要素異物遮蔽板71aまたは下側の要素異物遮蔽板71bの貫通開口81,82が異物遮蔽板の主面に垂直に見通せる形状であったが(正射影開口111の面積が正値)、それに限定されるものではない。貫通開口81,82の傾き(開口傾斜角)と表面開口の幅の関係を調整すれば、正射影開口率をそれ以外の値に設定することができる。たとえば、図17は正射影開口率を0としたものであるが、この場合も表面開口率はほどんど変化しないので、ガスに対するコンダクタンスを犠牲にすることなく、ミクロ異物に対する阻止能を高めることができる。   In the example described in the sections 1 and 2, as shown in FIG. 16, the through-openings 81 and 82 of the composite foreign matter shielding plate 71, the upper element foreign matter shielding plate 71a or the lower element foreign matter shielding plate 71b are formed as foreign matter shielding plates. (The area of the orthographic projection 111 is a positive value), but the shape is not limited to this. By adjusting the relationship between the inclination (opening inclination angle) of the through openings 81 and 82 and the width of the surface opening, the orthogonal projection aperture ratio can be set to other values. For example, FIG. 17 shows the case where the orthogonal projection aperture ratio is set to 0, but in this case as well, the surface aperture ratio hardly changes, so that the ability to prevent micro foreign matters can be improved without sacrificing the conductance to gas. it can.

更に図18のように、正射影開口率を負値とすることもできる。この場合は、ガスに対するコンダクタンスを犠牲にすることなく、ミクロ異物に対する阻止能を更に高めることができる。ただし、あまり貫通開口の傾き(開口傾斜角)が大きくなると実効的な表面開口面積(ガス通路の幅)が低下する点に留意する必要がある。一般に、開口傾斜角は45度を中心として、30度以上60度未満が好適である。なお、実質的開口率および表面開口率を高い値に維持するためには、一つの円板(要素異物遮蔽板)内の傾斜角を同一にすることが望ましい。これは敷き詰め面積効率から明らかである。また、複数の要素異物遮蔽板の貫通開口全体としての形状はほぼ同一とするのが加工上有利である。アルミニウム又はアルミニウム合金鋳物として簡単に複製できるからである。また、無垢の金属円板から切削加工で製作する際も、設定の手間を大幅に削減することができる。ただ、方位や配向を異ならせると最適の条件を簡単に作り出すことができ、複雑な貫通開口の加工を回避することができる。これらから、ミクロ異物を効率的にに阻止するためには、要素異物遮蔽板の正射影開口率は0.1未満(負値を含む)が好適である。   Further, as shown in FIG. 18, the orthogonal projection aperture ratio may be a negative value. In this case, the ability to prevent micro foreign matters can be further enhanced without sacrificing the conductance to the gas. However, it should be noted that the effective surface opening area (the width of the gas passage) decreases when the inclination of the through opening (opening inclination angle) becomes too large. In general, the opening inclination angle is preferably 30 degrees or more and less than 60 degrees with 45 degrees as the center. In order to maintain the substantial aperture ratio and the surface aperture ratio at high values, it is desirable that the inclination angles in one disc (element foreign matter shielding plate) be the same. This is evident from the spread area efficiency. In addition, it is advantageous in processing that the shapes of the plurality of element foreign object shielding plates as a whole through opening are substantially the same. This is because it can be easily replicated as an aluminum or aluminum alloy casting. Moreover, when manufacturing from a solid metal disk by cutting, the setting labor can be greatly reduced. However, if the azimuth and orientation are different, the optimum conditions can be easily created, and the processing of complicated through openings can be avoided. From these, in order to effectively prevent micro foreign matters, the orthogonal projection aperture ratio of the element foreign matter shielding plate is preferably less than 0.1 (including negative values).

また、これまでの例では、主に上側の要素異物遮蔽板71aと下側の要素異物遮蔽板71bの配向が同一の場合を説明したが、図19に示すように、いずれかの要素異物遮蔽板を反転させたり、同一配向で180度前後回転させたり、上下の要素異物遮蔽板で貫通開口の位置をずらせたりすることも可能である。図19の例は、要素異物遮蔽板の正射影開口率を正に保ったままで(正射影開口111a,11bの面積は正値)合成正射影開口率を負値にした複合異物遮蔽板である。この例では、ガスに対する実質的な開口率を高い値に保ったまま、ミクロ異物に対する阻止能を高くすることが容易となる。   In the examples so far, the case where the orientation of the upper element foreign matter shielding plate 71a and the lower element foreign matter shielding plate 71b is mainly the same has been described. However, as shown in FIG. It is also possible to invert the plate, rotate it around 180 degrees in the same orientation, or shift the position of the through opening with the upper and lower element foreign matter shielding plates. The example of FIG. 19 is a composite foreign matter shielding plate in which the orthographic projection aperture ratio of the element foreign matter shielding plate is kept positive (the areas of the orthographic projection apertures 111a and 11b are positive values) and the combined orthographic projection aperture rate is a negative value. . In this example, it becomes easy to increase the stopping power against micro foreign matters while keeping the substantial aperture ratio for gas at a high value.

4.ミクロ異物逆流阻止メカニズムの説明(主に図20から22)
図20は本実施の形態の半導体装置の製造方法において使用するプラズマCVD装置の異物遮蔽板の原理を説明するための垂直貫通開口を有する異物遮蔽板の模式断面図である。図21は本実施の形態の半導体装置の製造方法において使用するプラズマCVD装置の異物遮蔽板の原理を説明するための正射影開口率<0となる屈曲貫通開口を有する異物遮蔽板の模式断面図である。図22は本実施の形態の半導体装置の製造方法において使用するプラズマCVD装置の異物遮蔽板の原理を説明するための正射影開口率<0となるパンチング・ボード組み合わせ異物遮蔽板の模式断面図である。これらに基づいてルーバ・スクリーンのミクロ異物阻止能について、詳しく説明する。
4). Explanation of micro foreign matter backflow prevention mechanism (mainly FIGS. 20 to 22)
FIG. 20 is a schematic cross-sectional view of a foreign matter shielding plate having a vertical through opening for explaining the principle of the foreign matter shielding plate of the plasma CVD apparatus used in the method for manufacturing a semiconductor device of the present embodiment. FIG. 21 is a schematic cross-sectional view of a foreign matter shielding plate having a bent through opening with an orthogonal projection aperture ratio <0, for explaining the principle of the foreign matter shielding plate of the plasma CVD apparatus used in the method of manufacturing a semiconductor device of the present embodiment. It is. FIG. 22 is a schematic cross-sectional view of a punching / board combination foreign matter shielding plate in which the orthogonal projection aperture ratio is <0, for explaining the principle of the foreign matter shielding plate of the plasma CVD apparatus used in the semiconductor device manufacturing method of the present embodiment. is there. Based on these, the micro foreign matter blocking ability of the louver screen will be described in detail.

図20には、垂直な密集貫通開口121a,121b,121cを持つ(これまで同様その他の開口は作図上の都合で明示せず。以下同じ)貫通開口密集配列型のルーバ・スクリーン71が示されている。図中のλはこの真空度および常温の条件下における空気の平均自由行程(矢印で対応する長さを表示)である。これでは、マクロ異物の通過は阻止できるが、貫通開口の径よりも小さなミクロ異物123a,123bの通過はまったく阻止できない。ただし、壁面で多重反射するミクロ異物123bは壁にトラップされる可能性がある。一方、ガス分子122a,122bの方は、平均自由行程が貫通開口の径と同程度であるので、壁面以外でも方向転換が可能なため、比較的自由に経路を選択可能である。すなわち、通過経路が多いのでコンダクタンスの減少は小さいと考えられる。ミクロ異物がガス分子に比べて、質量が非常に大きいので、平均自由行程は実質的に無限大と考えられる。すなわち、壁面に衝突する以外はその方向をほとんど変えない。従って、このような表面開口率と正射影開口率が同等(開口形状比が1に近い)のルーバ・スクリーンはミクロ異物阻止能が低いと考えられる。従って、異物遮蔽板全体としての平均開口形状比は、10以上が望ましい。また、安定な異物削減を実現するためには平均開口形状比は、15以上が好適である。更に、今後の微細化を考慮すると、20以上を確保することが必要となる。   FIG. 20 shows a louver screen 71 of a densely arranged through-opening type having vertical dense through-holes 121a, 121b, and 121c (the other openings are not shown for convenience of drawing. The same applies hereinafter). ing. In the figure, λ is the mean free path of air under the conditions of the degree of vacuum and normal temperature (the corresponding length is indicated by an arrow). In this case, the passage of the macro foreign matter can be prevented, but the passage of the micro foreign matter 123a and 123b smaller than the diameter of the through opening cannot be prevented at all. However, there is a possibility that the micro foreign matter 123b that is multiply reflected on the wall surface is trapped on the wall. On the other hand, since the gas molecules 122a and 122b have a mean free path that is approximately the same as the diameter of the through-opening, the direction of the gas molecules 122a and 122b can be changed other than on the wall surface, so that the path can be selected relatively freely. That is, since there are many passage paths, the decrease in conductance is considered to be small. Since the micro foreign matter has a much larger mass than the gas molecule, the mean free path is considered to be substantially infinite. That is, the direction is hardly changed except for colliding with the wall surface. Therefore, it is considered that such a louver screen having the same surface aperture ratio and orthographic aperture ratio (the aperture shape ratio is close to 1) has a low ability to prevent micro foreign matter. Accordingly, the average opening shape ratio of the entire foreign matter shielding plate is desirably 10 or more. Further, in order to realize stable foreign matter reduction, the average opening shape ratio is preferably 15 or more. Furthermore, considering future miniaturization, it is necessary to secure 20 or more.

図21には、屈曲した密集貫通開口121a,121b,121cを持つ貫通開口密集配列型のルーバ・スクリーン71が示されている。これは単一円板でできており、非複合型であるが、要素異物遮蔽板を裏表反転して張り合わせて(又は重ね合わせて)作ることもできる。これでは表面開口率は0.9又はそれ以上で、正射影開口率が負値になる。そうすると、図21に示されているように、ミクロ異物123a,123bの通過経路はごく限られたものとなる。一方、ガス分子122a,122bの方は、平均自由行程が貫通開口の径と同程度であるので、壁面以外でも方向転換が可能なため、比較的自由に経路を選択可能である。すなわち、通過経路が多いのでコンダクタンスの減少は小さいと考えられる。このような効果は、正射影開口率が負値でなくとも、表面開口率に比べて正射影開口率が十分小さい場合(開口形状比が1よりも0に近いか、または負である)に期待できる。基本的にこのカテゴリーに属するものは、図14及び図19等に説明した異物遮蔽板である。このように、ミクロ異物を高率で阻止するためには、異物遮蔽板全体又は個々の要素異物遮蔽板の正射影開口率は負値が好適である。   FIG. 21 shows a louver screen 71 of a through-opening dense arrangement type having bent dense through-openings 121a, 121b, and 121c. This is made of a single disk and is of a non-composite type, but it can also be made by reversing the element foreign substance shielding plates upside down and pasting (or overlapping). In this case, the surface aperture ratio is 0.9 or more, and the orthogonal projection aperture ratio is a negative value. If it does so, as FIG. 21 shows, the passage route of the micro foreign materials 123a and 123b will be very limited. On the other hand, since the gas molecules 122a and 122b have a mean free path that is approximately the same as the diameter of the through-opening, the direction of the gas molecules 122a and 122b can be changed other than on the wall surface, so that the path can be selected relatively freely. That is, since there are many passage paths, the decrease in conductance is considered to be small. Such an effect is obtained when the orthographic aperture ratio is sufficiently smaller than the surface aperture ratio even if the orthographic aperture ratio is not a negative value (the aperture shape ratio is closer to 0 than 1 or negative). I can expect. Basically, those belonging to this category are the foreign matter shielding plates described in FIGS. Thus, in order to prevent micro foreign matters at a high rate, a negative value is suitable for the orthogonal projection aperture ratio of the whole foreign matter shielding plate or individual element foreign matter shielding plates.

図22には、これまで説明してきた複合ルーバ・スクリーンと厚さは同等であるが、構造が異なり、低開口率の2枚の薄いパンチングボードを所定の間隔(前記例の複合ルーバ・スクリーンの厚さと同程度の間隙125を有する)を置いて平行に保持した貫通開口非密集配列型の複合ルーバ・スクリーンが示されている。この場合、合成表面開口率は各パンチングボードの開口率の積となり、合成正射影開口率は負値となる。この場合、ミクロ異物123a,123bの通過経路はほとんど見つからず、通過の可能性はほとんどないと見られる。従って、ミクロ異物阻止能は高いといえる。ただし、ガスに対するコンダクタンスの低下も大きいので(パンチングボードがオリフィスとして作用する)、できるだけ開口率の高いパンチングボードを使用するほか、ターボ分子ポンプの排気能力の余裕を十分にとっておく必要がある。また、間隙125の幅はλと同程度又はそれ以上とすることがガスに対するコンダクタンスの低下を防ぐ上で有効である。   In FIG. 22, although the thickness is the same as that of the composite louver screen described so far, the structure is different, and two thin punching boards having a low aperture ratio are arranged at a predetermined interval (the composite louver screen of the above example). A through louver screen composite louver screen is shown which is held in parallel with a gap 125 of the same thickness as the gap. In this case, the composite surface aperture ratio is the product of the aperture ratios of the punching boards, and the composite orthographic aperture ratio is a negative value. In this case, the passage of the micro foreign matters 123a and 123b is hardly found, and it is considered that there is almost no possibility of passage. Therefore, it can be said that the micro foreign matter blocking ability is high. However, since the conductance with respect to the gas is also greatly reduced (the punching board acts as an orifice), it is necessary to use a punching board having a high opening ratio as much as possible and to have a sufficient exhaust capacity of the turbo molecular pump. Further, it is effective to prevent the decrease in the conductance with respect to the gas by setting the width of the gap 125 to be approximately equal to or larger than λ.

以上のセクション1から4に説明したCVD装置及び異物遮蔽板の具体的CVDプロセス、デバイスへの適用に関しては、後続のセクション5(CVDプロセス1)およびセクション6(CVDプロセス2)において説明する。   The specific CVD process and application of the CVD apparatus and foreign substance shielding plate described in the above sections 1 to 4 will be described in the following section 5 (CVD process 1) and section 6 (CVD process 2).

5.素子分離工程に適用したプロセスの説明(主に図23から27)
図23から図27により、STI(Shallow Trench Isolation)型の素子分離工程の素子分離溝埋め込み工程に適用したプロセスの説明を行う。この素子分離溝埋め込み工程はHDP-CVD法(High Density Plasma CVD)によって実施される。プラズマ炉としては、図1等に説明した枚葉式のICP型の高密度プラズマCVD炉を用いる。この方式では一般に0.27Paから1.3Pa程度の真空領域が用いられる。反応ガスは一般にモノシランである。ここでは、セクション1から4に説明した異物遮蔽板の内、いずれかを用いる。STI工程は、素子のアクティブ領域に直接関係するためミクロ異物の低減は特に重要である。
5. Explanation of the process applied to the element isolation process (mainly FIGS. 23 to 27)
A process applied to the element isolation trench filling process of the STI (Shallow Trench Isolation) type element isolation process will be described with reference to FIGS. This element isolation groove filling step is performed by an HDP-CVD method (High Density Plasma CVD). As the plasma furnace, the single-wafer ICP type high-density plasma CVD furnace described in FIG. 1 or the like is used. In this method, a vacuum region of about 0.27 Pa to 1.3 Pa is generally used. The reaction gas is generally monosilane. Here, one of the foreign matter shielding plates described in sections 1 to 4 is used. Since the STI process is directly related to the active region of the device, the reduction of micro foreign matters is particularly important.

このHDP-CVDの装置運用手順を図23により説明する。セクション1で図7に関して説明したように、まず、最初に装置のクリーン度を所定のレベルまで引き上げるため水素前処理工程36(被処理ウエハがない状態で)を実行する。次に処理室52の内面やその他部分に酸化膜を堆積するプリコート工程37(被処理ウエハがない状態で)を実行する。続いて、ウエハ1を処理室52のウエハ・ステージ54にセットした状態で成膜処理38を実行する。成膜が完了するとウエハ1を処理室52の外に排出する。この成膜処理39から40を後続のN−1枚のウエハ1に対して、先と同様に繰り返す。その後、ゲートがクローズして低真空状態に移行して、処理室52の中に被処理ウエハがない状態で、クリーニング工程46を実行する。その後、クリーニング工程46で残留したフッ素等を除去するための水素後処理47を実行する。その後は、ゲートが開いて高真空状態に移行して水素前処理36にもどる。このように所定のロットに属するウエハ全体の処理が完了するまで、この水素前処理36から水素後処理47までの成膜サイクルを繰り返す。プロセス・ステップの間もプラズマ電力はオンのままであり、図23に示すようにアイドリング状態151に維持される。   The apparatus operation procedure of HDP-CVD will be described with reference to FIG. As described with reference to FIG. 7 in section 1, first, a hydrogen pretreatment step 36 (without a wafer to be processed) is performed to raise the cleanliness of the apparatus to a predetermined level. Next, a pre-coating process 37 (with no wafer to be processed) for depositing an oxide film on the inner surface of the processing chamber 52 and other parts is performed. Subsequently, the film forming process 38 is performed in a state where the wafer 1 is set on the wafer stage 54 in the processing chamber 52. When the film formation is completed, the wafer 1 is discharged out of the processing chamber 52. The film forming processes 39 to 40 are repeated for the subsequent N-1 wafers 1 in the same manner as described above. Thereafter, the gate is closed to shift to a low vacuum state, and the cleaning process 46 is executed in a state where there is no wafer to be processed in the processing chamber 52. Thereafter, a hydrogen post-treatment 47 for removing fluorine remaining in the cleaning process 46 is performed. After that, the gate is opened, the state is changed to a high vacuum state, and the hydrogen pretreatment 36 is returned. In this way, the film formation cycle from the hydrogen pretreatment 36 to the hydrogen posttreatment 47 is repeated until the processing of the entire wafer belonging to the predetermined lot is completed. The plasma power remains on during the process steps and remains in the idle state 151 as shown in FIG.

図23の成膜工程33を図24から図27により詳しく説明する。図24は素子分離溝形成工程のデバイス断面図である。窒化シリコン膜2を対ドライエッチングマスクとしてシリコン・ウエハ(基板)1に素子分離溝3が形成される。   23 will be described in detail with reference to FIGS. FIG. 24 is a device cross-sectional view of the element isolation groove forming step. An element isolation trench 3 is formed in a silicon wafer (substrate) 1 using the silicon nitride film 2 as a dry etching mask.

図25は素子分離溝埋め込み工程を示す。先の素子分離溝3がCVDシリコン酸化膜4により、埋め込まれている(CVDプロセス1;HDP-CVD-1)。   FIG. 25 shows the element isolation trench filling step. The previous element isolation trench 3 is filled with a CVD silicon oxide film 4 (CVD process 1; HDP-CVD-1).

図26はCMP工程完了時のデバイス断面図である。ここでは素子分離溝3外のCVDシリコン酸化膜4が除去されている。   FIG. 26 is a device cross-sectional view at the completion of the CMP process. Here, the CVD silicon oxide film 4 outside the element isolation trench 3 is removed.

図27は窒化シリコン膜除去工程を示す。ここでは、ウエット・エッチングによって、窒化シリコン膜2が除去される。   FIG. 27 shows the silicon nitride film removing step. Here, the silicon nitride film 2 is removed by wet etching.

6.アルミニウム配線工程に適用したプロセスの説明(主に図28から図31)
図28から図31によりILD膜形成工程を説明する。図28はアルミニウム配線パターニング工程のデバイス断面図である。下層のILD膜19上に形成されたアルミニウム配線は中間のアルミニウム合金層5と上下のTiN等のバリア・メタル層6からなる。一般に、アルミニウム配線パターニングはレジスト膜を対エッチング・マスクとしてドライ・エッチングで行われる。
6). Explanation of the process applied to the aluminum wiring process (mainly FIGS. 28 to 31)
The ILD film forming process will be described with reference to FIGS. FIG. 28 is a device cross-sectional view of the aluminum wiring patterning step. The aluminum wiring formed on the lower ILD film 19 includes an intermediate aluminum alloy layer 5 and upper and lower barrier metal layers 6 such as TiN. Generally, aluminum wiring patterning is performed by dry etching using a resist film as an etching mask.

図29はHDP-CVD膜14の成膜(CVDプロセス2;HDP-CVD-2)の完了の状態を示す。その上に、図30に示すようにTEOS(Tetraethyl-orthosilicate)を用いたプラズマCVDシリコン酸化膜、すなわちP-TEOS SIO2膜7が形成される(CVDプロセス3;P-TEOS-1)。その後、CMPによる平坦化処理が施される。更に、CMP処理の後に50から100nm程度の薄いP-TEOS SIO2膜等が形成されることもある(CVDプロセス4;P-TEOS-2)。図31は層間CMPプロセス完了時のデバイス断面図である。 FIG. 29 shows the completed state of the HDP-CVD film 14 (CVD process 2; HDP-CVD-2). Further, as shown in FIG. 30, a plasma CVD silicon oxide film using TEOS (Tetraethyl-orthosilicate), that is, a P-TEOS SIO 2 film 7 is formed (CVD process 3; P-TEOS-1). Thereafter, a planarization process by CMP is performed. Furthermore, a thin P-TEOS SIO 2 film of about 50 to 100 nm may be formed after the CMP process (CVD process 4; P-TEOS-2). FIG. 31 is a device cross-sectional view when the interlayer CMP process is completed.

P-TEOSプロセスは一般に図1に示した炉と類似するが若干形式の異なった枚葉プラズマ炉(高密度型ではない)を用いて行われる。用いられる圧力領域は一般に67Paから2000Paである。   The P-TEOS process is generally performed using a single wafer plasma furnace (not a high density type) that is similar to the furnace shown in FIG. The pressure range used is generally 67 Pa to 2000 Pa.

7.プリ・メタル工程に適用したプロセスの説明(主に図32から図36)
図32から図36により、プリ・メタル絶縁膜形成工程のNSG膜(Non-Doped silicate glass film)すなわちノン・ドープ・シリコン酸化膜の形成を大気圧(Atmospheric)すなわち1.0X10Pa前後、または準大気圧(Sub-Atmospheric)下で(約2,700Paから80,000Pa)のオゾンおよびTEOS(Tetraethyl-orthosilicate)を用いた熱CVD(Thermal CVD)により実行する場合について説明する(いわゆるオゾンTEOSシリコン酸化膜)。この場合の真空排気系は一般に単一ポンプ構成でメカニカル・ドライポンプをメインポンプとしている。一般に、大気圧下のものをAP-CVD(Atmospheric CVD)と呼び、準大気圧のものをSA-CVD(Sub-Atmospheric CVD)と呼ぶ。前者には一般にバッチ炉が、後者には図1に説明したものに類似した(プラズマ炉ではないが)枚葉炉が使用される。以下の説明は枚葉炉の場合を具体的に説明する。
7). Explanation of the process applied to the pre-metal process (mainly FIGS. 32 to 36)
32 to 36, an NSG film (Non-Doped silicate glass film), that is, a non-doped silicon oxide film in the pre-metal insulating film forming process is formed at atmospheric pressure, that is, about 1.0 × 10 5 Pa, or An explanation will be given of a case where the process is performed by thermal CVD using ozone and TEOS (Tetraethyl-orthosilicate) under sub-atmospheric (about 2,700 Pa to 80,000 Pa) (so-called ozone TEOS silicon). Oxide film). In this case, the evacuation system generally has a single pump configuration and a mechanical dry pump as a main pump. In general, those under atmospheric pressure are called AP-CVD (Atmospheric CVD), and those under sub-atmospheric pressure are called SA-CVD (Sub-Atmospheric CVD). A batch furnace is generally used for the former, and a single-wafer furnace similar to that described in FIG. 1 (but not a plasma furnace) is used for the latter. The following description specifically explains the case of a single-wafer furnace.

図32に先の図23と同様な装置運用手順の一例を示す。先の図とは、プリコート41の位置と、水素前処理36がプリクリーニング42(プリコート41で付きすぎた膜の一部を除去する)に変わっている点が、成膜工程43とクリーニング工程44は詳細条件以外は、ほぼ同様である。前処理の順序等はプロセスや装置の特性によって、適宜変更すればよいので、説明の繰り返しは避ける。以下図33から図36により、プロセスの詳細を説明する。   FIG. 32 shows an example of an apparatus operation procedure similar to that of FIG. The film formation process 43 and the cleaning process 44 are different from the previous figure in that the position of the precoat 41 and the hydrogen pretreatment 36 are changed to the precleaning 42 (removing a part of the film excessively attached by the precoat 41). Is substantially the same except for the detailed conditions. The order of pre-processing and the like may be changed as appropriate depending on the characteristics of the process and the apparatus, and thus the description is not repeated. Details of the process will be described below with reference to FIGS.

図33はゲート電極パターン関係時のデバイス略断面図である。ゲート電極部分9とその周りの基板1の第1の主面(デバイス面)にソース又はドレイン領域8が形成されている。   FIG. 33 is a schematic cross-sectional view of a device related to a gate electrode pattern. A source or drain region 8 is formed on the gate electrode portion 9 and the first main surface (device surface) of the substrate 1 around it.

図34はNSG−CVD膜10を形成した後の断面構造である(CVDプロセス5;O3-TEOS-1)。図35はその上に同様の熱CVDによりBPSG膜11(Borophosphosilicate Glass Film)を形成したときのデバイス断面である(CVDプロセス6;O3-TEOS-2)。この場合、プロセスガスは一般にTMP(Trimethylphosphite),TEPO(Triethylphosphate),TMB(trimethylborate),TEB(Triethylborate)等が使用される。図36は更にその上に先と同様のP-TEOS SIO2膜12を形成(CVDプロセス7;P-TEOS-3)した後、プリ・メタル絶縁膜13に対するCMP完了時のデバイス断面を示す。 FIG. 34 shows a sectional structure after the NSG-CVD film 10 is formed (CVD process 5; O 3 -TEOS-1). FIG. 35 is a device cross section when a BPSG film 11 (Borophosphosilicate Glass Film) is formed thereon by similar thermal CVD (CVD process 6; O 3 -TEOS-2). In this case, TMP (Trimethylphosphite), TEPO (Triethylphosphate), TMB (trimethylborate), TEB (Triethylborate) or the like is generally used as the process gas. FIG. 36 shows a device cross section at the time of completion of CMP for the pre-metal insulating film 13 after further forming the same P-TEOS SIO 2 film 12 (CVD process 7; P-TEOS-3) thereon.

8.対象デバイスの例示的な断面構造の説明(主に図37)
図37は図23から図36に説明したプロセスおよび手法を適用して製造された4層アルミニウム配線を有するMOSまたはMIS型の半導体装置の一例を示す断面図である。アルミニウム配線間はTiN等からなるバリア・メタル層16で囲まれたタングステン・プラグ15によって接続されている。最上層の膜17はプラズマ・シリコン・ナイトライド等からなるファイナル・パッシベーション膜(CVDプロセス8;P-SiN-1)である。
8). Description of exemplary cross-sectional structure of target device (mainly FIG. 37)
FIG. 37 is a cross-sectional view showing an example of a MOS or MIS type semiconductor device having a four-layer aluminum wiring manufactured by applying the process and method described in FIGS. The aluminum wirings are connected by a tungsten plug 15 surrounded by a barrier metal layer 16 made of TiN or the like. The uppermost film 17 is a final passivation film (CVD process 8; P-SiN-1) made of plasma, silicon, nitride or the like.

9.サマリ
以上本発明者によってなされた発明を実施形態に基づいて具体的に説明したが、本発明はそれに限定されるものではなく、その要旨を逸脱しない範囲において種々変更可能であることは言うまでもない。
9. Summary The invention made by the present inventor has been specifically described based on the embodiments. However, the present invention is not limited thereto, and it goes without saying that various changes can be made without departing from the scope of the invention.

例えば、前記実施の形態ではシリコン酸化膜のCVDプロセスを主体に説明したが、本発明はそれに限定されるものではなく、SiNその他の絶縁膜、タングステンその他のメタル膜、チタン・ナイトライドその他のメタル窒化物膜、酸化ルテニウムその他のメタル酸化膜等のCVDプロセスへも適用できることは言うまでもない。更に、CVDプロセスのみでなく、プラズマ・エッチング等の気相処理にも適用できることは言うまでもない。   For example, in the above-described embodiment, the description is mainly made on the CVD process of the silicon oxide film. However, the present invention is not limited to this, and SiN and other insulating films, tungsten and other metal films, titanium nitride, and other metals. Needless to say, the present invention can also be applied to a CVD process such as a nitride film, ruthenium oxide or other metal oxide film. Furthermore, it goes without saying that the present invention can be applied not only to a CVD process but also to a gas phase process such as plasma etching.

また、プラズマ炉の形式については、ICP型(Inductively Coupled Plasma furnace)の枚葉炉(Single Wafer Processing Furnace)を例にとり詳しく説明したが、本発明はそれに限定されるものではなく、サイクロトロン型や平行平板型のものにも適用できることは言うまでもない。   The plasma furnace type has been described in detail by taking an ICP type (Inductively Coupled Plasma furnace) single wafer processing furnace as an example. Needless to say, the present invention can be applied to a flat plate type.

また、前記実施の形態ではアルミニウム配線を使用した半導体装置の製造方法を例にとり具体的に説明したが、本発明はそれに限定されるものではなく、銅配線、銀配線等のダマシン配線を使用した半導体装置の製造方法にも適用できることは言うまでもない。   In the above-described embodiment, the method for manufacturing a semiconductor device using aluminum wiring has been specifically described as an example. However, the present invention is not limited thereto, and damascene wiring such as copper wiring or silver wiring is used. Needless to say, the present invention can also be applied to a method of manufacturing a semiconductor device.

本実施の形態の半導体装置の製造方法において使用するプラズマCVD装置の正面模式断面図である。It is a front schematic cross section of the plasma CVD apparatus used in the manufacturing method of the semiconductor device of this Embodiment. 本実施の形態の半導体装置の製造方法において使用するプラズマCVD装置の真空系全体を含めた正面模式断面図である。It is a front schematic cross section including the whole vacuum system of the plasma CVD apparatus used in the manufacturing method of the semiconductor device of this Embodiment. 本実施の形態の半導体装置の製造方法において使用するプラズマCVD装置のゲート・バルブ周辺部の模式断面図である。It is a schematic cross section of the periphery of the gate valve of the plasma CVD apparatus used in the method for manufacturing a semiconductor device of the present embodiment. 本実施の形態の半導体装置の製造方法において使用するプラズマCVD装置の開状態のゲート・バルブの模式断面図である。It is a schematic cross section of the gate valve in the open state of the plasma CVD apparatus used in the method for manufacturing a semiconductor device of the present embodiment. 本実施の形態の半導体装置の製造方法において使用するプラズマCVD装置の閉状態のゲート・バルブの模式断面図である。It is a schematic cross section of the gate valve of the closed state of the plasma CVD apparatus used in the manufacturing method of the semiconductor device of this Embodiment. 本実施の形態の半導体装置の製造方法において使用するプラズマCVD装置の全体上面図である。It is a whole top view of the plasma CVD apparatus used in the manufacturing method of the semiconductor device of this Embodiment. 本実施の形態の半導体装置の製造方法の装置プロセス・フローを示すブロック・フロー図である。It is a block flowchart which shows the apparatus process flow of the manufacturing method of the semiconductor device of this Embodiment. 本実施の形態の半導体装置の製造方法において使用するプラズマCVD装置の異物遮蔽板の上面図である。It is a top view of the foreign material shielding board of the plasma CVD apparatus used in the manufacturing method of the semiconductor device of this Embodiment. 本実施の形態の半導体装置の製造方法において使用するプラズマCVD装置の重ね合わせ異物遮蔽板を構成する気相処理室側の異物遮蔽板(図9(a))とターボ分子ポンプ側の異物遮蔽板(図9(b))の上面図である。A foreign matter shielding plate (FIG. 9 (a)) on the vapor phase processing chamber side and a foreign matter shielding plate on the turbo molecular pump side that constitutes the superimposed foreign matter shielding plate of the plasma CVD apparatus used in the method of manufacturing a semiconductor device of the present embodiment. FIG. 10 is a top view of (FIG. 9B). 本実施の形態の半導体装置の製造方法において使用するプラズマCVD装置の重ね合わせ異物遮蔽板を構成する気相処理室側の異物遮蔽板とターボ分子ポンプ側の異物遮蔽板におけるそれぞれの扁平略長方形貫通開口間の面積的関係を示す上面図である。A flat rectangular penetration in each of the foreign substance shielding plate on the vapor phase processing chamber side and the foreign substance shielding plate on the turbo molecular pump side that constitutes the overlapping foreign substance shielding plate of the plasma CVD apparatus used in the manufacturing method of the semiconductor device of the present embodiment It is a top view which shows the area relationship between opening. 本実施の形態の半導体装置の製造方法において使用するプラズマCVD装置の重ね合わせ異物遮蔽板を構成する気相処理室側の異物遮蔽板とターボ分子ポンプ側の異物遮蔽板におけるそれぞれの扁平略長方形貫通開口間の位置関係を示す上面図である。A flat rectangular penetration in each of the foreign substance shielding plate on the vapor phase processing chamber side and the foreign substance shielding plate on the turbo molecular pump side that constitutes the overlapping foreign substance shielding plate of the plasma CVD apparatus used in the manufacturing method of the semiconductor device of the present embodiment It is a top view which shows the positional relationship between opening. 本実施の形態の半導体装置の製造方法において使用するプラズマCVD装置の重ね合わせ異物遮蔽板を構成する気相処理室側の異物遮蔽板の模式断面図(説明対象の貫通開口のみに着目、それ以外は省略、以下同じ)である。Schematic cross-sectional view of the foreign matter shielding plate on the vapor phase processing chamber side that constitutes the superimposed foreign matter shielding plate of the plasma CVD apparatus used in the method for manufacturing a semiconductor device of the present embodiment (focusing only on the through-opening to be explained, otherwise Is omitted, the same applies hereinafter). 本実施の形態の半導体装置の製造方法において使用するプラズマCVD装置の重ね合わせ異物遮蔽板を構成するターボ分子ポンプ側の異物遮蔽板の模式断面図である。It is a schematic cross-sectional view of a foreign matter shielding plate on the turbo molecular pump side that constitutes a superimposed foreign matter shielding plate of a plasma CVD apparatus used in the method for manufacturing a semiconductor device of the present embodiment. 本実施の形態の半導体装置の製造方法において使用するプラズマCVD装置の重ね合わせ異物遮蔽板を構成する気相処理室側の異物遮蔽板およびターボ分子ポンプ側の異物遮蔽板の模式断面図である。It is a schematic cross-sectional view of a foreign matter shielding plate on the vapor phase processing chamber side and a foreign matter shielding plate on the turbo molecular pump side, which constitute an overlapping foreign matter shielding plate of a plasma CVD apparatus used in the method for manufacturing a semiconductor device of the present embodiment. 本実施の形態の半導体装置の製造方法によるウエハ上の異物数と従来の方法によるものを比較したウエハ上異物数推移図である。FIG. 6 is a transition diagram of the number of foreign matters on a wafer comparing the number of foreign matters on a wafer produced by the method for manufacturing a semiconductor device of the present embodiment with that obtained by a conventional method. 本実施の形態の半導体装置の製造方法において使用するプラズマCVD装置の重ね合わせ異物遮蔽板、またはそれを構成する気相処理室側の異物遮蔽板またはターボ分子ポンプ側の異物遮蔽板の貫通開口形状(正射影開口率>0の場合)を説明する模式断面図である。Through-opening shape of the superimposed foreign matter shielding plate of the plasma CVD apparatus used in the method of manufacturing a semiconductor device of the present embodiment, or the foreign matter shielding plate on the gas phase processing chamber side or the foreign matter shielding plate on the turbo molecular pump side constituting the same It is a schematic cross section explaining (when orthographic aperture ratio> 0). 本実施の形態の半導体装置の製造方法において使用するプラズマCVD装置の重ね合わせ異物遮蔽板、またはそれを構成する気相処理室側の異物遮蔽板またはターボ分子ポンプ側の異物遮蔽板のその他の例に関する貫通開口形状(正射影開口率=0の場合)を説明する模式断面図である。Other examples of the superimposed foreign substance shielding plate of the plasma CVD apparatus used in the semiconductor device manufacturing method of the present embodiment, or the foreign substance shielding plate on the gas phase processing chamber side or the turbo molecular pump side constituting the same. It is a schematic cross section explaining the through-opening shape (when the orthogonal projection aperture ratio = 0). 本実施の形態の半導体装置の製造方法において使用するプラズマCVD装置の重ね合わせ異物遮蔽板、またはそれを構成する気相処理室側の異物遮蔽板またはターボ分子ポンプ側の異物遮蔽板のその他の例に関する貫通開口形状(正射影開口率<0の場合)を説明する模式断面図である。Other examples of the superimposed foreign substance shielding plate of the plasma CVD apparatus used in the semiconductor device manufacturing method of the present embodiment, or the foreign substance shielding plate on the gas phase processing chamber side or the turbo molecular pump side constituting the same. FIG. 6 is a schematic cross-sectional view illustrating a through-opening shape (when orthogonal projection aperture ratio <0). 本実施の形態の半導体装置の製造方法において使用するプラズマCVD装置の重ね合わせ異物遮蔽板の他の例(裏表反転型)を示す模式断面図である。It is a schematic cross section which shows the other example (back-and-front inversion type) of the overlapping foreign material shielding plate of the plasma CVD apparatus used in the manufacturing method of the semiconductor device of this Embodiment. 本実施の形態の半導体装置の製造方法において使用するプラズマCVD装置の異物遮蔽板の原理を説明するための垂直貫通開口を有する異物遮蔽板の模式断面図である。It is a schematic cross-sectional view of a foreign matter shielding plate having a vertical through opening for explaining the principle of the foreign matter shielding plate of the plasma CVD apparatus used in the method for manufacturing a semiconductor device of the present embodiment. 本実施の形態の半導体装置の製造方法において使用するプラズマCVD装置の異物遮蔽板の原理を説明するための正射影開口率<0となる屈曲貫通開口を有する異物遮蔽板の模式断面図である。FIG. 5 is a schematic cross-sectional view of a foreign substance shielding plate having a bent through opening with an orthogonal projection aperture ratio <0 for explaining the principle of the foreign substance shielding plate of the plasma CVD apparatus used in the method for manufacturing a semiconductor device of the present embodiment. 本実施の形態の半導体装置の製造方法において使用するプラズマCVD装置の異物遮蔽板の原理を説明するための正射影開口率<0となるパンチング・ボード組み合わせ異物遮蔽板の模式断面図である。FIG. 5 is a schematic cross-sectional view of a punching / board combination foreign matter shielding plate satisfying an orthogonal projection aperture ratio <0 for explaining the principle of the foreign matter shielding plate of the plasma CVD apparatus used in the method for manufacturing a semiconductor device of the present embodiment. 本発明の一実施の形態である半導体装置の製造方法に用いるプラズマCVDプロセスの一例を示す装置処理フロー図である。It is an apparatus processing flowchart which shows an example of the plasma CVD process used for the manufacturing method of the semiconductor device which is one embodiment of this invention. 本発明の一実施の形態である半導体装置の製造方法に用いるプラズマCVDプロセスの一例である素子分離工程の内の素子分離溝形成工程を表すデバイス断面図である。It is device sectional drawing showing the element isolation groove | channel formation process in the element isolation process which is an example of the plasma CVD process used for the manufacturing method of the semiconductor device which is one embodiment of this invention. 本発明の一実施の形態である半導体装置の製造方法に用いるプラズマCVDプロセスの一例である素子分離工程の内の素子分離溝埋め込み工程を表すデバイス断面図である。It is device sectional drawing showing the element isolation groove embedding process in the element isolation process which is an example of the plasma CVD process used for the manufacturing method of the semiconductor device which is one embodiment of this invention. 本発明の一実施の形態である半導体装置の製造方法に用いるプラズマCVDプロセスの一例である素子分離工程の内の素子分離CMP工程を表すデバイス断面図である。It is device sectional drawing showing the element isolation | separation CMP process in the element isolation process which is an example of the plasma CVD process used for the manufacturing method of the semiconductor device which is one embodiment of this invention. 本発明の一実施の形態である半導体装置の製造方法に用いるプラズマCVDプロセスの一例である素子分離工程の内の窒化シリコン素子分離パターニング膜除去工程を表すデバイス断面図である。It is device sectional drawing showing the silicon nitride element isolation | separation patterning film removal process in the element isolation process which is an example of the plasma CVD process used for the manufacturing method of the semiconductor device which is one embodiment of this invention. 本発明の一実施の形態である半導体装置の製造方法に用いるプラズマCVDプロセスの一例であるアルミニウム配線工程の内の配線パターン形成工程を表すデバイス断面図である。It is device sectional drawing showing the wiring pattern formation process in the aluminum wiring process which is an example of the plasma CVD process used for the manufacturing method of the semiconductor device which is one embodiment of this invention. 本発明の一実施の形態である半導体装置の製造方法に用いるプラズマCVDプロセスの一例であるアルミニウム配線工程の内の配線パターン埋め込み工程1を表すデバイス断面図である。It is device sectional drawing showing the wiring pattern embedding process 1 in the aluminum wiring process which is an example of the plasma CVD process used for the manufacturing method of the semiconductor device which is one embodiment of this invention. 本発明の一実施の形態である半導体装置の製造方法に用いるプラズマCVDプロセスの一例であるアルミニウム配線工程の内の配線パターン埋め込み工程2を表すデバイス断面図である。It is device sectional drawing showing the wiring pattern embedding process 2 in the aluminum wiring process which is an example of the plasma CVD process used for the manufacturing method of the semiconductor device which is one embodiment of this invention. 本発明の一実施の形態である半導体装置の製造方法に用いるプラズマCVDプロセスの一例であるアルミニウム配線工程の内の配線層間絶縁膜CMP工程を表すデバイス断面図である。It is device sectional drawing showing the wiring interlayer insulation film CMP process in the aluminum wiring process which is an example of the plasma CVD process used for the manufacturing method of the semiconductor device which is one embodiment of this invention. 本発明の一実施の形態である半導体装置の製造方法に用いる熱CVDプロセスの一例を示す装置処理フロー図である。It is an apparatus processing flowchart which shows an example of the thermal CVD process used for the manufacturing method of the semiconductor device which is one embodiment of this invention. 本発明の一実施の形態である半導体装置の製造方法に用いる熱CVDプロセスの一例であるゲート形成・プリメタル工程の内のゲート形成工程を表すデバイス断面図である。It is device sectional drawing showing the gate formation process in the gate formation and premetal process which is an example of the thermal CVD process used for the manufacturing method of the semiconductor device which is one embodiment of this invention. 本発明の一実施の形態である半導体装置の製造方法に用いる熱CVDプロセスの一例であるゲート形成・プリメタル工程の内のゲート上NSG膜形成工程を表すデバイス断面図である。It is device sectional drawing showing the NSG film formation process on a gate in the gate formation and premetal process which is an example of the thermal CVD process used for the manufacturing method of the semiconductor device which is one embodiment of this invention. 本発明の一実施の形態である半導体装置の製造方法に用いる熱CVDプロセスの一例であるゲート形成・プリメタル工程の内のゲート上BPSG膜形成工程を表すデバイス断面図である。It is device sectional drawing showing the BPSG film formation process on a gate in the gate formation and premetal process which is an example of the thermal CVD process used for the manufacturing method of the semiconductor device which is one embodiment of this invention. 本発明の一実施の形態である半導体装置の製造方法に用いる熱CVDプロセスの一例であるゲート形成・プリメタル工程の内のCMP工程を表すデバイス断面図である。It is device sectional drawing showing the CMP process of the gate formation and premetal process which is an example of the thermal CVD process used for the manufacturing method of the semiconductor device which is one embodiment of this invention. 本発明の一実施の形態である半導体装置の製造方法によって製造されたデバイスの一例を示すデバイス断面図である。It is device sectional drawing which shows an example of the device manufactured by the manufacturing method of the semiconductor device which is one embodiment of this invention. 本実施の形態の半導体装置の製造方法において使用するプラズマCVD装置の重ね合わせ異物遮蔽板を構成する気相処理室側の異物遮蔽板とターボ分子ポンプ側の異物遮蔽板がある角度をなす場合における扁平略長方形貫通開口間の有効な面積的の関係を示す上面図である。In the case where the foreign substance shielding plate on the vapor phase processing chamber side and the foreign substance shielding plate on the turbo molecular pump side forming an overlapping foreign substance shielding plate of the plasma CVD apparatus used in the semiconductor device manufacturing method of the present embodiment form an angle It is a top view which shows the effective area relationship between flat substantially rectangular through-openings.

符号の説明Explanation of symbols

1 ウエハ
38 気相処理(プラズマCVD処理)
52 気相処理室(プラズマCVD処理室)
55 ウエハ処理装置
56 ウエハ・ステージ(静電チャック)
71a 第1の異物遮蔽板(プラズマCVD処理室側の異物遮蔽板)
71b 第2の異物遮蔽板(ターボ分子ポンプ側の異物遮蔽板)
74 ターボ分子ポンプ
141 反応ガス
1 Wafer 38 Vapor phase treatment (plasma CVD treatment)
52 Vapor phase processing chamber (plasma CVD processing chamber)
55 Wafer processing equipment 56 Wafer stage (electrostatic chuck)
71a First foreign matter shielding plate (foreign matter shielding plate on the plasma CVD processing chamber side)
71b Second foreign matter shielding plate (turbine molecular pump side foreign matter shielding plate)
74 Turbo molecular pump 141 Reaction gas

Claims (20)

以下の工程を含む半導体装置の製造方法:
(a)ウエハ処理装置の気相処理室内のウエハ・ステージにウエハを設置する工程;
(b)前記ウエハを前記ウエハ・ステージに設置した状態で、前記気相処理室をターボ分子ポンプで真空引きしながら、前記気相処理室に反応ガスを供給することによって、前記ウエハに気相処理を施す工程、
ここで、前記気相処理室と前記ターボ分子ポンプの間には、それらを隔離するように、主面同士がほぼ平行であって相互に近接した第1及び第2の異物遮蔽板が設けられている。
A semiconductor device manufacturing method including the following steps:
(A) a step of placing a wafer on a wafer stage in a vapor phase processing chamber of a wafer processing apparatus;
(B) In a state where the wafer is placed on the wafer stage, while supplying a reactive gas to the gas phase processing chamber while evacuating the gas phase processing chamber with a turbo molecular pump, The process of applying the treatment,
Here, between the gas phase processing chamber and the turbo molecular pump, there are provided first and second foreign matter shielding plates whose main surfaces are substantially parallel and close to each other so as to isolate them. ing.
前記1項の半導体装置の製造方法において、前記第1及び第2の異物遮蔽板の各々は、表面開口率が、その正射影開口率よりも実質的に大きい。   In the method of manufacturing a semiconductor device according to the item 1, each of the first and second foreign matter shielding plates has a surface aperture ratio substantially larger than its orthographic aperture ratio. 前記2項の半導体装置の製造方法において、前記第1及び第2の異物遮蔽板の合成正射影開口率は、前記第1及び第2の異物遮蔽板の前記正射影開口率のいずれよりも実質的に小さい。   In the method of manufacturing a semiconductor device according to the item 2, the projected orthogonal aperture ratio of the first and second foreign matter shielding plates is substantially higher than any of the orthographic aperture ratios of the first and second foreign matter shielding plates. Small. 前記3項の半導体装置の製造方法において、前記第1及び第2の異物遮蔽板の各々は、ほぼ全域に敷き詰められた多数の貫通開口を有する。   In the method for manufacturing a semiconductor device according to the item 3, each of the first and second foreign matter shielding plates has a large number of through openings spread over almost the entire area. 前記4項の半導体装置の製造方法において、前記第1及び第2の異物遮蔽板の各々の前記多数の貫通開口の内の主要な貫通開口は、それぞれの主面に立てた法線に対して、傾きを持つ。   5. In the method of manufacturing a semiconductor device according to the item 4, the main through-opening among the plurality of through-openings of each of the first and second foreign matter shielding plates is in a normal line standing on each main surface. , With a tilt. 前記5項の半導体装置の製造方法において、前記第1及び第2の異物遮蔽板の各々の前記多数の貫通開口の内の前記主要な貫通開口の前記傾きは30度以上、60度未満である。   6. In the method of manufacturing a semiconductor device according to 5 above, the inclination of the main through-opening among the multiple through-openings of each of the first and second foreign matter shielding plates is 30 degrees or more and less than 60 degrees. . 前記5項の半導体装置の製造方法において、前記第1及び第2の異物遮蔽板の各々の前記多数の貫通開口の内の前記主要な貫通開口の前記傾きは相互にほぼ同一である。   In the method of manufacturing a semiconductor device according to the item 5, the inclinations of the main through-openings among the multiple through-openings of the first and second foreign matter shielding plates are substantially the same. 前記4項の半導体装置の製造方法において、前記第1及び第2の異物遮蔽板はほぼ同一の形状を有する。   In the method for manufacturing a semiconductor device according to the item 4, the first and second foreign matter shielding plates have substantially the same shape. 前記8項の半導体装置の製造方法において、前記第1及び第2の異物遮蔽板は相互に方位が異なるように配置されている。   In the method for manufacturing a semiconductor device according to the item 8, the first and second foreign matter shielding plates are arranged so that their directions are different from each other. 前記9項の半導体装置の製造方法において、前記第1及び第2の異物遮蔽板の前記方位の差は20度から90度の範囲内にある。   In the method for manufacturing a semiconductor device according to the item 9, the difference between the orientations of the first and second foreign matter shielding plates is in a range of 20 degrees to 90 degrees. 前記9項の半導体装置の製造方法において、前記第1及び第2の異物遮蔽板の前記方位の差は60度から90度の範囲内にある。   In the method of manufacturing a semiconductor device according to the item 9, the difference between the directions of the first and second foreign matter shielding plates is in a range of 60 degrees to 90 degrees. 前記4項の半導体装置の製造方法において、前記第1及び第2の異物遮蔽板の各々の前記多数の貫通開口の内の主要な貫通開口は、扁平略長方形形状を有する。   5. In the method of manufacturing a semiconductor device according to item 4, a main through-opening among the multiple through-openings of each of the first and second foreign matter shielding plates has a flat and substantially rectangular shape. 前記12項の半導体装置の製造方法において、前記主要な貫通開口の前記扁平略長方形形状の短辺の寸法は、前記工程(b)における空気の常温における平均自由行程と同程度である。   In the method of manufacturing a semiconductor device according to the item 12, the dimension of the short side of the flat substantially rectangular shape of the main through-opening is approximately the same as the mean free path of air at room temperature in the step (b). 前記12項の半導体装置の製造方法において、前記第1及び第2の異物遮蔽板の各々の正射影開口率は0.3未満である。   In the semiconductor device manufacturing method according to the item 12, each of the first and second foreign matter shielding plates has an orthogonal projection aperture ratio of less than 0.3. 前記12項の半導体装置の製造方法において、前記第1及び第2の異物遮蔽板の各々の正射影開口率は0.1未満である。   In the method of manufacturing a semiconductor device according to the item 12, each of the first and second foreign matter shielding plates has an orthogonal projection aperture ratio of less than 0.1. 前記1項の半導体装置の製造方法において、前記気相処理はプラズマCVD処理である。   In the method for manufacturing a semiconductor device according to the item 1, the vapor phase process is a plasma CVD process. 以下の工程を含む半導体装置の製造方法:
(a)ウエハ処理装置の気相処理室内のウエハ・ステージにウエハを設置する工程;
(b)前記ウエハを前記ウエハ・ステージに設置した状態で、前記気相処理室をターボ分子ポンプで真空引きしながら、前記気相処理室に反応ガスを供給することによって、前記ウエハに気相処理を施す工程、
ここで、前記気相処理室と前記ターボ分子ポンプの間には、それらを隔離するように、多数の貫通開口を有する異物遮蔽板が設けられており、前記多数の貫通開口の平均開口形状比は10以上である。
A semiconductor device manufacturing method including the following steps:
(A) a step of placing a wafer on a wafer stage in a vapor phase processing chamber of a wafer processing apparatus;
(B) In a state where the wafer is placed on the wafer stage, while supplying a reactive gas to the gas phase processing chamber while evacuating the gas phase processing chamber with a turbo molecular pump, The process of applying the treatment,
Here, a foreign matter shielding plate having a large number of through openings is provided between the gas phase processing chamber and the turbo molecular pump so as to isolate them, and an average opening shape ratio of the large number of through openings Is 10 or more.
前記17項の半導体装置の製造方法において、前記多数の貫通開口の前記平均開口形状比は15以上である。   In the method for manufacturing a semiconductor device according to the item 17, the average opening shape ratio of the plurality of through openings is 15 or more. 前記17項の半導体装置の製造方法において、前記多数の貫通開口の前記平均開口形状比は20以上である。   18. In the method for manufacturing a semiconductor device according to the item 17, the average opening shape ratio of the large number of through openings is 20 or more. 前記17項の半導体装置の製造方法において、前記多数の貫通開口は前記異物遮蔽板のほぼ全域に敷き詰められている。   In the method of manufacturing a semiconductor device according to the item 17, the plurality of through openings are spread almost all over the foreign matter shielding plate.
JP2007331647A 2007-12-25 2007-12-25 Method of manufacturing semiconductor device Pending JP2009158524A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2007331647A JP2009158524A (en) 2007-12-25 2007-12-25 Method of manufacturing semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2007331647A JP2009158524A (en) 2007-12-25 2007-12-25 Method of manufacturing semiconductor device

Publications (1)

Publication Number Publication Date
JP2009158524A true JP2009158524A (en) 2009-07-16

Family

ID=40962262

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2007331647A Pending JP2009158524A (en) 2007-12-25 2007-12-25 Method of manufacturing semiconductor device

Country Status (1)

Country Link
JP (1) JP2009158524A (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108930656A (en) * 2017-05-29 2018-12-04 株式会社岛津制作所 Vacuum pumping hardware, vacuum pump and vacuum valve
CN111714920A (en) * 2020-06-10 2020-09-29 合肥百思新材料研究院有限公司 Mass production type sublimation instrument for automatically protecting organic small molecule purification equipment

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04107917A (en) * 1990-08-28 1992-04-09 Fujitsu Ltd Reduced pressure treatment apparatus for semiconductor substrate
JPH0633874A (en) * 1992-07-16 1994-02-08 Ulvac Kuraio Kk Cryopump device equipped with turbomolecular pump
JPH0972291A (en) * 1995-09-04 1997-03-18 Ulvac Japan Ltd Trap for front step of dry vacuum pump
JPH11247790A (en) * 1998-03-04 1999-09-14 Shimadzu Corp Vacuum pump
JP2006299968A (en) * 2005-04-21 2006-11-02 Shimadzu Corp Foreign matter intrusion-preventing plate, rotary vacuum pump and vacuum system
JP2006307823A (en) * 2005-03-31 2006-11-09 Shimadzu Corp Turbo-molecular pump
JP2007180467A (en) * 2005-03-02 2007-07-12 Tokyo Electron Ltd Reflecting device, communicating pipe, exhausting pump, exhaust system, method for cleaning the system, storage medium, substrate processing apparatus and particle capturing component
JP2008240701A (en) * 2007-03-28 2008-10-09 Tokyo Electron Ltd Exhaust pump, communication pipe, and exhaust system

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04107917A (en) * 1990-08-28 1992-04-09 Fujitsu Ltd Reduced pressure treatment apparatus for semiconductor substrate
JPH0633874A (en) * 1992-07-16 1994-02-08 Ulvac Kuraio Kk Cryopump device equipped with turbomolecular pump
JPH0972291A (en) * 1995-09-04 1997-03-18 Ulvac Japan Ltd Trap for front step of dry vacuum pump
JPH11247790A (en) * 1998-03-04 1999-09-14 Shimadzu Corp Vacuum pump
JP2007180467A (en) * 2005-03-02 2007-07-12 Tokyo Electron Ltd Reflecting device, communicating pipe, exhausting pump, exhaust system, method for cleaning the system, storage medium, substrate processing apparatus and particle capturing component
JP2006307823A (en) * 2005-03-31 2006-11-09 Shimadzu Corp Turbo-molecular pump
JP2006299968A (en) * 2005-04-21 2006-11-02 Shimadzu Corp Foreign matter intrusion-preventing plate, rotary vacuum pump and vacuum system
JP2008240701A (en) * 2007-03-28 2008-10-09 Tokyo Electron Ltd Exhaust pump, communication pipe, and exhaust system

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108930656A (en) * 2017-05-29 2018-12-04 株式会社岛津制作所 Vacuum pumping hardware, vacuum pump and vacuum valve
CN111714920A (en) * 2020-06-10 2020-09-29 合肥百思新材料研究院有限公司 Mass production type sublimation instrument for automatically protecting organic small molecule purification equipment

Similar Documents

Publication Publication Date Title
US9343294B2 (en) Interconnect structure having air gap and method of forming the same
US7087998B2 (en) Control of air gap position in a dielectric layer
US7700479B2 (en) Cleaning processes in the formation of integrated circuit interconnect structures
US20070026673A1 (en) Semiconductor device having a multilayer interconnection structure and fabrication process thereof
US7314828B2 (en) Repairing method for low-k dielectric materials
US6277764B1 (en) Interlayered dielectric layer of semiconductor device and method of manufacturing the same
US6562734B2 (en) Method of filling gaps on a semiconductor wafer
US7009272B2 (en) PECVD air gap integration
JP2011119330A (en) Manufacturing method of semiconductor integrated circuit device
JP2009158524A (en) Method of manufacturing semiconductor device
US7199048B2 (en) Method for preventing metalorganic precursor penetration into porous dielectrics
US7179759B2 (en) Barrier layer and fabrication method thereof
US10312107B2 (en) Forming interconnect structure using plasma treated metal hard mask
US20020168812A1 (en) Semiconductor device with multilayer wiring structure of laminated damascene wiring and fabrication method thereof
US20050136644A1 (en) Method of fabricating a semiconductor device having metal wiring
JP2007311461A (en) Manufacturing method of semiconductor device
US7825019B2 (en) Structures and methods for reduction of parasitic capacitances in semiconductor integrated circuits
JP2005129937A (en) Low k integrated circuit interconnection structure
US20070072412A1 (en) Preventing damage to interlevel dielectric
US20070128853A1 (en) Method for forming inter-layer dielectric of low dielectric constant and method for forming copper wiring using the same
US20060286792A1 (en) Dual damascene process
JP4948278B2 (en) Manufacturing method of semiconductor device
US20060138667A1 (en) Method for forming an intermetal dielectric layer in a semiconductor device using HDP-CVD, and a semiconductor device manufactured thereby
JP2005167120A (en) Semiconductor device and manufacturing method thereof
KR100567892B1 (en) Method for forming low-k isolation layer between metal layers in manufacturing semiconductor device

Legal Events

Date Code Title Description
A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A712

Effective date: 20100527

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20100913

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20110117

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20121018

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20130228