JP2009158524A - 半導体装置の製造方法 - Google Patents

半導体装置の製造方法 Download PDF

Info

Publication number
JP2009158524A
JP2009158524A JP2007331647A JP2007331647A JP2009158524A JP 2009158524 A JP2009158524 A JP 2009158524A JP 2007331647 A JP2007331647 A JP 2007331647A JP 2007331647 A JP2007331647 A JP 2007331647A JP 2009158524 A JP2009158524 A JP 2009158524A
Authority
JP
Japan
Prior art keywords
foreign matter
semiconductor device
manufacturing
matter shielding
item
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2007331647A
Other languages
English (en)
Inventor
Kazuhiko Teranaka
一彦 寺中
Yoko Omori
陽光 大森
Hiroshi Horikawa
洋 堀川
Takaharu Kirino
隆治 桐野
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Renesas Technology Corp
Original Assignee
Renesas Technology Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Renesas Technology Corp filed Critical Renesas Technology Corp
Priority to JP2007331647A priority Critical patent/JP2009158524A/ja
Publication of JP2009158524A publication Critical patent/JP2009158524A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F04POSITIVE - DISPLACEMENT MACHINES FOR LIQUIDS; PUMPS FOR LIQUIDS OR ELASTIC FLUIDS
    • F04DNON-POSITIVE-DISPLACEMENT PUMPS
    • F04D29/00Details, component parts, or accessories
    • F04D29/70Suction grids; Strainers; Dust separation; Cleaning
    • F04D29/701Suction grids; Strainers; Dust separation; Cleaning especially adapted for elastic fluid pumps
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F04POSITIVE - DISPLACEMENT MACHINES FOR LIQUIDS; PUMPS FOR LIQUIDS OR ELASTIC FLUIDS
    • F04DNON-POSITIVE-DISPLACEMENT PUMPS
    • F04D19/00Axial-flow pumps
    • F04D19/02Multi-stage pumps
    • F04D19/04Multi-stage pumps specially adapted to the production of a high vacuum, e.g. molecular pumps

Landscapes

  • Engineering & Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • General Engineering & Computer Science (AREA)
  • Element Separation (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

【課題】プラズマCVD装置のウエハ処理室とターボ分子ポンプの間には、ウエハ処理室から異物が落下してターボ分子ポンプに障害を与えないように、異物遮蔽板が設けられている。しかし、これによって確かに、ウエハ処理室からのマクロ異物の落下等は防止できるものの、逆にターボ分子ポンプからウエハ処理室へもたらされるミクロ異物は回避できない。
【解決手段】本願発明は気相処理装置の気相処理室とターボ分子ポンプの間に置く異物遮蔽板を二重にした状態で気相処理を実行するものである。
【選択図】図3

Description

本発明は、主に半導体装置(または半導体集積回路装置)の製造方法におけるプラズマCVD(Chemical Vapor Deposition)技術に適用して有効な技術に関する。
日本特開2006−299968号公報(特許文献1)には、ターボ分子ポンプへ高真空側からオー・リング等の破片などの異物が入り込まないように、開口率が0.8から0.9程度と比較的高開口率である単一のパンチング・ボードをターボ分子ポンプの高真空側に設けることが開示されている。ここでは、格子を形成する単位開口形状として正方形、長方形、略長方形(横長の六角形)が示されており、長辺(長径)は7から8ミリメートル程度であり、短辺(短径)は3から4ミリメートル程度である。
日本特開平11−247790号公報(特許文献2)には、ターボ分子ポンプへ高真空側から異物が入り込まないように、最大厚みが1ミリメートル程度と比較的薄く、角孔最大径5ミリメートル程度である単一のメッシュをターボ分子ポンプの高真空側に設けることが開示されている。
特開2006−299968号公報 特開平11−247790号公報
本願発明者らは、ノベラス・テクノロジー社(Novellus Technology)の300φウエハ用HDP−CVD(High Density Plasma Chemical Vapor Deposition)装置である「コンセプト3スピード(Concept Three Speed)」その他後継機種等類似の装置による半導体装置の製造プロセスにおける異物の問題を検討した結果、以下のような問題点を発見した。
すなわち、この装置のウエハ処理室とターボ分子ポンプの間には、ウエハ処理室から異物が落下してターボ分子ポンプに障害を与えないように、メッシュ状またはパンチング・ボード(厚手のものも含む)状の市販のルーバ・スクリーン(Louver Screen)、すなわち異物遮蔽板が設けられている。このルーバ・スクリーンは直径260センチメートル程度のアルミニウム合金製円板で、厚さは8ミリメートル程度である。このルーバ・スクリーンには、開口率を0.8以上または0.9以上とするため、ほぼ45度の角度で貫通孔が周辺取り付け部を除くほぼ全面に密集して設けられている。この貫通孔の形状は略長方形で長辺(長径)は65ミリメートル程度であり、短辺(短径)は10ミリメートル程度である。
しかし、これによって確かに、ウエハ処理室からのマクロ異物の落下等は防止できるものの、逆にターボ分子ポンプからウエハ処理室へもたらされるミクロ異物は回避できないことが明らかとなった。
本発明の目的は、高信頼性の半導体装置の製造プロセスを提供することにある。
本発明の前記並びにその他の目的と新規な特徴は本明細書の記述及び添付図面から明らかになるであろう。
本願において開示される発明のうち代表的なものの概要を簡単に説明すれば下記の通りである。
すなわち、本願発明は気相処理装置の気相処理室とターボ分子ポンプの間に置く異物遮蔽板を二重にした状態で気相処理を実行するものである。
本願において開示される発明のうち代表的なものによって得られる効果を簡単に説明すれば下記のとおりである。
すなわち、気相処理装置の気相処理室とターボ分子ポンプの間に置く異物遮蔽板を二重にした状態で気相処理を実行することにより、ターボ分子ポンプ側から気相処理室への異物の逆流を低減することができる。
〔実施の形態の概要〕
先ず、本願において開示される発明の代表的な実施の形態について概要を説明する。
1.以下の工程を含む半導体装置の製造方法:
(a)ウエハ処理装置の気相処理室内のウエハ・ステージにウエハを設置する工程;
(b)前記ウエハを前記ウエハ・ステージに設置した状態で、前記気相処理室をターボ分子ポンプで真空引きしながら、前記気相処理室に反応ガスを供給することによって、前記ウエハに気相処理を施す工程、
ここで、前記気相処理室と前記ターボ分子ポンプの間には、それらを隔離するように、主面同士がほぼ平行であって相互に近接した第1及び第2の異物遮蔽板が設けられている。
2.前記1項の半導体装置の製造方法において、前記第1及び第2の異物遮蔽板の各々は、表面開口率が、その正射影開口率よりも実質的に大きい。
3.前記1または2項の半導体装置の製造方法において、前記第1及び第2の異物遮蔽板の合成正射影開口率は、前記第1及び第2の異物遮蔽板の前記正射影開口率のいずれよりも実質的に小さい。
4.前記1から3項のいずれか一つの半導体装置の製造方法において、前記第1及び第2の異物遮蔽板の各々は、ほぼ全域に敷き詰められた多数の貫通開口を有する。
5.前記4項の半導体装置の製造方法において、前記第1及び第2の異物遮蔽板の各々の前記多数の貫通開口の内の主要な貫通開口は、それぞれの主面に立てた法線に対して、傾きを持つ。
6.前記5項の半導体装置の製造方法において、前記第1及び第2の異物遮蔽板の各々の前記多数の貫通開口の内の前記主要な貫通開口の前記傾きは30度以上、60度未満である。
7.前記5項の半導体装置の製造方法において、前記第1及び第2の異物遮蔽板の各々の前記多数の貫通開口の内の前記主要な貫通開口の前記傾きは相互にほぼ同一である。
8.前記1から7項のいずれか一つの半導体装置の製造方法において、前記第1及び第2の異物遮蔽板はほぼ同一の形状を有する。
9.前記1から8項のいずれか一つの半導体装置の製造方法において、前記第1及び第2の異物遮蔽板は相互に方位が異なるように配置されている。
10.前記9項の半導体装置の製造方法において、前記第1及び第2の異物遮蔽板の前記方位の差は20度から90度の範囲内にある。
11.前記9項の半導体装置の製造方法において、前記第1及び第2の異物遮蔽板の前記方位の差は60度から90度の範囲内にある。
12.前記4から11項のいずれか一つの半導体装置の製造方法において、前記第1及び第2の異物遮蔽板の各々の前記多数の貫通開口の内の主要な貫通開口は、扁平略長方形形状を有する。
13.前記12項の半導体装置の製造方法において、前記主要な貫通開口の前記扁平略長方形形状の短辺の寸法は、前記工程(b)における空気の常温における平均自由行程と同程度である。
14.前記1から13項のいずれか一つの半導体装置の製造方法において、前記第1及び第2の異物遮蔽板の各々の正射影開口率は0.3未満である。
15.前記1から14項のいずれか一つの半導体装置の製造方法において、前記第1及び第2の異物遮蔽板の各々の正射影開口率は0.1未満である。
16.前記1から15項のいずれか一つの半導体装置の製造方法において、前記気相処理はプラズマCVD処理である。
17.前記1から15項のいずれか一つの半導体装置の製造方法において、前記気相処理はプラズマ・エッチング処理である。
18.前記1から17項のいずれか一つの半導体装置の製造方法において、前記第1及び第2の異物遮蔽板の各々の正射影開口率は負である。
19.前記1から18項のいずれか一つの半導体装置の製造方法において、前記第1及び第2の異物遮蔽板の方位の差は30度から90度の範囲内にある。
20.前記1から18項のいずれか一つの半導体装置の製造方法において、前記第1及び第2の異物遮蔽板の方位の差は40度から90度の範囲内にある。
21.前記1から20項のいずれか一つの半導体装置の製造方法において、前記第1及び第2の異物遮蔽板の各々の多数の貫通開口の内の主要な貫通開口の傾きは約45度である。
22.前記1から21項のいずれか一つの半導体装置の製造方法において、前記第1及び第2の異物遮蔽板の各々の多数の貫通開口の内の扁平略長方形形状の主要な貫通開口の前記扁平略長方形形状の短辺の寸法は、前記工程(b)における空気の常温における平均自由行程と同程度である。
23.前記1から22項のいずれか一つの半導体装置の製造方法において、前記第1及び第2の異物遮蔽板の各々の多数の貫通開口の内の主要な貫通開口は、それぞれの主面に立てた法線に対して、傾きを持つ。
24.前記1から23項のいずれか一つの半導体装置の製造方法において、前記第1及び第2の異物遮蔽板の各々の多数の貫通開口の内の主要な貫通開口の傾きは30度以上、60度未満である。
25.前記1から24項のいずれか一つの半導体装置の製造方法において、前記第1及び第2の異物遮蔽板の各々の多数の貫通開口の内の主要な貫通開口の前記傾きは相互にほぼ同一である。
26.前記1から25項のいずれか一つの半導体装置の製造方法において、前記第1及び第2の異物遮蔽板の方位の差は20度から90度の範囲内にある。
27.前記1から25項のいずれか一つの半導体装置の製造方法において、前記第1及び第2の異物遮蔽板の方位の差は60度から90度の範囲内にある。
28.前記1から27項のいずれか一つの半導体装置の製造方法において、前記気相処理はSTI工程における素子分離溝を埋め込むためのシリコン酸化膜を堆積するプラズマCVD処理である。
29.前記1から27項のいずれか一つの半導体装置の製造方法において、前記気相処理は高密度プラズマCVD処理である。
30.以下の工程を含む半導体装置の製造方法:
(a)ウエハ処理装置の気相処理室内のウエハ・ステージにウエハを設置する工程;
(b)前記ウエハを前記ウエハ・ステージに設置した状態で、前記気相処理室をターボ分子ポンプで真空引きしながら、前記気相処理室に反応ガスを供給することによって、前記ウエハに気相処理を施す工程、
ここで、前記気相処理室と前記ターボ分子ポンプの間には、それらを隔離するように、多数の貫通開口を有する異物遮蔽板が設けられており、前記多数の貫通開口の平均開口形状比は10以上である。
31.前記30項の半導体装置の製造方法において、前記多数の貫通開口の前記平均開口形状比は15以上である。
32.前記30項の半導体装置の製造方法において、前記多数の貫通開口の前記平均開口形状比は20以上である。
33.前記30項の半導体装置の製造方法において、前記多数の貫通開口は前記異物遮蔽板のほぼ全域に敷き詰められている。
34.前記30から32項のいずれか一つの半導体装置の製造方法において、前記多数の貫通開口は前記異物遮蔽板のほぼ全域に敷き詰められている。
35.前記30から34項のいずれか一つの半導体装置の製造方法において、前記異物遮蔽板の前記多数の貫通開口の内の主要な貫通開口は、それぞれの主面に立てた法線に対して、傾きを持つ。
36.前記30から35項のいずれか一つの半導体装置の製造方法において、前記異物遮蔽板の前記多数の貫通開口の内の主要な貫通開口の傾きは30度以上、60度未満である。
37.前記30から36項のいずれか一つの半導体装置の製造方法において、前記異物遮蔽板の前記多数の貫通開口の内の主要な貫通開口は、扁平略長方形形状を有する。
38.前記30から37項のいずれか一つの半導体装置の製造方法において、扁平略長方形形状を有する主要な貫通開口のの短辺の寸法は、前記工程(b)における空気の常温における平均自由行程と同程度である。
39.前記30から38項のいずれか一つの半導体装置の製造方法において、前記気相処理はプラズマCVD処理である。
40.前記30から38項のいずれか一つの半導体装置の製造方法において、前記気相処理はプラズマ・エッチング処理である。
〔本願における記載形式・基本的用語・用法の説明〕
1.本願において、実施の態様の記載は、必要に応じて、便宜上複数のセクションに分けて記載する場合もあるが、特にそうでない旨明示した場合を除き、これらは相互に独立別個のものではなく、単一の例の各部分、一方が他方の一部詳細または一部または全部の変形例等である。また、原則として、同様の部分は繰り返しを省略する。また、実施の態様における各構成要素は、特にそうでない旨明示した場合、理論的にその数に限定される場合および文脈から明らかにそうでない場合を除き、必須のものではない。
2.同様に実施の態様等の記載において、材料、組成等について、「AからなるX」等といっても、特にそうでない旨明示した場合および文脈から明らかにそうでない場合を除き、A以外の要素を主要な構成要素のひとつとするものを排除するものではない。たとえば、成分についていえば、「Aを主要な成分として含むX」等の意味である。たとえば、「シリコン部材」等といっても、純粋なシリコンに限定されるものではなく、SiGe合金やその他シリコンを主要な成分とする多元合金、その他の添加物等を含む部材も含むものであることはいうまでもない。同様に、「酸化シリコン膜」と言っても、比較的純粋な非ドープ酸化シリコン(Undoped Silicon Dioxide)だけでなく、FSG(Fluorosilicate Glass)、TEOSベース酸化シリコン(TEOS-based silicon oxide)、SiOC(Silicon Oxicarbide)またはカーボンドープ酸化シリコン(Carbon-doped Silicon oxide)またはOSG(Organosilicate glass)、PSG(Phosphorus Silicate Glass)、BPSG(Borophosphosilicate Glass)等の熱酸化膜、CVD酸化膜、SOG(Spin ON Glass)、ナノ・クラスタリング・シリカ(Nano-Clustering Silica:NSC)等の塗布系酸化シリコン、これらと同様な部材に空孔を導入したシリカ系Low-k絶縁膜(ポーラス系絶縁膜)、およびこれらを主要な構成要素とする他のシリコン系絶縁膜との複合膜等を含むことは言うまでもない。
3.同様に、図形、位置、属性等に関して、好適な例示をするが、特にそうでない旨明示した場合および文脈から明らかにそうでない場合を除き、厳密にそれに限定されるものではないことは言うまでもない。
4.さらに、特定の数値、数量に言及したときも、特にそうでない旨明示した場合、理論的にその数に限定される場合および文脈から明らかにそうでない場合を除き、その特定の数値を超える数値であってもよいし、その特定の数値未満の数値でもよい。
5.「ウエハ」というときは、通常は半導体装置(半導体集積回路装置、電子装置も同じ)をその上に形成する単結晶シリコンウエハを指すが、エピタキシャルウエハ、SOIウエハ、絶縁基板と半導体層等の複合ウエハ等も含むことは言うまでもない。
6.「異物遮蔽板」は一般に「ルーバ・スクリーン」と呼ばれており、通常、円形のメッシュあるいはパンチング・ボード等の金属その他の板状部材にその主面に垂直又は傾いた等断面積の貫通開口をあけたものが用いられる。一般に、貫通孔はガスに対するコンダクタンスを下げないために異物遮蔽板の周辺取り付け部を除く内部領域(有効領域)のほぼ全面に密集配列される。
7.異物遮蔽板について「開口率」とは、主要な貫通開口径と比較して厚さが十分に薄い場合(薄い異物遮蔽板)には全開口面積を有効領域面積で割ったものである。開口等に関して「主要な」とは、その占める面積が最も大きい同種のものを指す。また、開口等に関して「平均」とは、その占める面積について重み付けしたものを意味する。
しかし、主要な貫通開口径と比較して厚さが同程度または相対的に厚くなってくると(厚い異物遮蔽板の場合)、定義が複雑になってくる。ここで、「BはAと同程度」というときは、「(B/2)≦A≦2B」の関係を満たすことを言う。
すなわち、厚い異物遮蔽板でのガスのコンダクタンスは第一義的には表面の開口の大きさ(面積)に依存する。そこで、異物遮蔽板の表面の開口の全面積を有効領域面積で割ったものを「表面開口率」とする。一般にガスに対するコンダクタンスを確保するため、貫通開口の厚さ方向の断面積は等しいから、表面開口率がガスのコンダクタンスを決定する。断面積が異なる場合は、最も狭いところの断面積(律速断面積)が「表面開口の面積」となる。
一方、ミクロ異物に対するコンダクタンスを決めるものは(比例するというわけではない)、「正射影開口率」であり、正の値の場合は直感的に説明できる。すなわち、異物遮蔽板の主面に垂直な平行光線を当てたとき、反対側に置かれた同主面に平行なスクリーン上にできる明パターンの総面積を有効領域面積で割ったものである。ただし、異物遮蔽板は光の完全吸収体であるとする。負の値の場合は後に図でもって説明する。特に、複数枚の要素異物遮蔽板からなる複合異物遮蔽板(重ね合わせ異物遮蔽板)の全体としての正射影開口率を個々の要素異物遮蔽板のものと区別するときは、「合成正射影開口率」という。同様に、複合異物遮蔽板の表面開口率を個々の要素異物遮蔽板のものと区別するときは、「合成表面開口率」という。
ここで、表面開口率を正射影開口率で割ったものを「開口形状比」という。この量はミクロ異物に対する阻止能の目安である。
貫通開口の2次元的形状は円形、楕円形、正方形、ひし形、長方形またはこれらに類似する略円形(正六角形等を含む)、略楕円形、略正方形(面取りした正方形等を含む、以下同じ)、略ひし形、略長方形(略楕円の一部を含む)等を取りうる。特に、多用されるものとして、「扁平略長方形形状」がある。ここで、「扁平」とは、長辺の長さL,短辺の幅Wとするとき、(L/W)≧3の関係を満たすものを言う(ここでL/Wを「扁平率」という)。この扁平性のために長辺は平均自由行程より十分に長いので、ガス分子が十分に自由な経路を取ってルーバを通過することができる。
複合異物遮蔽板は通常、同一形状の要素異物遮蔽板を重ね合わせて構成するが、ここで、「同一形状」とは、主要な貫通開口の形状及び配列がほぼ同一であることを言う。もちろん、作製は複雑になるが、異なる形状の要素異物遮蔽板を重ね合わせて構成してもよい。ただし、同一形状といっても、方位、配向等は異なる場合が多い。主要な貫通開口の中心軸の方向(裏面から表面へ)が「方位」であり、通常、異物遮蔽板の主面への正射影間の角度、すなわち、「方位の差(θ)」が合成正射影開口率を決定する。「配向」は、そのまま重ね合わせるか、一方を表裏反転して重ね合わせるか等の方位以外の相互関係を示す。複数の要素異物遮蔽板(2枚に限らず、3枚以上でもよい)の組み合わせ方は、方位、配向等の組み合わせで無限にある。特に、方位の差、主要な貫通開口の中心軸の方向の(異物遮蔽板の主面に立てた方線からの)傾き、すなわち「開口傾斜角」等の角度の表記に関しては、正値の0度から90度(等価角度を含む)の鋭角範囲でのみ表示する。これは、その他の任意の角度は、この鋭角範囲の所定の角度の回転またはそれプラス配向の反転に等価だからである。なお、ここでは方位の差について、「直角、直交またはほぼ直角、直交」とは70度以上を意味する。
〔実施の形態の詳細〕
実施の形態について更に詳述する。各図中において、同一または同様の部分は同一または類似の記号または参照番号で示し、説明は原則として繰り返さない。また、図において異物遮蔽板の貫通開口は、見易さを確保するために、ほぼ全面を埋め尽くしているすべてを描かずに、原則として注目する貫通開口のみを表示することにする。
1.本実施の形態の半導体装置の製造方法におけるプラズマCVDプロセス及びそれに使用するプラズマCVD装置の説明(主に図1から7)
図1は本実施の形態の半導体装置の製造方法において使用するプラズマCVD装置の正面模式断面図である。図2は本実施の形態の半導体装置の製造方法において使用するプラズマCVD装置の真空系全体を含めた正面模式断面図である。図3は本実施の形態の半導体装置の製造方法において使用するプラズマCVD装置のゲート・バルブ周辺部の模式断面図である。図4は本実施の形態の半導体装置の製造方法において使用するプラズマCVD装置の開状態のゲート・バルブの模式断面図である。図5は本実施の形態の半導体装置の製造方法において使用するプラズマCVD装置の閉状態のゲート・バルブの模式断面図である。図6は本実施の形態の半導体装置の製造方法において使用するプラズマCVD装置の全体上面図である。図7は本実施の形態の半導体装置の製造方法の装置プロセス・フローを示すブロック・フロー図である。
先ず図1により、本実施の形態に使用する誘導結合型(Inductively Coupled)プラズマCVD装置55(いわゆる高密度プラズマ炉に分類され、誘導結合型成膜用プラズマ励起コイル53を有する)の構成を説明する。
図1、図2及び図6において、まず、成膜時の各部の動作の概略を説明する。300φ単結晶シリコンウエハ等の被処理ウエハ1は図6に示すように密閉ウエハ容器すなわちフープ(Foup)102a,102bに複数、収容された状態でプラズマCVD装置55のロードポート101に設置される。次に、大気圧下搬送ロボット104によって、大気圧下前室103(実際には大気圧より若干陽圧にされている)を介してロードロック室105aに導入され、真空状態にされた後、真空搬送室106を通って真空搬送ロボット107によって、目的とする成膜処理室52a(他の成膜処理室52b,52cでもよい)へ移送される。図1に示すように、移送されたウエハ1は、成膜処理室52内(たとえば高さ約550ミリメートルで下部は600ミリメートル径の円筒状、上部はハーフドーム状)に設けられた下部電極66上の静電チャック56(ウエハサセプタまたはウエハ・ステージでもある)上にデバイス面1aすなわち第1の主面を上にして置かれる。下部電極66はバイアス電源に接続されている。所定の真空度で反応ガス141(通常、その他の添加ガスを含む)が成膜反応ガス供給口60から供給され、RF電源からマッチング・ボックスを介してRF電力が励起コイル53に供給されると、誘導結合によりプラズマが生成され、それによって成膜反応が進行する。なお、成膜処理室52の下方にはリモート・プラズマ・クリーニング用のリモート・プラズマ生成室51が設けられている。以下の説明では、統計データとの関係でリモート・プラズマ・クリーニングを使用しないものについて説明するが、個々に説明する例は、装置構成、異物遮蔽板の構造等に本質的な変化はなく、インサイチュー・プラズマ・クリーニング(成膜室でプラズマを生成するクリーニング)をリモート・プラズマ・クリーニングに変更したことに伴う必要なプロセス手順の変更のみで、そのまま適用できる。
図1又は図2に示すように、真空系は2系統に分岐している。高真空系は成膜処理室52からルーバ・スクリーン71(異物遮蔽板)、ゲートバルブ73を介して、ターボ分子ポンプ74に連結されている(これらで高真空系インターフェース部72を構成している)。ターボ分子ポンプ74は高真空系配管77を介して、分岐バルブ75に連結されており、それより先は共通の荒引きポンプ76(ドライポンプ)に連結され、大気に至っている。低真空系は分岐バルブ75で分岐して、低真空系バルブ79、低真空系配管98を介して直接、成膜処理室52に連結されている。
成膜が終わると、図6に示すように、処理が完了したウエハ1は真空搬送室106を介して真空搬送ロボット107により、ロードロック室105bに移送される。そこで、大気圧に戻されて大気圧下搬送ロボット104によって、大気圧下前室103を介してもとのフープ102a,102bに戻される。
次に図7に基づいて前記プラズマCVD装置55を用いた本実施の形態のプラズマCVDプロセスの流れを説明する。図7に示すように、高真空系インターフェース部72のゲート・バルブ73が開き、ターボ分子ポンプ74による真空引きが開始する(ゲート・オープン・ステップ35)。なお、プラズマ励起電力は装置の正常稼働中は原則的に常時オンの状態にある。プラズマ電力を常時オンにするのは、プラズマ電力を切ると、プラズマ中にトラップされていた微細異物(パーティクル)が重力により落下し、ウエハ1等に付着するからである。その後、成膜処理室52に成膜反応ガス供給口60から酸素及び水素が供給され、不所望な残留ハロゲン等を除去するための水素前処理36が行われる(詳細は図23参照、以下同じ)。このとき、ゲート・バルブ73は開いた状態のままで、ターボ分子ポンプ74による真空引きが行われており、圧力は0.5パスカル程度である。次に、成膜反応ガス供給口60からモノシランガス、酸素ガス、ヘリウムガスが供給されて、成膜処理室52にある程度目的とする膜と同一の膜を付けて、炉体の状態を安定させるプリコート処理37が実行される。このとき、ゲート・バルブ73は開いた状態のままで、ターボ分子ポンプ74による真空引きが行われており、圧力は0.5パスカル程度である。ここまでは、ウエハ1が、成膜処理室52にない状態で行われるが、ここでウエハ1が成膜処理室52に導入され、ウエハ・ステージ56上に設置される。その状態で、成膜反応ガス供給口60からモノシランガス、酸素ガス、ヘリウムガスが供給されて、単位ウエハ群(N枚)の最初のウエハ1に対して目的とするシリコン酸化膜の成膜が行われる(成膜処理38)。このとき、ゲート・バルブ73は開いた状態のままで、ターボ分子ポンプ74による真空引きが行われており、圧力は0.5パスカル程度である。成膜が完了するとウエハ1は先に説明したように、成膜処理室52から外に搬出される。それと入れ違いに次のウエハ1が搬入される。このようにして単位ウエハ群(N枚)の最終ウエハ1の処理(成膜処理N)が完了すると、次の工程に移行する。ここで、Nは炉体の状態及び膜に要求される仕様により1枚から12枚程度が好適である。この例では、Nは4枚程度と仮定して説明する。次のステップでは、ゲートバルブ73が閉じられ(ゲート・クローズ・ステップ45)、真空系が低真空系に切り替わる。この後、三フッ化窒素、酸素等が成膜反応ガス供給口60から供給され、成膜処理室52内に厚く積もった堆積膜を除去するためのクリーニング処理46が行われる。このとき、ゲート・バルブ73は閉じた状態のままで、成膜処理室52は低真空系配管78を介してドライ・ポンプ76による真空引きが行われており、圧力は200パスカル程度である。もちろん、この間もプラズマ励起電極はオンのままである。その後、成膜反応ガス供給口60から酸素ガス、水素ガス等が供給され、残留フッ素等を除去するための水素後処理47が行われる。このとき、ゲート・バルブ73は閉じた状態のままで、成膜処理室52は低真空系配管78を介してドライ・ポンプ76による真空引きが行われており、圧力は200パスカル程度である。これで成膜サイクルが完成する。通常、この成膜サイクルが繰り返されて、半導体装置の生産が進行する。
次に、図3から5に基づいて、高真空系インターフェース部72について説明する。図3に示すように、高真空系インターフェース部72の成膜処理室52側の端部には複合異物遮蔽板71(ルーバ・スクリーン)が設置されている。これは、通常は成膜処理室52からのマクロ異物の落下等を防止するものであるが、ここではそれに加えて、逆に、ターボ分子ポンプ74を含めたゲート・バルブ83側からの微細異物の逆流を防止するために設置されたものである。ここでは、たとえば同一の形状の円板状の2枚の異物遮蔽板71a,71bを方位を変えて(円の中心の周りに90度回転)重ね合わせたものである。このようにすることによって、貫通開口81,82の相互の配置が異ならせ、そのことによってガスに対するコンダクタンスをさほど犠牲にすることなく、ミクロ異物の通過を有効に阻止することができる。ゲート・バルブ83にはゲート84を有するゲート・ハウジング89内にゲート・プレート87とバッキング・プレート88を保持するシャフト86がある。開いている状態は図4に示されている。一方、図5に示すように、このシャフト86が移動して、ゲート・プレート87が受け座85に達すると閉の状態になる。閉の状態ではシャフト86のボール収納孔92(図4)にあるボール91がゲート・プレート87を成膜処理室52側のゲート84周辺のゲート・ハウジング89の壁面に押し付けるようになっている。このとき、ゲート・プレート87のオーリング溝93にはオーリング96が設置されており、それによって真空が保たれるようになっている。開状態では、図4に示すように、ボール91はゲート・プレート87とバッキング・プレート88のボール収容溝95に戻るようになっている。
図3に示すように、ゲート・バルブ83を挟んで成膜処理室52と反対側には、ターボ分子ポンプ74が連結されているが、このターボ分子ポンプ74は周辺のステータ97と中央で高速回転するロータ98等からできている。ここで、ミクロ異物のソースとしては、ゲート・バルブ83またはロータ98に付着した反応生成物が考えられる。以下、この詳細を更に説明する。
2.ルーバ・スクリーン(異物遮蔽板)の詳細説明(主に図8から15および38)
図8は本実施の形態の半導体装置の製造方法において使用するプラズマCVD装置の異物遮蔽板の上面図である。図9は本実施の形態の半導体装置の製造方法において使用するプラズマCVD装置の重ね合わせ異物遮蔽板を構成する気相処理室側の異物遮蔽板(図9(a))とターボ分子ポンプ側の異物遮蔽板(図9(b))の上面図である。図10は本実施の形態の半導体装置の製造方法において使用するプラズマCVD装置の重ね合わせ異物遮蔽板を構成する気相処理室側の異物遮蔽板とターボ分子ポンプ側の異物遮蔽板におけるそれぞれの扁平略長方形貫通開口間の面積的関係を示す上面図である。図11は本実施の形態の半導体装置の製造方法において使用するプラズマCVD装置の重ね合わせ異物遮蔽板を構成する気相処理室側の異物遮蔽板とターボ分子ポンプ側の異物遮蔽板におけるそれぞれの扁平略長方形貫通開口間の位置関係を示す上面図である。図12は本実施の形態の半導体装置の製造方法において使用するプラズマCVD装置の重ね合わせ異物遮蔽板を構成する気相処理室側の異物遮蔽板の模式断面図(説明対象の貫通開口のみに着目、それ以外は省略、以下同じ)である。図13は本実施の形態の半導体装置の製造方法において使用するプラズマCVD装置の重ね合わせ異物遮蔽板を構成するターボ分子ポンプ側の異物遮蔽板の模式断面図である。図14は本実施の形態の半導体装置の製造方法において使用するプラズマCVD装置の重ね合わせ異物遮蔽板を構成する気相処理室側の異物遮蔽板およびターボ分子ポンプ側の異物遮蔽板の模式断面図である。図15は本実施の形態の半導体装置の製造方法によるウエハ上の異物数と従来の方法によるものを比較したウエハ上異物数推移図である。図38は本実施の形態の半導体装置の製造方法において使用するプラズマCVD装置の重ね合わせ異物遮蔽板を構成する気相処理室側の異物遮蔽板とターボ分子ポンプ側の異物遮蔽板がある角度をなす場合における扁平略長方形貫通開口間の有効な面積的の関係を示す上面図である。これらに基づいて、セクション1で説明したルーバ・スクリーンの詳細説明を行う。
図8は図3で説明した複合異物遮蔽板71の内の上側の要素異物遮蔽板71aをより詳細に説明したものである。もっとも、この例では下側の要素異物遮蔽板71bも方位は異なるものの、同一形状であるので、共通部分は上側の要素異物遮蔽板71aについてだけ説明する。方位は図中に矢印で示す。なお、要素異物遮蔽板71a、71bは、たとえば、8ミリメートル程度の厚さのアルミニウム又はアルミニウム合金円板からできている。直径は257ミリメートル程度であり、有効部分131の直径は254ミリメートル程度である。図8に示すように、貫通開口81の内、主要なものは、同一の扁平略長方形形状をしており、円板の中央から順次、密集して敷き詰められている。中央部の表面開口81atと裏面開口81abを円板の主面に立てた法線から(矢印の方位の方向に)45度傾いた同一断面積の斜方形の貫通開口を例にとると、表面開口81btまでは同一形状の繰り返しであるが、円板の端では、長さが足りないので表面開口81ptのように幅が同じで、長さが短いものとなる。このような配列を半ピッチずらせて右(表面開口81ct,81dt)と左で繰り返す。主要な貫通開口の表面開口の扁平略長方形形状の寸法は、たとえば、長辺65ミリメートル程度、短辺10ミリメートル程度である。この幅は、0.5パスカル、常温における空気の平均自由行程と同程度である。表面開口間の間隔(長手方向)は0.5ミリメートル程度である(短手方向もほぼ同じ。両方とも最も薄いところを表す。)。これで、表面開口率が0.9またはそれ以上となるので、ガスに対するコンダクタンスの低下は問題とならない。一般に表面開口率は0.8以上が望ましい。それ以下でも可能であるが、その分、ターボ分子ポンプ74の能力を上げる必要があり、大きな負担となる。
次に、図9を用いて、上側の要素異物遮蔽板71a(図9(a))と下側の要素異物遮蔽板71b(図9(b))の関係を説明する。図9に示すように、上側の要素異物遮蔽板71aを円板の中心軸の周りに反時計回りに(プラス回転)90度回転させたものが、下側の要素異物遮蔽板71bとなる。この場合、マイナス90度回転(時計回りに)しても、幾何学的には異なるが、ルーバ・スクリーンとしては、ほぼ等価の結果となると考えられる。
図10において、上側の要素異物遮蔽板71aと下側の要素異物遮蔽板71bを前記のような方位差で重ね合わせた場合を説明する。図10に示すように、上側の要素異物遮蔽板71aの扁平略長方形形状の表面開口81atと裏面開口81abの積集合が正射影開口111となり、正射影開口111の面積は表面開口81atのそれの20%程度である。同様に、下側の要素異物遮蔽板71bの扁平略長方形形状の表面開口82atと裏面開口82abの積集合が正射影開口112となり、正射影開口112の面積は表面開口82atのそれの20%程度である。すなわち、各要素異物遮蔽板の正射影開口率は、0.2程度と考えられる。そうすると、合成正射影開口率は各々の積であるから0.04程度となる。すなわち、1枚の異物遮蔽板を2枚方位を90度ずらせて重ね合わせたときの正射影開口率は、1枚の異物遮蔽板の正射影開口率の20%程度になると考えられる。従って、ミクロ異物を有効に阻止するためには、要素異物遮蔽板の正射影開口率は0.3未満が好適である。
このことは、図15からも推測可能である。このグラフは前記のCVD装置により成膜したウエハの異物量(異物径0.2マイクロメータ以上)を時系列的に示したものである。100日目と120日目の間で、単一ルーバ・スクリーンから個々に説明した複合ルーバ・スクリーンに変更したものである。交換前は100個前後を中心に激しくばらついていた異物数が、交換後はその数分の一に減少して、ばらつきも顕著に少なくなっている。
次に、図11から図14に基づいて、これまでの説明に引き続き、異物遮蔽板の断面構造について詳しく説明する。図12は上側の要素異物遮蔽板71aについての図11のXX’断面を示す。図12に示すように、貫通開口81の正射影開口111の面積が正値である。すなわち、表面開口81atの鈍角側端部から下ろした垂線と裏面開口81abの交点と裏面開口81abの鈍角側端部とを結ぶ線分が正の長さを持つ。図中の矢印は方位である。
図13は上側の要素異物遮蔽板71a(下側の要素異物遮蔽板71b)についての図11のYY’断面(ZZ’断面)を示す。図13に示すように、この部分では要素異物遮蔽板の表面から裏面が垂直に見渡せる状態になっている。
図14は複合異物遮蔽板71についての図11のZZ’断面を示す。これと図10をあわせてみると、合成正射影開口率が顕著に縮小されているのがわかる。
この例では、方位の差を90度とそれと実質的に等価な角度としたが、これに限定されるものではない。実際、角度の効果は図38に示すように、上側の要素異物遮蔽板71aと下側の要素異物遮蔽板71bの各表面開口81atの82at積領域115の面積Sが方位の差の正弦に反比例し、それが合成正射影開口率に反映される。しかし、方位の差が20度未満では、扁平率が相当高いのでなければ(たとえば10以上)、要素異物遮蔽板を重ねる効果はあまりないと見られる。また、方位の差が45度を越えると90度の場合とあまり大きく変わらない値となる。したがって、90度が数学的には合成正射影開口率の圧縮効果が最大であるが、実際的には70度以上はほとんど変わりはないと見られる。これらから、ほぼ同一の形状の要素異物平板を円の中心を一致させて重ね合わせる場合、方位の差は20度から90度が望ましい。更に、ミクロ異物阻止能を上げるには、方位の差は30度から90度が好適である。更に、ミクロ異物阻止能を上げるには、方位の差は40度から90度が更に好適である(40度以上では扁平率をあまり極端に上げなくて済むメリットがある。この傾向は方位の差が大きくなるに連れて大きくなる。90度で最大となる。)。更に、ミクロ異物阻止能を上げるには、方位の差は60度から90度が更に好適である。ここでは90度近傍(70度から90度)を最適の例として示す。
3.ルーバ・スクリーンの貫通開口の断面形状および配向の説明(主に図16から19)
図16は本実施の形態の半導体装置の製造方法において使用するプラズマCVD装置の重ね合わせ異物遮蔽板、またはそれを構成する気相処理室側の異物遮蔽板またはターボ分子ポンプ側の異物遮蔽板の貫通開口形状(正射影開口率>0の場合、すなわち、法線116a,116b間が開口になっている)を説明する模式断面図である。図17は本実施の形態の半導体装置の製造方法において使用するプラズマCVD装置の重ね合わせ異物遮蔽板、またはそれを構成する気相処理室側の異物遮蔽板またはターボ分子ポンプ側の異物遮蔽板のその他の例に関する貫通開口形状(正射影開口率=0の場合)を説明する模式断面図である。図18は本実施の形態の半導体装置の製造方法において使用するプラズマCVD装置の重ね合わせ異物遮蔽板、またはそれを構成する気相処理室側の異物遮蔽板またはターボ分子ポンプ側の異物遮蔽板のその他の例に関する貫通開口形状(正射影開口率<0の場合)を説明する模式断面図である。図19は本実施の形態の半導体装置の製造方法において使用するプラズマCVD装置の重ね合わせ異物遮蔽板の他の例(裏表反転型)を示す模式断面図である。これらに基づいて、ルーバ・スクリーンの貫通開口の断面形状および配向について詳しく説明する。
前記セクション1及び2で説明した例では、図16に示すように、複合異物遮蔽板71、上側の要素異物遮蔽板71aまたは下側の要素異物遮蔽板71bの貫通開口81,82が異物遮蔽板の主面に垂直に見通せる形状であったが(正射影開口111の面積が正値)、それに限定されるものではない。貫通開口81,82の傾き(開口傾斜角)と表面開口の幅の関係を調整すれば、正射影開口率をそれ以外の値に設定することができる。たとえば、図17は正射影開口率を0としたものであるが、この場合も表面開口率はほどんど変化しないので、ガスに対するコンダクタンスを犠牲にすることなく、ミクロ異物に対する阻止能を高めることができる。
更に図18のように、正射影開口率を負値とすることもできる。この場合は、ガスに対するコンダクタンスを犠牲にすることなく、ミクロ異物に対する阻止能を更に高めることができる。ただし、あまり貫通開口の傾き(開口傾斜角)が大きくなると実効的な表面開口面積(ガス通路の幅)が低下する点に留意する必要がある。一般に、開口傾斜角は45度を中心として、30度以上60度未満が好適である。なお、実質的開口率および表面開口率を高い値に維持するためには、一つの円板(要素異物遮蔽板)内の傾斜角を同一にすることが望ましい。これは敷き詰め面積効率から明らかである。また、複数の要素異物遮蔽板の貫通開口全体としての形状はほぼ同一とするのが加工上有利である。アルミニウム又はアルミニウム合金鋳物として簡単に複製できるからである。また、無垢の金属円板から切削加工で製作する際も、設定の手間を大幅に削減することができる。ただ、方位や配向を異ならせると最適の条件を簡単に作り出すことができ、複雑な貫通開口の加工を回避することができる。これらから、ミクロ異物を効率的にに阻止するためには、要素異物遮蔽板の正射影開口率は0.1未満(負値を含む)が好適である。
また、これまでの例では、主に上側の要素異物遮蔽板71aと下側の要素異物遮蔽板71bの配向が同一の場合を説明したが、図19に示すように、いずれかの要素異物遮蔽板を反転させたり、同一配向で180度前後回転させたり、上下の要素異物遮蔽板で貫通開口の位置をずらせたりすることも可能である。図19の例は、要素異物遮蔽板の正射影開口率を正に保ったままで(正射影開口111a,11bの面積は正値)合成正射影開口率を負値にした複合異物遮蔽板である。この例では、ガスに対する実質的な開口率を高い値に保ったまま、ミクロ異物に対する阻止能を高くすることが容易となる。
4.ミクロ異物逆流阻止メカニズムの説明(主に図20から22)
図20は本実施の形態の半導体装置の製造方法において使用するプラズマCVD装置の異物遮蔽板の原理を説明するための垂直貫通開口を有する異物遮蔽板の模式断面図である。図21は本実施の形態の半導体装置の製造方法において使用するプラズマCVD装置の異物遮蔽板の原理を説明するための正射影開口率<0となる屈曲貫通開口を有する異物遮蔽板の模式断面図である。図22は本実施の形態の半導体装置の製造方法において使用するプラズマCVD装置の異物遮蔽板の原理を説明するための正射影開口率<0となるパンチング・ボード組み合わせ異物遮蔽板の模式断面図である。これらに基づいてルーバ・スクリーンのミクロ異物阻止能について、詳しく説明する。
図20には、垂直な密集貫通開口121a,121b,121cを持つ(これまで同様その他の開口は作図上の都合で明示せず。以下同じ)貫通開口密集配列型のルーバ・スクリーン71が示されている。図中のλはこの真空度および常温の条件下における空気の平均自由行程(矢印で対応する長さを表示)である。これでは、マクロ異物の通過は阻止できるが、貫通開口の径よりも小さなミクロ異物123a,123bの通過はまったく阻止できない。ただし、壁面で多重反射するミクロ異物123bは壁にトラップされる可能性がある。一方、ガス分子122a,122bの方は、平均自由行程が貫通開口の径と同程度であるので、壁面以外でも方向転換が可能なため、比較的自由に経路を選択可能である。すなわち、通過経路が多いのでコンダクタンスの減少は小さいと考えられる。ミクロ異物がガス分子に比べて、質量が非常に大きいので、平均自由行程は実質的に無限大と考えられる。すなわち、壁面に衝突する以外はその方向をほとんど変えない。従って、このような表面開口率と正射影開口率が同等(開口形状比が1に近い)のルーバ・スクリーンはミクロ異物阻止能が低いと考えられる。従って、異物遮蔽板全体としての平均開口形状比は、10以上が望ましい。また、安定な異物削減を実現するためには平均開口形状比は、15以上が好適である。更に、今後の微細化を考慮すると、20以上を確保することが必要となる。
図21には、屈曲した密集貫通開口121a,121b,121cを持つ貫通開口密集配列型のルーバ・スクリーン71が示されている。これは単一円板でできており、非複合型であるが、要素異物遮蔽板を裏表反転して張り合わせて(又は重ね合わせて)作ることもできる。これでは表面開口率は0.9又はそれ以上で、正射影開口率が負値になる。そうすると、図21に示されているように、ミクロ異物123a,123bの通過経路はごく限られたものとなる。一方、ガス分子122a,122bの方は、平均自由行程が貫通開口の径と同程度であるので、壁面以外でも方向転換が可能なため、比較的自由に経路を選択可能である。すなわち、通過経路が多いのでコンダクタンスの減少は小さいと考えられる。このような効果は、正射影開口率が負値でなくとも、表面開口率に比べて正射影開口率が十分小さい場合(開口形状比が1よりも0に近いか、または負である)に期待できる。基本的にこのカテゴリーに属するものは、図14及び図19等に説明した異物遮蔽板である。このように、ミクロ異物を高率で阻止するためには、異物遮蔽板全体又は個々の要素異物遮蔽板の正射影開口率は負値が好適である。
図22には、これまで説明してきた複合ルーバ・スクリーンと厚さは同等であるが、構造が異なり、低開口率の2枚の薄いパンチングボードを所定の間隔(前記例の複合ルーバ・スクリーンの厚さと同程度の間隙125を有する)を置いて平行に保持した貫通開口非密集配列型の複合ルーバ・スクリーンが示されている。この場合、合成表面開口率は各パンチングボードの開口率の積となり、合成正射影開口率は負値となる。この場合、ミクロ異物123a,123bの通過経路はほとんど見つからず、通過の可能性はほとんどないと見られる。従って、ミクロ異物阻止能は高いといえる。ただし、ガスに対するコンダクタンスの低下も大きいので(パンチングボードがオリフィスとして作用する)、できるだけ開口率の高いパンチングボードを使用するほか、ターボ分子ポンプの排気能力の余裕を十分にとっておく必要がある。また、間隙125の幅はλと同程度又はそれ以上とすることがガスに対するコンダクタンスの低下を防ぐ上で有効である。
以上のセクション1から4に説明したCVD装置及び異物遮蔽板の具体的CVDプロセス、デバイスへの適用に関しては、後続のセクション5(CVDプロセス1)およびセクション6(CVDプロセス2)において説明する。
5.素子分離工程に適用したプロセスの説明(主に図23から27)
図23から図27により、STI(Shallow Trench Isolation)型の素子分離工程の素子分離溝埋め込み工程に適用したプロセスの説明を行う。この素子分離溝埋め込み工程はHDP-CVD法(High Density Plasma CVD)によって実施される。プラズマ炉としては、図1等に説明した枚葉式のICP型の高密度プラズマCVD炉を用いる。この方式では一般に0.27Paから1.3Pa程度の真空領域が用いられる。反応ガスは一般にモノシランである。ここでは、セクション1から4に説明した異物遮蔽板の内、いずれかを用いる。STI工程は、素子のアクティブ領域に直接関係するためミクロ異物の低減は特に重要である。
このHDP-CVDの装置運用手順を図23により説明する。セクション1で図7に関して説明したように、まず、最初に装置のクリーン度を所定のレベルまで引き上げるため水素前処理工程36(被処理ウエハがない状態で)を実行する。次に処理室52の内面やその他部分に酸化膜を堆積するプリコート工程37(被処理ウエハがない状態で)を実行する。続いて、ウエハ1を処理室52のウエハ・ステージ54にセットした状態で成膜処理38を実行する。成膜が完了するとウエハ1を処理室52の外に排出する。この成膜処理39から40を後続のN−1枚のウエハ1に対して、先と同様に繰り返す。その後、ゲートがクローズして低真空状態に移行して、処理室52の中に被処理ウエハがない状態で、クリーニング工程46を実行する。その後、クリーニング工程46で残留したフッ素等を除去するための水素後処理47を実行する。その後は、ゲートが開いて高真空状態に移行して水素前処理36にもどる。このように所定のロットに属するウエハ全体の処理が完了するまで、この水素前処理36から水素後処理47までの成膜サイクルを繰り返す。プロセス・ステップの間もプラズマ電力はオンのままであり、図23に示すようにアイドリング状態151に維持される。
図23の成膜工程33を図24から図27により詳しく説明する。図24は素子分離溝形成工程のデバイス断面図である。窒化シリコン膜2を対ドライエッチングマスクとしてシリコン・ウエハ(基板)1に素子分離溝3が形成される。
図25は素子分離溝埋め込み工程を示す。先の素子分離溝3がCVDシリコン酸化膜4により、埋め込まれている(CVDプロセス1;HDP-CVD-1)。
図26はCMP工程完了時のデバイス断面図である。ここでは素子分離溝3外のCVDシリコン酸化膜4が除去されている。
図27は窒化シリコン膜除去工程を示す。ここでは、ウエット・エッチングによって、窒化シリコン膜2が除去される。
6.アルミニウム配線工程に適用したプロセスの説明(主に図28から図31)
図28から図31によりILD膜形成工程を説明する。図28はアルミニウム配線パターニング工程のデバイス断面図である。下層のILD膜19上に形成されたアルミニウム配線は中間のアルミニウム合金層5と上下のTiN等のバリア・メタル層6からなる。一般に、アルミニウム配線パターニングはレジスト膜を対エッチング・マスクとしてドライ・エッチングで行われる。
図29はHDP-CVD膜14の成膜(CVDプロセス2;HDP-CVD-2)の完了の状態を示す。その上に、図30に示すようにTEOS(Tetraethyl-orthosilicate)を用いたプラズマCVDシリコン酸化膜、すなわちP-TEOS SIO2膜7が形成される(CVDプロセス3;P-TEOS-1)。その後、CMPによる平坦化処理が施される。更に、CMP処理の後に50から100nm程度の薄いP-TEOS SIO2膜等が形成されることもある(CVDプロセス4;P-TEOS-2)。図31は層間CMPプロセス完了時のデバイス断面図である。
P-TEOSプロセスは一般に図1に示した炉と類似するが若干形式の異なった枚葉プラズマ炉(高密度型ではない)を用いて行われる。用いられる圧力領域は一般に67Paから2000Paである。
7.プリ・メタル工程に適用したプロセスの説明(主に図32から図36)
図32から図36により、プリ・メタル絶縁膜形成工程のNSG膜(Non-Doped silicate glass film)すなわちノン・ドープ・シリコン酸化膜の形成を大気圧(Atmospheric)すなわち1.0X10Pa前後、または準大気圧(Sub-Atmospheric)下で(約2,700Paから80,000Pa)のオゾンおよびTEOS(Tetraethyl-orthosilicate)を用いた熱CVD(Thermal CVD)により実行する場合について説明する(いわゆるオゾンTEOSシリコン酸化膜)。この場合の真空排気系は一般に単一ポンプ構成でメカニカル・ドライポンプをメインポンプとしている。一般に、大気圧下のものをAP-CVD(Atmospheric CVD)と呼び、準大気圧のものをSA-CVD(Sub-Atmospheric CVD)と呼ぶ。前者には一般にバッチ炉が、後者には図1に説明したものに類似した(プラズマ炉ではないが)枚葉炉が使用される。以下の説明は枚葉炉の場合を具体的に説明する。
図32に先の図23と同様な装置運用手順の一例を示す。先の図とは、プリコート41の位置と、水素前処理36がプリクリーニング42(プリコート41で付きすぎた膜の一部を除去する)に変わっている点が、成膜工程43とクリーニング工程44は詳細条件以外は、ほぼ同様である。前処理の順序等はプロセスや装置の特性によって、適宜変更すればよいので、説明の繰り返しは避ける。以下図33から図36により、プロセスの詳細を説明する。
図33はゲート電極パターン関係時のデバイス略断面図である。ゲート電極部分9とその周りの基板1の第1の主面(デバイス面)にソース又はドレイン領域8が形成されている。
図34はNSG−CVD膜10を形成した後の断面構造である(CVDプロセス5;O3-TEOS-1)。図35はその上に同様の熱CVDによりBPSG膜11(Borophosphosilicate Glass Film)を形成したときのデバイス断面である(CVDプロセス6;O3-TEOS-2)。この場合、プロセスガスは一般にTMP(Trimethylphosphite),TEPO(Triethylphosphate),TMB(trimethylborate),TEB(Triethylborate)等が使用される。図36は更にその上に先と同様のP-TEOS SIO2膜12を形成(CVDプロセス7;P-TEOS-3)した後、プリ・メタル絶縁膜13に対するCMP完了時のデバイス断面を示す。
8.対象デバイスの例示的な断面構造の説明(主に図37)
図37は図23から図36に説明したプロセスおよび手法を適用して製造された4層アルミニウム配線を有するMOSまたはMIS型の半導体装置の一例を示す断面図である。アルミニウム配線間はTiN等からなるバリア・メタル層16で囲まれたタングステン・プラグ15によって接続されている。最上層の膜17はプラズマ・シリコン・ナイトライド等からなるファイナル・パッシベーション膜(CVDプロセス8;P-SiN-1)である。
9.サマリ
以上本発明者によってなされた発明を実施形態に基づいて具体的に説明したが、本発明はそれに限定されるものではなく、その要旨を逸脱しない範囲において種々変更可能であることは言うまでもない。
例えば、前記実施の形態ではシリコン酸化膜のCVDプロセスを主体に説明したが、本発明はそれに限定されるものではなく、SiNその他の絶縁膜、タングステンその他のメタル膜、チタン・ナイトライドその他のメタル窒化物膜、酸化ルテニウムその他のメタル酸化膜等のCVDプロセスへも適用できることは言うまでもない。更に、CVDプロセスのみでなく、プラズマ・エッチング等の気相処理にも適用できることは言うまでもない。
また、プラズマ炉の形式については、ICP型(Inductively Coupled Plasma furnace)の枚葉炉(Single Wafer Processing Furnace)を例にとり詳しく説明したが、本発明はそれに限定されるものではなく、サイクロトロン型や平行平板型のものにも適用できることは言うまでもない。
また、前記実施の形態ではアルミニウム配線を使用した半導体装置の製造方法を例にとり具体的に説明したが、本発明はそれに限定されるものではなく、銅配線、銀配線等のダマシン配線を使用した半導体装置の製造方法にも適用できることは言うまでもない。
本実施の形態の半導体装置の製造方法において使用するプラズマCVD装置の正面模式断面図である。 本実施の形態の半導体装置の製造方法において使用するプラズマCVD装置の真空系全体を含めた正面模式断面図である。 本実施の形態の半導体装置の製造方法において使用するプラズマCVD装置のゲート・バルブ周辺部の模式断面図である。 本実施の形態の半導体装置の製造方法において使用するプラズマCVD装置の開状態のゲート・バルブの模式断面図である。 本実施の形態の半導体装置の製造方法において使用するプラズマCVD装置の閉状態のゲート・バルブの模式断面図である。 本実施の形態の半導体装置の製造方法において使用するプラズマCVD装置の全体上面図である。 本実施の形態の半導体装置の製造方法の装置プロセス・フローを示すブロック・フロー図である。 本実施の形態の半導体装置の製造方法において使用するプラズマCVD装置の異物遮蔽板の上面図である。 本実施の形態の半導体装置の製造方法において使用するプラズマCVD装置の重ね合わせ異物遮蔽板を構成する気相処理室側の異物遮蔽板(図9(a))とターボ分子ポンプ側の異物遮蔽板(図9(b))の上面図である。 本実施の形態の半導体装置の製造方法において使用するプラズマCVD装置の重ね合わせ異物遮蔽板を構成する気相処理室側の異物遮蔽板とターボ分子ポンプ側の異物遮蔽板におけるそれぞれの扁平略長方形貫通開口間の面積的関係を示す上面図である。 本実施の形態の半導体装置の製造方法において使用するプラズマCVD装置の重ね合わせ異物遮蔽板を構成する気相処理室側の異物遮蔽板とターボ分子ポンプ側の異物遮蔽板におけるそれぞれの扁平略長方形貫通開口間の位置関係を示す上面図である。 本実施の形態の半導体装置の製造方法において使用するプラズマCVD装置の重ね合わせ異物遮蔽板を構成する気相処理室側の異物遮蔽板の模式断面図(説明対象の貫通開口のみに着目、それ以外は省略、以下同じ)である。 本実施の形態の半導体装置の製造方法において使用するプラズマCVD装置の重ね合わせ異物遮蔽板を構成するターボ分子ポンプ側の異物遮蔽板の模式断面図である。 本実施の形態の半導体装置の製造方法において使用するプラズマCVD装置の重ね合わせ異物遮蔽板を構成する気相処理室側の異物遮蔽板およびターボ分子ポンプ側の異物遮蔽板の模式断面図である。 本実施の形態の半導体装置の製造方法によるウエハ上の異物数と従来の方法によるものを比較したウエハ上異物数推移図である。 本実施の形態の半導体装置の製造方法において使用するプラズマCVD装置の重ね合わせ異物遮蔽板、またはそれを構成する気相処理室側の異物遮蔽板またはターボ分子ポンプ側の異物遮蔽板の貫通開口形状(正射影開口率>0の場合)を説明する模式断面図である。 本実施の形態の半導体装置の製造方法において使用するプラズマCVD装置の重ね合わせ異物遮蔽板、またはそれを構成する気相処理室側の異物遮蔽板またはターボ分子ポンプ側の異物遮蔽板のその他の例に関する貫通開口形状(正射影開口率=0の場合)を説明する模式断面図である。 本実施の形態の半導体装置の製造方法において使用するプラズマCVD装置の重ね合わせ異物遮蔽板、またはそれを構成する気相処理室側の異物遮蔽板またはターボ分子ポンプ側の異物遮蔽板のその他の例に関する貫通開口形状(正射影開口率<0の場合)を説明する模式断面図である。 本実施の形態の半導体装置の製造方法において使用するプラズマCVD装置の重ね合わせ異物遮蔽板の他の例(裏表反転型)を示す模式断面図である。 本実施の形態の半導体装置の製造方法において使用するプラズマCVD装置の異物遮蔽板の原理を説明するための垂直貫通開口を有する異物遮蔽板の模式断面図である。 本実施の形態の半導体装置の製造方法において使用するプラズマCVD装置の異物遮蔽板の原理を説明するための正射影開口率<0となる屈曲貫通開口を有する異物遮蔽板の模式断面図である。 本実施の形態の半導体装置の製造方法において使用するプラズマCVD装置の異物遮蔽板の原理を説明するための正射影開口率<0となるパンチング・ボード組み合わせ異物遮蔽板の模式断面図である。 本発明の一実施の形態である半導体装置の製造方法に用いるプラズマCVDプロセスの一例を示す装置処理フロー図である。 本発明の一実施の形態である半導体装置の製造方法に用いるプラズマCVDプロセスの一例である素子分離工程の内の素子分離溝形成工程を表すデバイス断面図である。 本発明の一実施の形態である半導体装置の製造方法に用いるプラズマCVDプロセスの一例である素子分離工程の内の素子分離溝埋め込み工程を表すデバイス断面図である。 本発明の一実施の形態である半導体装置の製造方法に用いるプラズマCVDプロセスの一例である素子分離工程の内の素子分離CMP工程を表すデバイス断面図である。 本発明の一実施の形態である半導体装置の製造方法に用いるプラズマCVDプロセスの一例である素子分離工程の内の窒化シリコン素子分離パターニング膜除去工程を表すデバイス断面図である。 本発明の一実施の形態である半導体装置の製造方法に用いるプラズマCVDプロセスの一例であるアルミニウム配線工程の内の配線パターン形成工程を表すデバイス断面図である。 本発明の一実施の形態である半導体装置の製造方法に用いるプラズマCVDプロセスの一例であるアルミニウム配線工程の内の配線パターン埋め込み工程1を表すデバイス断面図である。 本発明の一実施の形態である半導体装置の製造方法に用いるプラズマCVDプロセスの一例であるアルミニウム配線工程の内の配線パターン埋め込み工程2を表すデバイス断面図である。 本発明の一実施の形態である半導体装置の製造方法に用いるプラズマCVDプロセスの一例であるアルミニウム配線工程の内の配線層間絶縁膜CMP工程を表すデバイス断面図である。 本発明の一実施の形態である半導体装置の製造方法に用いる熱CVDプロセスの一例を示す装置処理フロー図である。 本発明の一実施の形態である半導体装置の製造方法に用いる熱CVDプロセスの一例であるゲート形成・プリメタル工程の内のゲート形成工程を表すデバイス断面図である。 本発明の一実施の形態である半導体装置の製造方法に用いる熱CVDプロセスの一例であるゲート形成・プリメタル工程の内のゲート上NSG膜形成工程を表すデバイス断面図である。 本発明の一実施の形態である半導体装置の製造方法に用いる熱CVDプロセスの一例であるゲート形成・プリメタル工程の内のゲート上BPSG膜形成工程を表すデバイス断面図である。 本発明の一実施の形態である半導体装置の製造方法に用いる熱CVDプロセスの一例であるゲート形成・プリメタル工程の内のCMP工程を表すデバイス断面図である。 本発明の一実施の形態である半導体装置の製造方法によって製造されたデバイスの一例を示すデバイス断面図である。 本実施の形態の半導体装置の製造方法において使用するプラズマCVD装置の重ね合わせ異物遮蔽板を構成する気相処理室側の異物遮蔽板とターボ分子ポンプ側の異物遮蔽板がある角度をなす場合における扁平略長方形貫通開口間の有効な面積的の関係を示す上面図である。
符号の説明
1 ウエハ
38 気相処理(プラズマCVD処理)
52 気相処理室(プラズマCVD処理室)
55 ウエハ処理装置
56 ウエハ・ステージ(静電チャック)
71a 第1の異物遮蔽板(プラズマCVD処理室側の異物遮蔽板)
71b 第2の異物遮蔽板(ターボ分子ポンプ側の異物遮蔽板)
74 ターボ分子ポンプ
141 反応ガス

Claims (20)

  1. 以下の工程を含む半導体装置の製造方法:
    (a)ウエハ処理装置の気相処理室内のウエハ・ステージにウエハを設置する工程;
    (b)前記ウエハを前記ウエハ・ステージに設置した状態で、前記気相処理室をターボ分子ポンプで真空引きしながら、前記気相処理室に反応ガスを供給することによって、前記ウエハに気相処理を施す工程、
    ここで、前記気相処理室と前記ターボ分子ポンプの間には、それらを隔離するように、主面同士がほぼ平行であって相互に近接した第1及び第2の異物遮蔽板が設けられている。
  2. 前記1項の半導体装置の製造方法において、前記第1及び第2の異物遮蔽板の各々は、表面開口率が、その正射影開口率よりも実質的に大きい。
  3. 前記2項の半導体装置の製造方法において、前記第1及び第2の異物遮蔽板の合成正射影開口率は、前記第1及び第2の異物遮蔽板の前記正射影開口率のいずれよりも実質的に小さい。
  4. 前記3項の半導体装置の製造方法において、前記第1及び第2の異物遮蔽板の各々は、ほぼ全域に敷き詰められた多数の貫通開口を有する。
  5. 前記4項の半導体装置の製造方法において、前記第1及び第2の異物遮蔽板の各々の前記多数の貫通開口の内の主要な貫通開口は、それぞれの主面に立てた法線に対して、傾きを持つ。
  6. 前記5項の半導体装置の製造方法において、前記第1及び第2の異物遮蔽板の各々の前記多数の貫通開口の内の前記主要な貫通開口の前記傾きは30度以上、60度未満である。
  7. 前記5項の半導体装置の製造方法において、前記第1及び第2の異物遮蔽板の各々の前記多数の貫通開口の内の前記主要な貫通開口の前記傾きは相互にほぼ同一である。
  8. 前記4項の半導体装置の製造方法において、前記第1及び第2の異物遮蔽板はほぼ同一の形状を有する。
  9. 前記8項の半導体装置の製造方法において、前記第1及び第2の異物遮蔽板は相互に方位が異なるように配置されている。
  10. 前記9項の半導体装置の製造方法において、前記第1及び第2の異物遮蔽板の前記方位の差は20度から90度の範囲内にある。
  11. 前記9項の半導体装置の製造方法において、前記第1及び第2の異物遮蔽板の前記方位の差は60度から90度の範囲内にある。
  12. 前記4項の半導体装置の製造方法において、前記第1及び第2の異物遮蔽板の各々の前記多数の貫通開口の内の主要な貫通開口は、扁平略長方形形状を有する。
  13. 前記12項の半導体装置の製造方法において、前記主要な貫通開口の前記扁平略長方形形状の短辺の寸法は、前記工程(b)における空気の常温における平均自由行程と同程度である。
  14. 前記12項の半導体装置の製造方法において、前記第1及び第2の異物遮蔽板の各々の正射影開口率は0.3未満である。
  15. 前記12項の半導体装置の製造方法において、前記第1及び第2の異物遮蔽板の各々の正射影開口率は0.1未満である。
  16. 前記1項の半導体装置の製造方法において、前記気相処理はプラズマCVD処理である。
  17. 以下の工程を含む半導体装置の製造方法:
    (a)ウエハ処理装置の気相処理室内のウエハ・ステージにウエハを設置する工程;
    (b)前記ウエハを前記ウエハ・ステージに設置した状態で、前記気相処理室をターボ分子ポンプで真空引きしながら、前記気相処理室に反応ガスを供給することによって、前記ウエハに気相処理を施す工程、
    ここで、前記気相処理室と前記ターボ分子ポンプの間には、それらを隔離するように、多数の貫通開口を有する異物遮蔽板が設けられており、前記多数の貫通開口の平均開口形状比は10以上である。
  18. 前記17項の半導体装置の製造方法において、前記多数の貫通開口の前記平均開口形状比は15以上である。
  19. 前記17項の半導体装置の製造方法において、前記多数の貫通開口の前記平均開口形状比は20以上である。
  20. 前記17項の半導体装置の製造方法において、前記多数の貫通開口は前記異物遮蔽板のほぼ全域に敷き詰められている。
JP2007331647A 2007-12-25 2007-12-25 半導体装置の製造方法 Pending JP2009158524A (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2007331647A JP2009158524A (ja) 2007-12-25 2007-12-25 半導体装置の製造方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2007331647A JP2009158524A (ja) 2007-12-25 2007-12-25 半導体装置の製造方法

Publications (1)

Publication Number Publication Date
JP2009158524A true JP2009158524A (ja) 2009-07-16

Family

ID=40962262

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2007331647A Pending JP2009158524A (ja) 2007-12-25 2007-12-25 半導体装置の製造方法

Country Status (1)

Country Link
JP (1) JP2009158524A (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108930656A (zh) * 2017-05-29 2018-12-04 株式会社岛津制作所 真空排气装置、真空泵及真空阀
CN111714920A (zh) * 2020-06-10 2020-09-29 合肥百思新材料研究院有限公司 一种量产型自动保护有机小分子提纯设备的升华仪

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04107917A (ja) * 1990-08-28 1992-04-09 Fujitsu Ltd 半導体基板の減圧処理装置
JPH0633874A (ja) * 1992-07-16 1994-02-08 Ulvac Kuraio Kk ターボ分子ポンプ付クライオポンプ装置
JPH0972291A (ja) * 1995-09-04 1997-03-18 Ulvac Japan Ltd ドライ真空ポンプ前段用のトラップ
JPH11247790A (ja) * 1998-03-04 1999-09-14 Shimadzu Corp 真空ポンプ
JP2006299968A (ja) * 2005-04-21 2006-11-02 Shimadzu Corp 異物侵入防止板、回転真空ポンプおよび真空システム
JP2006307823A (ja) * 2005-03-31 2006-11-09 Shimadzu Corp ターボ分子ポンプ
JP2007180467A (ja) * 2005-03-02 2007-07-12 Tokyo Electron Ltd 反射装置、連通管、排気ポンプ、排気システム、該システムの洗浄方法、記憶媒体、基板処理装置及びパーティクル捕捉部品
JP2008240701A (ja) * 2007-03-28 2008-10-09 Tokyo Electron Ltd 排気ポンプ、連通管及び排気システム

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04107917A (ja) * 1990-08-28 1992-04-09 Fujitsu Ltd 半導体基板の減圧処理装置
JPH0633874A (ja) * 1992-07-16 1994-02-08 Ulvac Kuraio Kk ターボ分子ポンプ付クライオポンプ装置
JPH0972291A (ja) * 1995-09-04 1997-03-18 Ulvac Japan Ltd ドライ真空ポンプ前段用のトラップ
JPH11247790A (ja) * 1998-03-04 1999-09-14 Shimadzu Corp 真空ポンプ
JP2007180467A (ja) * 2005-03-02 2007-07-12 Tokyo Electron Ltd 反射装置、連通管、排気ポンプ、排気システム、該システムの洗浄方法、記憶媒体、基板処理装置及びパーティクル捕捉部品
JP2006307823A (ja) * 2005-03-31 2006-11-09 Shimadzu Corp ターボ分子ポンプ
JP2006299968A (ja) * 2005-04-21 2006-11-02 Shimadzu Corp 異物侵入防止板、回転真空ポンプおよび真空システム
JP2008240701A (ja) * 2007-03-28 2008-10-09 Tokyo Electron Ltd 排気ポンプ、連通管及び排気システム

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108930656A (zh) * 2017-05-29 2018-12-04 株式会社岛津制作所 真空排气装置、真空泵及真空阀
CN111714920A (zh) * 2020-06-10 2020-09-29 合肥百思新材料研究院有限公司 一种量产型自动保护有机小分子提纯设备的升华仪

Similar Documents

Publication Publication Date Title
US9343294B2 (en) Interconnect structure having air gap and method of forming the same
US7087998B2 (en) Control of air gap position in a dielectric layer
US7700479B2 (en) Cleaning processes in the formation of integrated circuit interconnect structures
US20070026673A1 (en) Semiconductor device having a multilayer interconnection structure and fabrication process thereof
US7314828B2 (en) Repairing method for low-k dielectric materials
JP5325113B2 (ja) 二重ライナ・キャッピング層の相互接続構造の半導体デバイス及びその製造方法
US6562734B2 (en) Method of filling gaps on a semiconductor wafer
US6277764B1 (en) Interlayered dielectric layer of semiconductor device and method of manufacturing the same
US7009272B2 (en) PECVD air gap integration
JP2011119330A (ja) 半導体集積回路装置の製造方法
TW202131406A (zh) 使用電漿處理的金屬膜蝕刻方法
CN116130412A (zh) 刻蚀顶层金属层时避免电弧放电的方法
JP2009158524A (ja) 半導体装置の製造方法
US7199048B2 (en) Method for preventing metalorganic precursor penetration into porous dielectrics
US10312107B2 (en) Forming interconnect structure using plasma treated metal hard mask
US20060068604A1 (en) Barrier layer and fabrication method thereof
US7338897B2 (en) Method of fabricating a semiconductor device having metal wiring
US20020168812A1 (en) Semiconductor device with multilayer wiring structure of laminated damascene wiring and fabrication method thereof
JP2005129937A (ja) 低k集積回路相互接続構造
JP2007311461A (ja) 半導体装置の製造方法
US7825019B2 (en) Structures and methods for reduction of parasitic capacitances in semiconductor integrated circuits
US20070072412A1 (en) Preventing damage to interlevel dielectric
US20070128853A1 (en) Method for forming inter-layer dielectric of low dielectric constant and method for forming copper wiring using the same
US20060286792A1 (en) Dual damascene process
US20060138667A1 (en) Method for forming an intermetal dielectric layer in a semiconductor device using HDP-CVD, and a semiconductor device manufactured thereby

Legal Events

Date Code Title Description
A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A712

Effective date: 20100527

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20100913

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20110117

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20121018

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20130228