JP2009044023A - 半導体装置の製造方法および基板処理装置 - Google Patents

半導体装置の製造方法および基板処理装置 Download PDF

Info

Publication number
JP2009044023A
JP2009044023A JP2007208798A JP2007208798A JP2009044023A JP 2009044023 A JP2009044023 A JP 2009044023A JP 2007208798 A JP2007208798 A JP 2007208798A JP 2007208798 A JP2007208798 A JP 2007208798A JP 2009044023 A JP2009044023 A JP 2009044023A
Authority
JP
Japan
Prior art keywords
processing chamber
film
gas
gas supply
valve
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2007208798A
Other languages
English (en)
Inventor
Kiyohiko Maeda
喜世彦 前田
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hitachi Kokusai Electric Inc
Original Assignee
Hitachi Kokusai Electric Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Kokusai Electric Inc filed Critical Hitachi Kokusai Electric Inc
Priority to JP2007208798A priority Critical patent/JP2009044023A/ja
Priority to US12/187,644 priority patent/US7858534B2/en
Publication of JP2009044023A publication Critical patent/JP2009044023A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

【課題】形成する膜の屈折率やエッチングレートについてのウエハ相互間の差を抑止しつつ、ウエハ相互間の膜厚均一性を向上させる。
【解決手段】複数枚のウエハ1をボート20で保持してヒータ32で加熱しつつ処理室34内に成膜ガスを供給して熱CVD法でウエハ1に成膜する成膜工程において、処理室34内のウエハ配列方向に温度勾配を設けずに、成膜ガスを処理室34の下端から上端に向かって流す第一フローステップと、上端から下端に向かって流す第二フローステップとを1サイクルとし、このサイクルを1回もしくは複数回実施する。処理室内に温度勾配がないので、ウエハ相互間の屈折率やエッチングレートを均一化できる。第一フローによる成膜により生じたBTM側ウエハ膜厚とTOP側ウエハ膜厚との相違を第二フローによる成膜により相殺できるので、ウエハ相互間における膜厚を均一化できる。
【選択図】図2

Description

本発明は、半導体装置の製造方法および基板処理装置に関し、例えば、半導体集積回路装置(以下、ICという。)が作り込まれる基板としての半導体ウエハ(以下、ウエハという。)に絶縁膜や金属膜および半導体膜を形成するCVD装置や酸化膜形成装置や拡散装置およびアニール装置等に利用して有効なものに関する。
ICの製造方法において、複数枚のウエハ上に窒化シリコン(Si3 4 )膜を形成する場合には、バッチ式縦型ホットウオール型CVD装置(以下、CVD装置という。)が広く使用されている。
従来のこの種のCVD装置としては、次のような処理炉を備えたものがある。
すなわち、処理炉は処理室を形成するインナチューブを備えており、インナチューブの外側にはアウタチューブが同心円に設置されている。アウタチューブの下端にはマニホールドが配置されており、マニホールドには処理室の下端部にガスを供給するノズルと、アウタチューブとインナチューブとの間の筒状空間に連通する排気管とが接続されている。アウタチューブの外側にはヒータが設置されており、ヒータは処理室内を所定の温度分布をもって加熱するように構成されている。
このCVD装置による処理炉による成膜に際しては、複数枚のウエハを積層したボートがマニホールドの下端開口から処理室内に搬入(ボートローディング)されて、マニホールドの下端開口がシールキャップによって気密封止された状態で、処理ガスとしてのクロル系シランガスとアンモニアガスならびにその他のガスとがノズルよって処理室内の下端部に供給される。
処理室内の下端部に供給された処理ガスは、ウエハ群に下から順に接触して熱CVD反応によって成膜しながら処理室内を上昇して行き、インナチューブの上端開口から筒状空間に流れ込み、筒状空間の下端部に接続された排気管によって排気される。
この際、成膜レートがガスの流れに依存するために、ボートのボトム側に配置されたウエハの膜厚がボートのトップ側に配置されたウエハの膜厚よりも厚くなる傾向がある。
そこで、このウエハ相互間の膜厚の差を解消するための温度勾配を処理室内にヒータによって形成することにより、ウエハ相互間の膜厚がボートの全長にわたって均一になるように制御することが、従来から実施されている。
しかしながら、処理室内に温度勾配を形成する成膜方法においては、ボートのボトム側に位置するウエハに形成された膜とボートのトップ側に位置するウエハに形成された膜との間で、屈折率やエッチングレート等の膜質に差が発生してしまう。
本発明の目的は、屈折率やエッチングレート等の膜質の被処理基板相互間での差の発生を防止しつつ、膜厚の被処理基板相互間での差の発生を防止することができる半導体装置の製造方法および基板処理装置を提供することにある。
前記した課題を解決するための手段のうち代表的なものは、次の通りである。
(1)複数枚の基板を処理室内に配列した状態で、前記処理室内を加熱しつつ前記処理室内に成膜ガスを供給して熱CVD法により、前記複数枚の基板に対して成膜処理を行う工程を有する半導体装置の製造方法であって、
前記成膜処理を行う工程では、
前記処理室内の基板配列方向に温度勾配を設けることなく、
前記成膜ガスを基板配列方向の一端から他端に向かって流すステップと、
前記成膜ガスを基板配列方向の前記他端から前記一端に向かって流すステップと、
を1サイクルとして、このサイクルを1回もしくは複数回行うことを特徴とする半導体装置の製造方法。
(2)複数枚の基板を処理室内に配列した状態で、前記処理室内を加熱しつつ前記処理室内に成膜ガスを供給して熱CVD法により、前記複数枚の基板に対して成膜処理を行う工程を有する半導体装置の製造方法であって、
前記成膜処理を行う工程では、
前記処理室内における成膜ガス濃度または圧力が基板配列方向の一端から他端に向かって低くなるようにして成膜するステップと、
前記処理室内における成膜ガス濃度または圧力が基板配列方向の前記他端から前記一端に向かって低くなるようにして成膜するステップと、
を1サイクルとして、このサイクルを1回もしくは複数回行うことを特徴とする半導体装置の製造方法。
(3)複数枚の基板を処理室内に配列した状態で、前記処理室内を加熱しつつ前記処理室内に成膜ガスを供給して熱CVD法により、前記複数枚の基板に対して成膜処理を行う工程を有する半導体装置の製造方法であって、
前記成膜処理を行う工程では、
基板上に形成される膜の膜厚が基板配列方向の一端から他端に向かって薄くなるようにして成膜するステップと、
基板上に形成される膜の膜厚が基板配列方向の前記他端から前記一端に向かって薄くなるようにして成膜するステップと、
を1サイクルとして、このサイクルを1回もしくは複数回行うことを特徴とする半導体装置の製造方法。
(4)基板を処理する処理室と、
前記処理室内で複数枚の基板を支持する支持具と、
前記処理室内を加熱するヒータと、
前記処理室内の基板配列方向の一端側から成膜ガスを供給する第一ガス供給部と、
前記処理室内の基板配列方向の他端側から処理室内を排気する第一ガス排気部と、
前記処理室内の基板配列方向の前記他端側から成膜ガスを供給する第二ガス供給部と、 前記処理室内の基板配列方向の前記一端側から処理室内を排気する第二ガス排気部と、 前記処理室内の基板配列方向に温度勾配を設けることなく、前記成膜ガスを基板配列方向の前記一端側から前記他端側に向かって流し、前記成膜ガスを基板配列方向の前記他端側から前記一端側に向かって流し、これを1サイクルとして、このサイクルを1回もしくは複数回行うように制御するコントローラと、
を有することを特徴とする基板処理装置。
(5)前記(1)において、ジクロルシランガスとアンモニアガスとを含んだ前記成膜ガスを基板配列方向の前記一端から前記他端に向かって流すステップと、該成膜ガスを基板配列方向の前記他端から前記一端に向かって流すステップとの間に、前記処理室内をパージするパージステップを有し、該パージステップはアンモニアガス雰囲気下で実施されることを特徴とする半導体装置の製造方法。
(6)前記(1)において、ジクロルシランガスとアンモニアガスとを含んだ前記成膜ガスを基板配列方向の前記一端から前記他端に向かって流すステップと、該成膜ガスを基板配列方向の前記他端から前記一端に向かって流すステップとの間に、前記処理室内をパージするパージステップを有し、該パージステップはアンモニアガスが用いられて実施されることを特徴とする半導体装置の製造方法。
(7)前記(1)において、ジクロルシランガスとアンモニアガスとを含んだ前記成膜ガスの流れを基板配列方向の前記一端から前記他端に向かう流れから、基板配列方向の前記他端から前記一端に向かう流れに切り替える際、もしくは、
前記成膜ガスの流れを基板配列方向の前記他端から前記一端に向かう流れから、基板配列方向の前記一端から前記他端に向かう流れに切り替える際に、
前記アンモニアガスの供給は停止することなく継続して実施されることを特徴とする半導体装置の製造方法。
前記手段によれば、基板相互間の膜質(膜の屈折率、エッチングレート)均一性および基板相互間の膜厚均一性の両方を向上させることができる。
ガス流れ切り替え時に界面が形成されないように制御することにより、連続した1つの膜を形成することができる。
以下、本発明の一実施の形態を図面に即して説明する。
本実施の形態において、本発明に係る基板処理装置は、ICの製造方法における成膜工程を実施するCVD装置(バッチ式縦型ホットウオール型減圧CVD装置)として構成されている。
図1に示されているように、本実施の形態に係るCVD装置10は、処理室前予備室である待機室12を形成した筐体11を備えており、筐体11は直方体の箱形状に構築されている。
待機室12内にはボートエレベータ13が設置されており、ボートエレベータ13の昇降するアーム14はシールキャップ15を水平に支持している。
シールキャップ15の下面には回転機構16が設置されており、回転機構16の回転軸17はシールキャップ15を貫通している。回転軸17の上端には断熱板18を保持した断熱板ホルダ19を介してボート20が設置されている。
なお、断熱板18は例えば石英や炭化珪素等の耐熱性材料が使用されて、円板形状に形成されており、ヒータ(後記する)からの熱が下部マニホールド(後記する)側に伝わり難くなるよう構成されている。
支持具としてのボート20は、例えば石英や炭化珪素等の耐熱性材料からなる上下の端板21、22や複数本の保持柱23が使用されて、全体的にみると長い円筒形状になるように構築されており、保持柱23には多数条のスロット(保持溝)24(図2参照)が長手方向(垂直方向)に等間隔に配列されている。
ウエハ1の周縁部が同一段の複数個のスロット24に同時に挿入されることにより、ボート20は複数枚のウエハ1を水平姿勢でかつ互いに中心を揃えた状態で整列させて多段に保持するように構成されている。
ボートエレベータ13および回転機構16には、駆動制御部25が電気配線26によって電気的に接続されている。駆動制御部25はボートエレベータ13および回転機構16を所望のタイミングにて所望の動作をするように制御する。
図1に示されているように、筐体11の上には処理炉30が設置されている。
処理炉30は加熱機構としてのヒータ32を有する。ヒータ32は円筒形状であり、保持板としてのヒータベース31に支持されることにより垂直に据え付けられている。
ヒータ32の内側には、ヒータ32と同心円状に反応管としてのプロセスチューブ33が配設されている。プロセスチューブ33は、例えば石英(SiO2 )または炭化シリコン(SiC)等の耐熱性材料が使用されて、上端および下端が開口した円筒形状に形成されている。
プロセスチューブ33の筒中空部によって処理室34が形成されており、基板としてのウエハ1をボート20によって水平姿勢で垂直方向に多段に整列した状態で収容可能に構成されている。
図2に示されているように、プロセスチューブ33の下側には下部マニホールド35が、プロセスチューブ33と同心円状に配設されている。下部マニホールド35はステンレスや石英等が使用されて、上端および下端が開口した円筒形状に形成されている。
下部マニホールド35はプロセスチューブ33に係合しており、プロセスチューブ33を支持するように設けられている。
なお、下部マニホールド35とプロセスチューブ33との間には、シール部材としてのOリング36が設けられている。下部マニホールド35がヒータベース31に支持されることにより、プロセスチューブ33は垂直に据え付けられた状態となっている。
プロセスチューブ33の上側には上部マニホールド37が、プロセスチューブ33と同心円状に配設されている。上部マニホールド37はステンレスや石英等が使用されて、上端が閉塞し下端が開口した円筒形状に形成されている。
上部マニホールド37はプロセスチューブ33に係合しており、プロセスチューブ33に支持されるように設けられている。
なお、上部マニホールド37とプロセスチューブ33との間には、シール部材としてのOリング38が設けられている。
プロセスチューブ33と下部マニホールド35と上部マニホールド37により反応容器39が形成される。
下部マニホールド35には下側ガス導入部としての第一下ノズル40および第二下ノズル50が、処理室34内に連通するようにそれぞれ接続されており、第一下ノズル40および第二下ノズル50には第一ガス供給管41および第二ガス供給管51がそれぞれ接続されている。
第一ガス供給管41の第一下ノズル40との接続側と反対側である上流側には、バルブ42、ガス流量制御器としてのMFC(マスフローコントローラ)43およびバルブ44を介して第一処理ガスを供給する第一処理ガス供給源45が接続されている。
第一ガス供給管41のバルブ42の下流側には不活性ガス供給管41Aが接続されており、不活性ガス供給管41Aにはバルブ46、MFC47およびバルブ48を介して不活性ガスを供給する不活性ガス供給源49が接続されている。
第二ガス供給管51の第二下ノズル50との接続側と反対側である上流側には、バルブ52、MFC53、バルブ54を介して第二処理ガスを供給する第二処理ガス供給源55が接続されている。
第二ガス供給管51のバルブ52の下流側には不活性ガス供給管51Aが接続されており、不活性ガス供給管51Aはバルブ56、MFC57およびバルブ58を介して不活性ガスを供給する不活性ガス供給源49に接続されている。
以上の第一下ノズル40、第一ガス供給管41、バルブ42、MFC43、バルブ44および第一処理ガス供給源45等により第一処理ガス供給ラインが構成され、第二下ノズル50、第二ガス供給管51、バルブ52、MFC53、バルブ54、第二処理ガス供給源55等により第二処理ガス供給ラインが構成される。
また、第一処理ガス供給ラインの第一ガス供給管41、バルブ42、MFC43、バルブ44および第一処理ガス供給源45と、第二処理ガス供給ラインの第二ガス供給管51、バルブ52、MFC53、バルブ54および第二処理ガス供給源55とにより、第一ガス供給部59が構成される。
上部マニホールド37には上側ガス導入部としての第一上ノズル60および第二上ノズル70が、処理室34内に連通するようにそれぞれ接続されており、第一上ノズル60および第二上ノズル70には第一ガス供給管61および第二ガス供給管71がそれぞれ接続されている。
第一ガス供給管61の第一上ノズル60との接続側と反対側である上流側には、バルブ62、MFC63、バルブ64を介して第一処理ガスを供給する第一処理ガス供給源65が接続されている。
第一ガス供給管61のバルブ62の下流側には不活性ガス供給管61Aが接続されており、不活性ガス供給管61Aにはバルブ66、MFC67およびバルブ68を介して不活性ガスを供給する不活性ガス供給源69が接続されている。
第二ガス供給管71の第二上ノズル70との接続側と反対側である上流側には、バルブ72、MFC73、バルブ74を介して第二処理ガスを供給する第二処理ガス供給源75が接続されている。
第二ガス供給管71のバルブ72の下流側には不活性ガス供給管71Aが接続されており、不活性ガス供給管71Aはバルブ76、MFC77およびバルブ78を介して不活性ガスを供給する不活性ガス供給源69に接続されている。
以上の第一上ノズル60、第一ガス供給管61、バルブ62、MFC63、バルブ64および第一処理ガス供給源65等により第一処理ガス供給ラインが構成され、第二上ノズル70、第二ガス供給管71、バルブ72、MFC73、バルブ74および第二処理ガス供給源75等により第二処理ガス供給ラインが構成される。
また、第一処理ガス供給ラインの第一ガス供給管61、バルブ62、MFC63、バルブ64および第一処理ガス供給源65と、第二処理ガス供給ラインの第二ガス供給管71、バルブ72、MFC73、バルブ74および第二処理ガス供給源75により、第二ガス供給部79が構成される。
第一処理ガスとしてはクロル系シランガス、例えばジクロルシラン(SiH2 Cl2 、以下、DCSともいう。)ガスが使用される。
また、第二処理ガスとしては、例えばアンモニア(NH3 )ガスが使用される。
図1に示されているように、第一ガス供給部59(具体的にはMFC43、MFC53)と、第二ガス供給部79(具体的にはMFC63、MFC73)とには、ガス流量制御部80が電気配線81によって電気的に接続されている。
ガス流量制御部80は供給するガス(第一処理ガス、第二処理ガス)の流量が所望の量となるよう所望のタイミングにて制御する。
また、第一ガス供給部59における不活性ガスラインに設けられ不活性ガスの流量を制御するMFC47、57と、第二ガス供給部79における不活性ガスラインに設けられ不活性ガスの流量を制御するMFC67、77とにも、ガス流量制御部80が電気配線81によって電気的に接続されている。
ガス流量制御部80は供給する不活性ガスの流量が所望の量となるよう所望のタイミングにて制御する。
なお、第一ガス供給部59のバルブ42、バルブ44、バルブ52、バルブ54、および、第二ガス供給部79のバルブ62、バルブ64、バルブ72、バルブ74にも、ガス流量制御部80が電気的に接続されている。
ガス流量制御部80はそれぞれのバルブを開閉するタイミング、すなわちガス(第一処理ガス、第二処理ガス)の供給/停止のタイミングをも制御する。
また、第一ガス供給部59における不活性ガス供給ラインに設けられたバルブ46、バルブ56、バルブ48、バルブ58、および、第二ガス供給部79における不活性ガス供給ラインに設けられたバルブ66、バルブ76、バルブ68、バルブ78にもガス流量制御部80が電気的に接続されている。
ガス流量制御部80はそれぞれのバルブを開閉するタイミング、すなわち不活性ガスの供給/停止のタイミングをも制御する。
図1および図2に示されているように、上部マニホールド37には処理室34内の雰囲気を排気する第一排気口90が設けられており、第一排気口90には第一排気管91が接続されている。
第一排気管91の上部マニホールド37の第一排気口90との接続側と反対側である下流側には、圧力検出器としての圧力センサ92、排気バルブ93および圧力調整バルブとしてのコンダクタンスバルブ94が設けられている。
同様に、下部マニホールド35には処理室34内の雰囲気を排気する第二排気口95が設けられており、第二排気口95には第二排気管96が接続されている。
第二排気管96の下部マニホールド35の第二排気口95との接続側と反対側である下流側には、圧力検出器としての圧力センサ97、排気バルブ98および圧力調整バルブとしてのコンダクタンスバルブ99が設けられている。
第一排気管91と第二排気管96とはコンダクタンスバルブ94およびコンダクタンスバルブ99よりも下流側において合流して一本化しており、一本化した第三排気管100には真空ポンプ101が接続されている。
真空ポンプ101は第一排気管91や第二排気管96および第三排気管100を通じて、処理室34内を圧力が所定の圧力(真空度)となるよう排気する。
図1に示されているように、コンダクタンスバルブ94、99および圧力センサ92、97には、圧力制御部102が電気配線103によって電気的に接続されている。
圧力制御部102は圧力センサ92、97により検出された圧力に基づいてコンダクタンスバルブ94、99を調整して、処理室34内の圧力が所望の圧力となるよう所望のタイミングにて制御する。
例えば、第二排気管96の排気バルブ98を閉じ、第一排気管91の排気バルブ93を開いた状態では、圧力センサ92によって検出された圧力に基づいてコンダクタンスバルブ94を調整して、処理室34内の圧力が所望の圧力となるよう所望のタイミングにて制御する。
また、第一排気管91の排気バルブ93を閉じ、第二排気管96の排気バルブ98を開いた状態では、圧力センサ97によって検出された圧力に基づいてコンダクタンスバルブ99を調整して、処理室34内の圧力が所望の圧力となるよう所望のタイミングにて制御する。
プロセスチューブ33内には、図示しない温度検出器としての温度センサが設置されている。ヒータ32および温度センサには温度制御部82が電気配線83によって電気的に接続されている。温度制御部82は温度センサにより検出された温度情報に基づきヒータ32への通電具合を調整することにより、処理室34内の温度が所望の温度分布となるよう所望のタイミングにて制御する。
駆動制御部25、ガス流量制御部80、温度制御部82および圧力制御部102は、操作部、入出力部をも構成し、CVD装置全体を制御する主制御部104に電気的に接続されている。これら、駆動制御部25、ガス流量制御部80、温度制御部82、圧力制御部102および主制御部104はコントローラ105として構成されている。
次に、本発明の一実施の形態であるICの製造方法の成膜工程を、上記構成に係るCVD装置を使用してCVD法によりウエハ上に薄膜を形成する場合について説明する。
なお、以下の説明において、CVD装置を構成する各部の動作はコントローラ105により制御される。
複数枚のウエハ1がボート20に装填(ウエハチャージ)されると、複数枚のウエハ1を保持したボート20は、図2に示されているように、ボートエレベータ13によって持ち上げられて、処理室34に搬入(ボートローディング)される。
この状態で、シールキャップ15はOリング15aを介して下部マニホールド35の下端をシールした状態となる。
続いて、第二排気管96の排気バルブ98が閉じられ、第一排気管91の排気バルブ93が開かれ、処理室34内が第一排気管91を介して真空ポンプ101によって所望の圧力(真空度)となるように排気される。
この際、処理室34内の圧力は第一排気管91の圧力センサ92で測定され、この測定された圧力に基づき、第一排気管91のコンダクタンスバルブ94がフィードバック制御される。
なお、このとき、第一排気管91の排気バルブ93を閉じ、第二排気管96の排気バルブ98を開き、第二排気管96を介して排気するようにしてもよい。
その場合、処理室34内の圧力は第二排気管96の圧力センサ97で測定され、この測定された圧力に基づき、第二排気管96のコンダクタンスバルブ99がフィードバック制御される。
また、第一排気管91の排気バルブ93と第二排気管96の排気バルブ98との両方を開いて、第一排気管91と第二排気管96との両方を介して排気してもよい。
その場合、処理室34内の圧力は、第一排気管91の圧力センサ92および第二排気管96の圧力センサ97のうち少なくともいずれか一方で測定され、この測定された圧力に基づき、第一排気管91のコンダクタンスバルブ94および第二排気管96のコンダクタンスバルブ99のうち少なくともいずれか一方が、フィードバック制御される。
なお、処理室34内の圧力調整は、第一ガス供給部59および第二ガス供給部79のうち少なくともいずれか一方により処理室34内に不活性ガスを供給しつつ行う。
また、処理室34内が所望の温度となるようにヒータ32によって加熱される。
この際、処理室34内の少なくともウエハ群配列領域(ボートのウエハ積載領域)には、温度勾配が形成されないように、すなわち温度勾配がフラットとなるように、温度センサが検出した温度情報に基づきヒータ32への通電具合がフィードバック制御される。
これにより、処理室34内において水平姿勢で間隔を空けて垂直方向に配列されたウエハは、ボート20の全長にわたって同一温度に加熱される。
続いて、ボート20が回転機構16によって回転されることにより、ウエハ1が回転される。
次いで、図3に示されたシーケンスに従って、第二ガス供給部79(具体的にはバルブ62、64、72、74)が閉じられるとともに、第二排気管96の排気バルブ98が閉じられる。
その状態で、第一ガス供給部59(具体的にはバルブ42、44、52、54)が開かれ、第一排気管91の排気バルブ93が開かれる。
これにより、第一ガス供給部59の第一処理ガス供給源45から第一処理ガス(DCSガス)が供給され、第二処理ガス供給源55から第二処理ガス(アンモニアガス)が供給される。MFC43、53によって所望の流量となるように制御された第一処理ガスおよび第二処理ガスは、第一ガス供給管41および第二ガス供給管51を流通して第一下ノズル40および第二下ノズル50から処理室34内に導入される。
導入されたガスは下部マニホールド35内から処理室34内を上昇し、上部マニホールド37に設けられた第一排気口90、第一排気管91から排気される(第一フロー)。
このとき、第二ガス供給部79の不活性ガス供給管61A、71Aのバルブ66、68、76、78は開かれ、第二ガス供給部79からは不活性ガスが供給される。供給された不活性ガスはガス供給管61、71を流通して第一上ノズル60および第二上ノズル70から処理室34内に導入される。
第一処理ガスおよび第二処理ガスは処理室34内を通過する際にウエハ1の表面と接触し、この際に、熱CVD反応によってウエハ1の表面上に薄膜が堆積(デポジション)される。
なお、このとき、処理室34内の圧力は第一排気管91に設けられた圧力センサ92によって測定され、この測定された圧力に基づき、第一排気管91に設けられたコンダクタンスバルブ94がフィードバック制御されることにより、処理室34内の圧力が調整される。
図3に示されているように、予め設定された処理時間が経過すると、ガスの流れが切り替えられる。
すななち、第一ガス供給部59(具体的にはバルブ42、44、52、54)が閉じられ、第一排気管91の排気バルブ93が閉じられることにより、第一ガス供給部59からの第一処理ガスおよび第二処理ガスの供給が停止される。
その状態で、第二ガス供給部79(具体的にはバルブ62、64、72、74)が開かれ、第二排気管96の排気バルブ98が開かれる。
これにより、第二ガス供給部79の第一処理ガス供給源65から第一処理ガスが供給され、第二処理ガス供給源75から第二処理ガスが供給される。MFC63、73によって所望の流量となるように制御された第一処理ガスおよび第二処理ガスは、第一ガス供給管61および第二ガス供給管71を流通して第一上ノズル60および第二上ノズル70から処理室34内に導入される。
導入されたガスは上部マニホールド37内から処理室34内を下降し、下部マニホールド35に設けられた第二排気口95、第二排気管96から排気される(第二フロー)。
このとき、第一ガス供給部59の不活性ガス供給管41A、51Aのバルブ46、48、56、58は開かれ、第一ガス供給部59からは不活性ガスが供給される。供給された不活性ガスは第一ガス供給管41および第二ガス供給管51を流通して第一下ノズル40および第二下ノズル50から処理室34内に導入される。
第一処理ガスおよび第二処理ガスは処理室34内を通過する際にウエハ1の表面と接触し、この際に、熱CVD反応によってウエハ1の表面上に薄膜が堆積(デポジション)される。
なお、このとき、処理室34内の圧力は第二排気管96に設けられた圧力センサ97によって測定され、この測定された圧力に基づき、第二排気管96に設けられたコンダクタンスバルブ99がフィードバック制御されることにより、処理室34内の圧力が調整される。
以上の処理室34内の下部から上部に向かう第一フローによる成膜と、処理室34内の上部から下部に向かう第二フローによる成膜とを、1サイクルとして、このサイクルを1回もしくは複数回行うことにより、所望膜厚の薄膜が成膜される。
但し、ウエハ相互間の膜厚均一性をより一層向上させることを考慮すると、このサイクルは複数回繰り返すのが好ましい。
予め設定された回数だけ、以上のサイクルが繰り返されて、所望膜厚の薄膜が形成された後に、第一ガス供給部59(具体的にはバルブ42、44、52、54)と第二ガス供給部79(具体的にはバルブ62、64、72、74)とが閉じられる。
また、第一ガス供給部59および第二ガス供給部79のうち少なくともいずれか一方か、好ましくは両方の不活性ガスラインから不活性ガスが供給され、処理室34内が不活性ガスに置換されるとともに、処理室34内の圧力が常圧に復帰される。
その後、ボートエレベータ13によってシールキャップ15が下降されて、下部マニホールド35の下端が開口されるとともに、処理済ウエハ1がボート20に保持された状態で、下部マニホールド35の下端からプロセスチューブ33の外部に搬出(ボートアンローディング)される。
その後、処理済ウエハ1はボート20から取り出される(ウエハディスチャージ)。
なお、本実施の形態の処理炉にてウエハ1を処理する際の処理条件としては、Si3 4 膜の成膜においては、処理温度:700〜800℃、処理圧力:10〜100Pa、DCSガス流量:5〜500sccm、NH3 ガス流量:5〜2000sccm、サイクル数:1〜50サイクルが例示され、それぞれの処理条件をそれぞれの範囲内のある値で一定に維持することでウエハに処理がなされる。
以上のようにして処理室34内に温度勾配を設けることなく、成膜ガス(DSCガスおよびアンモニアガス)を第一フローと第二フローとをもって交互に流すと、処理室34内においては、図4に示された現象が発生する。
図4(a)に示されているように、成膜ガスが処理室34の下端側(以下、BTMという。)から処理室34の中央部(以下、CNTという。)を経由して処理室34の上端側(以下、TOPという。)に向かう第一フローにより、
BTM側圧力>TOP側圧力、
BTM側ガス濃度>TOP側ガス濃度となり、
BTM側膜厚>TOP側膜厚となるように膜が形成される。
例えば、TOP側に配置されたウエハ1に形成される膜の膜厚t1、
CNT側に配置されたウエハ1に形成される膜の膜厚t2、
BTM側に配置されたウエハ1に形成される膜の膜厚t3とすると、
t1<t2<t3となる。
その後、図4(b)に示されているように、成膜ガスがTOP側からCNT側を経由してBTM側に向かう第二フローにより、
TOP側圧力>BTM側圧力、
TOP側ガス濃度>BTM側ガス濃度となり、
TOP側膜厚>BTM側膜厚となるように膜が形成される。
すなわち、TOP側のウエハ1に形成された膜厚t1の膜上に、膜厚t3の膜が形成され、
CNT側のウエハ1に形成された膜厚t2の膜上に、膜厚t2の膜が形成され、
BTM側のウエハ1に形成された膜厚t3の膜上に、膜厚t1の膜が形成される(t1<t2<t3)。
これにより、TOP側のウエハ1に形成される総膜厚tはt1+t3となり、
CNT側のウエハ1に形成される総膜厚tはt2+t2となり、
BTM側のウエハ1に形成される総膜厚tはt3+t1となり、各ポジションにおける各ウエハ1上に形成される膜の総膜厚tは等しくなる。t=t1+t3(TOP側)=t2+t2(CNT側)=t3+t1(BTM側)。
図4(b)に示された各ポジションにおける各ウエハ1の総膜厚から明らかな通り、第一フローによる成膜と第二フローによる成膜とを1サイクルとして、このサイクルを少なくとも1回以上行うように成膜すれば、第一フローによる成膜により生じたBTM側ウエハ膜厚とTOP側ウエハ膜厚との相違を、第二フローによる成膜により相殺することができるので、ウエハ相互間における膜厚を均一化することができる。
以上のようなサイクル成膜の間に処理室34内には温度勾配が設けられないので、BTM側ウエハの膜質とCNT側ウエハの膜質とTOP側ウエハの膜質の相違は発生しない。すなわち、ウエハ相互間における膜質すなわち膜の屈折率やエッチングレートを均一化することができる。
ところで、以上のガス流れ切り替え時に界面が形成される懸念がある。
そこで、成膜ガスの流れを第一フローから第二フローに、または、第二フローから第一フローに切り替える際に、アンモニアガスの供給を停止することなく処理室内に流し続けることにより、第一フローにより形成した薄膜と、第二フローにより形成した薄膜との間に界面が形成されるのを防止することができる。すなわち、連続した一つの薄膜を形成することができる。
つまり、第一フローと第二フローとの間、または、第二フローと第一フローとの間に行うパージは、アンモニアガス雰囲気下で行うのが好ましい。
不活性ガスとアンモニアガスとでパージするようにしてもよいし、アンモニアガスだけでパージするようにしてもよい。
第一処理ガスがDCSガスで第二処理ガスがアンモニアガスである本実施の形態の場合においては、第一フローから第二フローにガスの流れを切り替える時には、第一ガス供給部59における第一ガス供給管41のバルブ42、44は閉じるが、第二ガス供給管51のバルブ52、54は閉じないで、アンモニアガスの供給を停止することなく処理室34内に流し続ける。
逆に第二フローから第一フローにガスの流れを切り替える時には、第二ガス供給部79における第一ガス供給管61のバルブ62、64は閉じるが、第二ガス供給管71のバルブ72、74は閉じないで、アンモニアガスの供給を停止することなく処理室34内に流し続ける。
成膜中は、第一ガス供給部59および第二ガス供給部79のいずれの第二ガス供給管51、71からもアンモニアガスを流し続けることが好ましい。
図5は従来例による成膜により形成した膜と、本実施形態の方法による成膜により形成した膜の屈折率のウエハ相互間の均一性の効果を示すグラフである。
図5において、縦軸は屈折率を示しており、横軸はボートのウエハを保持したスロットの位置を示している。
破線曲線は従来例を示しており、実線曲線は本実施の形態の場合を示している。
なお、屈折率はジクロルシランガスとアンモニアガスとを使用して、ウエハ上に形成した窒化シリコン膜に関するものである。
図5から明らかな通り、本実施の形態によれば、屈折率のウエハ相互間の均一性を従来例に比べて向上させることができる。
なお、本発明は前記実施形態に限定されるものではなく、その要旨を逸脱しない範囲で種々に変更が可能であることはいうまでもない。
例えば、本発明は、DCS(SiH2 Cl2 )とNH3 とを用いてSi3 4 膜を形成する場合に限らず、TCS(SiHCl3 )、HCD(Si2 Cl6 )等のクロル系シランガスを用いてSi3 4 膜を形成する場合や、N2 4 等のヒドラジン系ガスを用いてSi3 4 膜を形成する場合にも適用することができる。
また、Si3 4 膜を形成する場合の他、HTO(SiO2 )膜を形成する場合のように、CVD法によって成膜を行う場合全般に適用することができる。
CVD装置はバッチ式縦型ホットウオール型CVD装置に限らず、横型ホットウオール型CVD装置等の他のCVD装置であってもよい。
前記実施の形態ではウエハに処理が施される場合について説明したが、被処理基板は液晶パネルやホトマスク、プリント配線基板、コンパクトディスクおよび磁気ディスク等であってもよい。
本発明の一実施の形態であるCVD装置を示す正面断面図である。 処理炉を示す模式図である。 ガス供給シーケンスを示すタイムチャートである。 ガスフローの切り替えによる現象を説明する模式図である。 膜の屈折率についてのウエハ相互間均一性効果を示すグラフである。
符号の説明
1…ウエハ(基板)、10…CVD装置(基板処理装置)、11…筐体、12…待機室、13…ボートエレベータ、14…アーム、
15…シールキャップ(炉口蓋体)、16…回転機構、17…回転軸、18…断熱板、19…断熱板ホルダ、
20…ボート(支持具)、21、22…端板、23…保持柱、24…スロット、
25…駆動制御部、26…電気配線、
30…処理炉、31…ヒータベース、32…ヒータ、33…プロセスチューブ、34…処理室、
35…下部マニホールド、36…Oリング、37…上部マニホールド、38…Oリング、39…反応容器、
40…第一下ノズル、41…第一ガス供給管、42…バルブ、43…MFC、44…バルブ、45…第一処理ガス供給源、46…バルブ、47…MFC、48…バルブ、49…不活性ガス供給源、
50…第二下ノズル、51…第二ガス供給管、52…バルブ、53…MFC、54…バルブ、55…第二処理ガス供給源、56…バルブ、57…MFC、58…バルブ、
59…第一ガス供給部、
60…第一上ノズル、61…第一ガス供給管、62…バルブ、63…MFC、64…バルブ、65…第一処理ガス供給源、66…バルブ、67…MFC、68…バルブ、69…不活性ガス供給源、
70…第二上ノズル、71…第二ガス供給管、72…バルブ、73…MFC、74…バルブ、75…第二処理ガス供給源、76…バルブ、77…MFC、78…バルブ、
79…第二ガス供給部、
80…ガス流量制御部、81…電気配線、
82…温度制御部、83…電気配線、
90…第一排気口、91…第一排気管、92…圧力センサ、93…排気バルブ、94…コンダクタンスバルブ、95…第二排気口、96…第二排気管、97…圧力センサ、98…排気バルブ、99…コンダクタンスバルブ、100…第三排気管、101…真空ポンプ、
102…圧力制御部、103…電気配線、
104…主制御部、105…コントローラ。

Claims (4)

  1. 複数枚の基板を処理室内に配列した状態で、前記処理室内を加熱しつつ前記処理室内に成膜ガスを供給して熱CVD法により、前記複数枚の基板に対して成膜処理を行う工程を有する半導体装置の製造方法であって、
    前記成膜処理を行う工程では、
    前記処理室内の基板配列方向に温度勾配を設けることなく、
    前記成膜ガスを基板配列方向の一端から他端に向かって流すステップと、
    前記成膜ガスを基板配列方向の前記他端から前記一端に向かって流すステップと、
    を1サイクルとして、このサイクルを1回もしくは複数回行うことを特徴とする半導体装置の製造方法。
  2. 複数枚の基板を処理室内に配列した状態で、前記処理室内を加熱しつつ前記処理室内に成膜ガスを供給して熱CVD法により、前記複数枚の基板に対して成膜処理を行う工程を有する半導体装置の製造方法であって、
    前記成膜処理を行う工程では、
    前記処理室内における成膜ガス濃度または圧力が基板配列方向の一端から他端に向かって低くなるようにして成膜するステップと、
    前記処理室内における成膜ガス濃度または圧力が基板配列方向の前記他端から前記一端に向かって低くなるようにして成膜するステップと、
    を1サイクルとして、このサイクルを1回もしくは複数回行うことを特徴とする半導体装置の製造方法。
  3. 複数枚の基板を処理室内に配列した状態で、前記処理室内を加熱しつつ前記処理室内に成膜ガスを供給して熱CVD法により、前記複数枚の基板に対して成膜処理を行う工程を有する半導体装置の製造方法であって、
    前記成膜処理を行う工程では、
    基板上に形成される膜の膜厚が基板配列方向の一端から他端に向かって薄くなるようにして成膜するステップと、
    基板上に形成される膜の膜厚が基板配列方向の前記他端から前記一端に向かって薄くなるようにして成膜するステップと、
    を1サイクルとして、このサイクルを1回もしくは複数回行うことを特徴とする半導体装置の製造方法。
  4. 基板を処理する処理室と、
    前記処理室内で複数枚の基板を支持する支持具と、
    前記処理室内を加熱するヒータと、
    前記処理室内の基板配列方向の一端側から成膜ガスを供給する第一ガス供給部と、
    前記処理室内の基板配列方向の他端側から処理室内を排気する第一ガス排気部と、
    前記処理室内の基板配列方向の前記他端側から成膜ガスを供給する第二ガス供給部と、 前記処理室内の基板配列方向の前記一端側から処理室内を排気する第二ガス排気部と、 前記処理室内の基板配列方向に温度勾配を設けることなく、前記成膜ガスを基板配列方向の前記一端側から前記他端側に向かって流し、前記成膜ガスを基板配列方向の前記他端側から前記一端側に向かって流し、これを1サイクルとして、このサイクルを1回もしくは複数回行うように制御するコントローラと、
    を有することを特徴とする基板処理装置。
JP2007208798A 2007-08-10 2007-08-10 半導体装置の製造方法および基板処理装置 Pending JP2009044023A (ja)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP2007208798A JP2009044023A (ja) 2007-08-10 2007-08-10 半導体装置の製造方法および基板処理装置
US12/187,644 US7858534B2 (en) 2007-08-10 2008-08-07 Semiconductor device manufacturing method and substrate processing apparatus

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2007208798A JP2009044023A (ja) 2007-08-10 2007-08-10 半導体装置の製造方法および基板処理装置

Publications (1)

Publication Number Publication Date
JP2009044023A true JP2009044023A (ja) 2009-02-26

Family

ID=40346956

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2007208798A Pending JP2009044023A (ja) 2007-08-10 2007-08-10 半導体装置の製造方法および基板処理装置

Country Status (2)

Country Link
US (1) US7858534B2 (ja)
JP (1) JP2009044023A (ja)

Families Citing this family (303)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
CN102796992B (zh) * 2011-05-27 2014-05-28 北京北方微电子基地设备工艺研究中心有限责任公司 反应腔装置及具有其的基片处理设备
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
CN102517562B (zh) * 2011-12-15 2014-01-01 常州星海电子有限公司 一种垂直梯度冷凝制造薄膜电池的装置
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TWI838458B (zh) 2019-02-20 2024-04-11 荷蘭商Asm Ip私人控股有限公司 用於3d nand應用中之插塞填充沉積之設備及方法
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
JP2021111783A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー チャネル付きリフトピン
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH01202827A (ja) * 1988-02-09 1989-08-15 Fujitsu Ltd 被膜形成方法
JPH05243488A (ja) * 1992-02-18 1993-09-21 Nec Corp 半導体装置の製造方法
JPH097959A (ja) * 1995-06-20 1997-01-10 Nippon Steel Corp 気相成長装置
JP2000340561A (ja) * 1999-05-25 2000-12-08 Tokyo Electron Ltd 成膜方法
JP2004128503A (ja) * 2002-09-30 2004-04-22 Infineon Technologies Ag プロセスガスの主流方向を変更して半導体基板上に成分を気相成長させる方法および炉

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6626997B2 (en) * 2001-05-17 2003-09-30 Nathan P. Shapiro Continuous processing chamber
KR101183109B1 (ko) * 2002-07-30 2012-09-24 에이에스엠 아메리카, 인코포레이티드 캐리어 가스를 이용하는 승화 시스템
JP4058364B2 (ja) * 2003-03-18 2008-03-05 株式会社日立製作所 半導体製造装置
US7367138B2 (en) * 2005-10-11 2008-05-06 Nikon Corporation Devices and methods for thermophoretic and electrophoretic reduction of particulate contamination of lithographic reticles
CN101351871B (zh) * 2005-11-02 2010-08-18 松下电器产业株式会社 等离子体处理装置

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH01202827A (ja) * 1988-02-09 1989-08-15 Fujitsu Ltd 被膜形成方法
JPH05243488A (ja) * 1992-02-18 1993-09-21 Nec Corp 半導体装置の製造方法
JPH097959A (ja) * 1995-06-20 1997-01-10 Nippon Steel Corp 気相成長装置
JP2000340561A (ja) * 1999-05-25 2000-12-08 Tokyo Electron Ltd 成膜方法
JP2004128503A (ja) * 2002-09-30 2004-04-22 Infineon Technologies Ag プロセスガスの主流方向を変更して半導体基板上に成分を気相成長させる方法および炉

Also Published As

Publication number Publication date
US7858534B2 (en) 2010-12-28
US20090042408A1 (en) 2009-02-12

Similar Documents

Publication Publication Date Title
JP2009044023A (ja) 半導体装置の製造方法および基板処理装置
US20200232097A1 (en) Substrate processing apparatus and method of manufacturing semiconductor device
KR102207020B1 (ko) 기판 처리 장치, 반도체 장치의 제조 방법 및 프로그램
JP6568508B2 (ja) 半導体装置の製造方法、基板処理装置、およびプログラム
US11952664B2 (en) Substrate processing apparatus and method of manufacturing semiconductor device
JP2010141223A (ja) 半導体装置の製造方法及び基板処理装置
KR102237780B1 (ko) 기판 처리 장치 및 반도체 장치의 제조 방법
JP4838083B2 (ja) 基板処理装置および半導体装置の製造方法
JP2017191909A (ja) 半導体装置の製造方法、基板処理装置、およびプログラム
CN110190008B (zh) 对处理容器内的部件进行清洁的方法、半导体器件的制造方法、衬底处理装置、及记录介质
JP4971954B2 (ja) 基板処理装置、半導体装置の製造方法、および加熱装置
TWI720549B (zh) 半導體裝置之製造方法、基板處理裝置及程式
CN111850512A (zh) 成膜方法和成膜装置
CN112740374A (zh) 基板处理装置及半导体装置的制造方法
JP3904497B2 (ja) 半導体装置の製造方法
JP5438266B2 (ja) 半導体装置の製造方法、クリーニング方法および基板処理装置
JP5571157B2 (ja) 半導体装置の製造方法、クリーニング方法および基板処理装置
JP2007324478A (ja) 基板処理装置
CN112740373A (zh) 基板处理装置
JP2011159803A (ja) 基板処理装置
JP2004304128A (ja) 半導体装置の製造方法
JP6778318B2 (ja) 半導体装置の製造方法、基板処理装置およびプログラム
TW202339087A (zh) 基板處理裝置,基板支撐具,半導體裝置的製造方法,基板處理方法及程式
JP2004095940A (ja) 半導体装置の製造方法
JP2001338883A (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20100805

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20111111

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20111115

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120112

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20120207