JP2008227511A - 誘電体材料を含有するシリコンの形成過程における改良されたギャップ充填堆積 - Google Patents

誘電体材料を含有するシリコンの形成過程における改良されたギャップ充填堆積 Download PDF

Info

Publication number
JP2008227511A
JP2008227511A JP2008068272A JP2008068272A JP2008227511A JP 2008227511 A JP2008227511 A JP 2008227511A JP 2008068272 A JP2008068272 A JP 2008068272A JP 2008068272 A JP2008068272 A JP 2008068272A JP 2008227511 A JP2008227511 A JP 2008227511A
Authority
JP
Japan
Prior art keywords
gas
water vapor
silicon
chamber
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP2008068272A
Other languages
English (en)
Inventor
Nitin K Ingle
ケー. イングル ナイティン
Sidharth Bhatia
バーティア シドハース
Won B Bang
ビー. バン ウォン
Zheng Yuan
ユアン ツェン
Ellie Yieh
イェー エリー
Shankar Venkatraman
ヴェンカトラマン シャンカール
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2008227511A publication Critical patent/JP2008227511A/ja
Withdrawn legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • H01L21/31612Deposition of SiO2 on a silicon body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76837Filling up the space between adjacent conductive structures; Gap-filling properties of dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02129Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being boron or phosphorus doped silicon oxides, e.g. BPSG, BSG or PSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

【課題】ギャップ充填内のボイド及び脆弱なシームを低減する化学気相堆積法を提供する。
【解決手段】基板上に形成されたトレンチ内に誘電体材料を形成する化学気相堆積法であって、水素ガス及び酸素ガスを水蒸気生成触媒と接触させることにより水蒸気を生成して、水蒸気を処理チャンバに提供するステップを含む。また、この方法は、シリコン含有前駆物質を、基板を収容する処理チャンバ内に流すステップと、酸化ガスを前記チャンバ内に流すステップと、シリコン含有前駆物質、酸化ガス及び水蒸気の間の反応を起こし、トレンチ内に誘電体材料を形成させるステップと、を含む。また、この方法は、時間の経過と共に、チャンバ内に流されるシリコン含有前駆物質と酸化ガスの比を増加させて、誘電体材料の堆積速度を変更するステップを含んでもよい。
【選択図】図3A

Description

関連出願の相互参照
[0001]この出願は、2005年8月26日に出願された“IMPROVED GAP−FILL DEPOSITIONS INTRODUCING HYDROXYL−CONTAINING PRECURSORS IN THE FORMATION OF SILICON CONTAINING DIELECTRIC MATERIALS”というタイトルのIngle等による米国特許出願第11/213,612号の一部継続出願である。また、この出願は、2002年9月19日に出願された“METHOD USING TEOS RAMP−UP DURING TEOS/OZONE CVD FOR IMPROVED GAP−FILL”というタイトルのIngle等による米国特許第6,905,940号(米国特許出願第10/247,672号)の一部継続出願であり、かつ2004年8月27日に出願された“IMPROVED GAP−FILL DEPOSITIONS INTRODUCING HYDROXYL−CONTAINING PRECURSORS IN THE FORMATION OF SILICON CONTAINING DIELECTRIC MATERIALS”というタイトルのIngle等による米国仮特許出願第60/605,116号の利益を主張する、2004年12月20日に出願された“IMPROVED GAP−FILL DEPOSITION IN THE FORMATION OF SILICON CONTAINING DIELECTRIC MATERIALS”というタイトルのIngle等による米国特許出願第11/018,381号の一部継続出願でもある。上記に示した全ての出願の内容全体は本明細書に組み込まれる。
発明の背景
[0002]集積回路の製造シーケンスは、多くの場合、いくつかのパターニング処理を含む。該パターニング処理は、パターン化された金属またはポリシリコン層等の導電体の層を画成することができ、または、トレンチ等のアイソレーション構造を画成することができる。ほとんどの場合、該トレンチは、絶縁体材料または誘電体材料で充填される。この絶縁体材料は、いくつかの機能を果たすことができる。例えば、いくつかの用途において、該絶縁体材料は、ICの1つの領域を他の領域と電気的に絶縁し、および該トレンチの表面を電気的に不動態化する働きをする。また、該絶縁体材料は、典型的には、積み重ねるべき半導体の次の層のためのベースを提供する。
[0003]基板をパターニングした後、そのパターン化された材料は平坦ではない。該パターンのトポロジーは、後のウェーハ処理を妨げるかまたは悪化させる可能性がある。多くの場合、パターン化された材料の上に平坦面を作り出すことが好ましい。このような平坦な、または“平坦化された”面を作り出すために、いくつかの方法が開発されてきた。その実例は、十分な厚さの材料物質の絶縁保護層を堆積し、ウェーハを研磨して平坦面を得ることと、十分な厚さの材料物質の絶縁保護層を堆積し、該層をエッチバックして平坦化面を形成することと、ドープシリコン酸化物等の比較的低融点の材料物質の層を形成することと、その後、該ドープシリコン酸化物を溶融させて、液体として流れるように該ウェーハを十分に加熱して、冷却時に平坦面を結果として生じることとを含む。各処理は、該処理を特定の用途にとって好ましいものにする特性を有する。
[0004]半導体設計が進化するにつれて、半導体デバイスの特徴寸法は劇的に減少する。現在、多くの回路は、直径がミクロン未満のトレースまたはトレンチ等の部材を有する。特徴寸法の低減は、より高いデバイス密度、より大きなウェーハ当たりのチップ数、より複雑な回路、より低い作動消費電力および低コストを可能にしたが、より小さな形状は、新たな問題も生じさせ、または、大きな形状の場合には既に解決されていた問題を復活させてしまった。
[0005]サブミクロンデバイスによってもたらされる製造上の課題の種類の実例は、幅の狭いトレンチをボイドフリーで完全に充填する能力である。トレンチをシリコン酸化物で充填するために、まず、シリコン酸化物からなる層が、パターン化された基板上に堆積される。該シリコン酸化物層は、典型的には、該トレンチのフィールド、壁部及び底部を覆う。該トレンチが、幅が広く、かつ浅い場合、該トレンチを完全に充填することは比較的容易である。該トレンチの幅が狭くなり、かつアスペクト比(トレンチの高さと幅の比)が増加するにつれて、該トレンチの開口は狭くなり(“pinch off”)やすくなる。
[0006]トレンチを狭くすることは、該トレンチ内にボイドを閉じ込める可能性がある。図1は、トレンチ1を充填する誘電体材料2内に形成されたそのようなボイド4を示す。これらのボイドは、誘電体材料が、高アスペクト比のトレンチ内に急速に堆積されるギャップ充填堆積において発生する。ボイド4は、半導体デバイスの動作に悪影響を及ぼす可能性がある、該ギャップ充填の絶縁耐力の不均一性を作り出す。
[0007]より少ないボイドを形成する1つのアプローチは、誘電体堆積速度を落とすことである。より遅い堆積速度は、トレンチ表面への、誘電体材料からなるよりコンフォーマルな堆積を容易にし、このことは、ピンチオフを結果として生じる可能性のある、該トレンチの上部角部上への誘電体材料の過剰な積層を低減する。その結果として、トレンチは、底部から上方まで、より一様に充填される。しかし、該誘電体材料の堆積速度を低下させることは、誘電体堆積時間の合計を増すことにより、処理効率を低下させる。より遅い誘電体堆積速度は、トレンチ1を充填するための時間を増加させるだけではなく、トレンチ1の上部の誘電体層3の容積も増加させる。
[0008]ギャップ充填処理において直面する別の課題は、誘電体材料とトレンチ表面との境界、ならびに該誘電体材料自体の表面間の境界における脆弱なシームの形成である。脆弱なシームは、堆積された誘電体材料が、トレンチの内面に脆弱に付着した場合、または、全く付着していない場合に形成される可能性がある。後の処理ステップ(例えば、アニーリング)は、該誘電体材料を該トレンチ表面から分離して、ギャップ充填トレンチ内に亀裂を作り出す可能性がある。また、脆弱なシームは、図2Aに図示されているように、誘電体材料面間にも形成される可能性があり、該図は、トレンチ5の対向する側壁7a及び7bから外側へ成長するシリコン酸化物材料6の対向面の交差部に形成されているトレンチ5の中間における脆弱なシーム9を示す。
[0009]シーム9に沿った誘電体材料は、誘電体材料6の他の部分よりも低い密度及びより高い気孔率を有し、このことは、シーム9に沿ったエッチング速度の向上を引き起こす可能性がある。図2Bは、化学機械研磨(CMP)及びCMP後洗浄等の処理中に、誘電体材料6が腐食液(例えば、HF)にさらされたときに、不要なディッシング8がシーム4に沿ってどのように生み出されるかを図示する。ボイドと同様に、脆弱なシームは、半導体デバイスの動作に悪影響を及ぼす可能性がある、ギャップ充填の絶縁耐力の不均一性を作り出す。
[0010]状況次第では、誘電体トレンチ充填物内のボイド及び脆弱なシームは、リフロー処理において充填または治すことができる。例えば、ドープシリコン酸化物誘電体材料は、高温で粘性流に遭遇し、高温リフロー処理によって、ボイド及び脆弱なシームの低減を可能にする。しかし、該トレンチは、幅がより狭くなり、該ボイドは、これらのリフロー処理中に、充填されなくなる傾向がある。加えて、リフロー処理は、無ドープシリコン酸化物等の高融点誘電体がギャップ充填に用いられる多くの用途において、現実的ではない。従って、誘電体ギャップ充填内のボイド及び脆弱なシームを低減し、またはなくす新たなシステム及び方法に対する要求がある。
発明の簡単な概要要約
[0011]本発明の実施形態は、基板上に形成されたトレンチ内に誘電体材料を形成する化学気相堆積法を含む。該方法は、水素ガス及び酸素ガスを水蒸気生成触媒と接触させることによって、水蒸気を生成するステップと、該水蒸気を処理チャンバに供給するステップとを含むことができる。また、該方法は、シリコン含有前駆物質を、該基板を収容する処理チャンバ内に流すことと、酸化ガスを該チャンバ内に流すことと、該シリコン含有前駆物質、酸化ガス及び水蒸気の間の反応を起こし、該トレンチ内に誘電体材料を形成させることとを含むことができる。該方法はさらに、時間の経過と共に、該チャンバ内に流入するシリコン含有前駆物質と酸化ガスの比を増加させて、該誘電体材料の堆積速度を変更することを含むことができる。
[0012]本発明の実施形態は、基板上に誘電体層を形成する化学気相堆積法も含む。該方法は、水素ガス及び酸素ガスを水蒸気生成触媒に接触させることによって、水蒸気を生成するステップと、該基板を収容するチャンバに該水蒸気を提供するステップとを含むことができる。また、該方法は、該シリコン含有前駆物質、酸化処理ガス及び水蒸気を該チャンバに提供することも含むことができ、該シリコン含有前駆物質、酸化処理ガス及び水蒸気は、該基板上に第1の誘電体層を形成するように反応する。該チャンバ内に流入するシリコン含有前駆物質と酸化処理ガスの比は、時間の経過と共に変えて、該第1の誘電体層の堆積速度を変更することができる。加えて、該チャンバ内への該水蒸気の流入は、中断してもよく、そして第2の誘電体層を該第1の誘電体層上に形成してもよく、ただし、該第2の誘電体層は、水蒸気を有することなく形成される。
[0013]本発明の実施形態はさらに、基板処理装置を含む。該装置は、処理チャンバ内で基板を支持するように構成された基板支持体と、シリコン含有前駆物質、酸化処理ガス及び水蒸気を入れて、これらの前駆物質、処理ガス及び水蒸気を該処理チャンバに送給するように構成されたガス送給システムとを含むことができる。該装置はさらに、水蒸気を該ガス送給システムに供給する水蒸気生成器を含むことができる。該生成器は、水素ガスと酸素ガスの混合物から水蒸気を発生させる触媒を含むことができる。該装置はさらにまた、該ガス送給システム及び基板支持体を制御するように構成されたコントローラを含むことができる。該コントローラは、該シリコン含有前駆物質、水蒸気及び酸化処理ガスを該処理チャンバ内に導入して、該基板上に誘電体層を形成し、および該誘電体層の堆積中の、該ガス送給システムに対する該基板支持体の位置を変更することができる。
[0014]追加的な実施形態及び特徴は、以下の説明にある程度記載されており、当業者には、明細書の検討時または本発明の実施に際してに明らかになるであろう。本発明の特徴及び効果は、本明細書に記載された手段、組合せ及び方法によって実現及び達成することができる。
発明の詳細な説明
[0032]上述したように、トレンチアイソレーションにおけるボイド及び脆弱なシームの成長は、特に、トレンチ幅が小さくなり(例えば、約90nm以下)、かつトレンチアスペクト比が高くなる(例えば、約6:1以上)につれて、深刻化する問題になってきている。本発明は、ギャップ充填内のボイド及び脆弱なシームを低減するのに役に立つ水蒸気を用いて、これらのトレンチ内に誘電体材料を形成するシステム及び方法を含む。該水蒸気は、シリコン酸化物材料の流動性及び密度を高めて、堆積中に形成される、脆弱なシームを治し、ボイドを充填するのに役に立つ。
[0033]また、水蒸気は、トレンチ内に形成されたシリコン酸化物材料の密度を増加させることもできる。該材料の高い密度は、該材料により遅いウェットエッチング速度を与えることを含む、より低密度の材料に優る利点を与えることができる。例えば、従来の水分なしの化学気相堆積によってトレンチ内に堆積されたより低密度の材料物質は、典型的には、約5:1以上のウェットエッチング速度を有する。該材料物質の高いウェットエッチング速度は、後の平坦化および/または酸化物エッチング処理中にオーバーエッチングをもたらす可能性がある。このオーバーエッチングは、トレンチアイソレーションの上部に、ボウルまたはギャップの形成をもたらす可能性がある。
[0034]水蒸気の物理的特性(例えば、相)が、形成された誘電体材料の品質に著しい影響を与える可能性があることが分かっている。該処理チャンバに供給される水蒸気が、エアロゾルスプレーのような実質的な液相成分を含有する場合、堆積後の検査において、大量の粒子アダー(particle adders)が基板表面に観察される。対照的に、該チャンバに供給される水蒸気が、エアロゾル粒子がないかまたはほとんどない実質的に全て気相である場合は、粒子アダーの数は著しく、場合によっては10倍以上、低減される。
[0035]本発明の実施形態は、水蒸気を処理チャンバに供給する方法及び機器を実施することにより、液状エアロゾル相液滴の数が減少し、主に気相であるというこの発見を正しく評価した。これらの実施形態は、酸素及び水素前駆物質ガスを触媒的に水生成触媒と接触させることによって、水蒸気を生成することを含む。該前駆物質は、該触媒の存在下で触媒的に反応して、水蒸気を形成する。該触媒反応は、分子レベルで水蒸気を形成するため、該水蒸気は、液相またはエアロゾル相ではなく、実質的に純粋な気相で形成される。該触媒的に生成された水蒸気は、液状エアロゾル相液滴がないかまたはほとんどないこの実質的に純粋な気相で、誘電体堆積処理チャンバに供給することができる。
[0036]対照的に、バブラー及びネブライザーからの水蒸気は、ガスとエアロゾル粒子の混合物に変換されている液相水でスタートする。該バブラーにおいては、窒素またはヘリウム等のキャリアガスが、液状水のサンプルを介して気泡化されて、該サンプルから水蒸気が該処理チャンバへ運ばれる。この方法は、液状水でスタートするため、かなりの量の液状エアロゾル液滴が、該キャリアガスによって一掃されて、該処理チャンバ内へ移送される。ネブライザーは、液状水を、キャリアガスによって該処理チャンバ内へ移送されるエアロゾルミストにエアロゾル化することによって機能するため、より多くの液状エアロゾル水液滴を生成することができる。これら両方の水蒸気生成方法の場合、生成される液状エアロゾル水蒸気の量は、触媒的水蒸気生成の場合よりもかなり多くすることができる。
[0037]水蒸気を生成する別の方法は、イン・シトゥー生成蒸気(ISSG)とも呼ばれる、水素及び酸素ガスの混合物の該処理チャンバ内での酸化を伴う。発生される水蒸気は気相であるが、高温であり、急速に冷却する必要がある。この冷却処理は、該処理チャンバ内での気相水分子の液状エアロゾル液滴への凝縮を促進することができる。また、この酸化反応は、かなりの発熱反応であるため、該処理チャンバ内で反応させることができる水素及び酸素ガスの量は、チャンバ温度が高くなりすぎないように、少なくしなければならない。このことは、特に、この方法によって生成することができる水蒸気の量(すなわち、分圧)を制限する中低温(例えば、約500℃またはそれ以下)の誘電体堆積の場合に問題になる可能性がある。
[0038]本発明の実施形態は、生成した水蒸気及び他の前駆物質を、高アスペクト比処理(HARP)で用いて、誘電体材料を形成することを含む。これらの処理は、該誘電体材料を、該処理の異なる段階において、異なる速度で堆積することを含む。例えば、より低速の堆積速度は、よりコンフォーマルな誘電体層をトレンチ内に形成するのに用いることができ、一方、より高速の堆積速度は、該トレンチの上にかさのある誘電体層を形成するのに用いられる。他の実施例においては、多数の速度(例えば、3つ以上の速度)が、該誘電体層の形成の様々な段階で用いられる。複数の誘電体堆積速度で堆積を実行することは、該堆積処理の効率を著しく低減することなく、該トレンチ内のボイド及び脆弱なシームの数を低減する。HARPの利点を、触媒的に生成した水蒸気と組み合わせると、該トレンチ及びかさのある誘電体層内での少ない欠陥、少ない粒子アダーで、高密度の誘電体材料の効率的な形成を可能にする。
例示的な酸化物堆積処理
[0039]図3Aは、本発明の実施形態による、基板上に誘電体層を形成する処理に含めることができるステップを図示するフローチャートである。これらの実施形態は、該誘電体層の形成中に、該誘電体材料の堆積速度を変化させるHARP技術を用いることを含む。該処理は、ステップ302において、基板を処理チャンバ内のガス分配マニホールド(例えば、シャワーヘッド)から第1の距離に供給することを含む。該ガス分配マニホールドは、複数の前駆物質のための別々の入口、または、該複数の前駆物質の混合物がそこを通って該処理チャンバに入る単一の入口を含むことができる。
[0040]該基板が該処理チャンバ内に配置された後、該前駆物質を該チャンバへ供給することができる。このことは、酸化ガス前駆物質(例えば、O、O、NO、NO、これらの混合物等)を該チャンバへ供給すること(ステップ306)、シリコン含有前駆物質(例えば、シラン、ジメチルシラン、トリメチルシラン、テトラメチルシラン、ジエチルシラン、テトラメチルオルソシリケート(TMOS)、テトラエチルオルソシリケート(TEOS)、オクタメチルテトラシロキサン(OMTS)、オクタメチルシクロテトラシロキサン(OMCTS)、テトラメチルシクロテトラシロキサン(TOMCATS)、これらの混合物等)を該チャンバへ供給すること(ステップ308)および触媒的に生成した水蒸気を該チャンバへ供給すること(ステップ310)を含む。該水蒸気及び他の前駆物質は、該マニホールドを通過して該チャンバ内に流入することができる。また、該処理は、予め1つ以上の前駆物質と混合することができる、または、別々に該処理チャンバに供給することのできる1つ以上の追加的なヒドロキシル基含有前駆物質(例えば、H等)の導入を含んでもよい。また、水素ガス(H)またはアンモニア(NH)等の還元ガスも該処理チャンバに導入することができる。
[0041]水蒸気及び他の前駆物質が該マニホールドを介して該処理チャンバに供給されると、該水蒸気及び他の前駆物質は、それぞれ別々に該処理チャンバ内に流入することができ、または、該水蒸気及び他の前駆物質のうちの2つ以上は、該処理チャンバに供給される前に、該マニホールド内で予め混合してもよい。例えば、該水蒸気及び酸化ガス前駆物質は、予め混合して該処理チャンバに一緒に供給することができる。別の実施例として、水蒸気及び追加的なヒドロキシル基含有前駆物質を混合物として該チャンバに供給することができる。さらに別の実施例として、還元ガス及びシリコン含有前駆物質を混合物として一緒に供給することができる。また別の実施例においては、全ての前駆物質を、1つの混合物として該チャンバに供給する前に、予め混合してもよい。加えて、1つ以上の前駆物質は、該チャンバに供給する前に、キャリアガス(例えば、希ガス(He、Ne、Ar、Kr、Xe)等の不活性ガス、窒素ガス等)と混合することができる。
[0042]該前駆物質は、最初の流量で、該マニホールドを通って該処理チャンバ内に流入することができる。例えば、該シリコン含有前駆物質は、最初に、約20〜約100sccmで該マニホールドを通過することができ、一方、該酸化前駆物質は、約60〜約1000sccmで流れ、該水蒸気は、約60〜約200sccmで流れる。これらの流量は、該水蒸気及び他の前駆物質が、該チャンバに供給される前に、1つ以上のキャリアガスと混合される場合には、実質的により高くすることができる。例えば、シリコン含有前駆物質とキャリアガスの混合物は、約1000〜約10,000sccm(例えば、約6000sccm〜約8000sccm)の速度で流れることができる。該酸化前駆物質及びキャリアガスは、約1000sccm〜約20,000sccm(例えば、約10,000sccm〜約20,000sccm)で流れることができる。該水蒸気及びキャリアガスは、約1000sccm〜約20,000sccm(例えば、約5000sccm〜約15,000sccm)で流れることができる。還元ガスも供給する場合には、該還元ガスは、約1000sccm〜約10,000sccm(例えば、約5000sccm)でキャリアガスと共に流れることができる。
[0043]用いるCVD処理の種類により、該水蒸気および/または1つ以上の他の前駆物質は、第一に、その生成物が、基板上に誘電体層を形成するのに用いられるプラズマを形成するのに役に立つ可能性がある。本発明の実施形態は、プラズマCVD(PECVD)等のプラズマCVD技術および高密度プラズマCVD(HDPCVD)と共に用いることができる。これらの実施形態は、該処理チャンバ内(例えば、容量結合されたシャワーヘッドと基板ペデスタル/基板との間)でのイン・シトゥープラズマ生成を含む。また、これらの実施形態は、とりわけ、大気圧CVD(APCVD)、準大気圧CVD(SACVD)及び低圧CVD(LPCVD)等の熱CVD法も含む。
[0044]該前駆物質の最初の流量は、シリコン含有前駆物質対酸化ガス前駆物質、およびシリコン含有前駆物質対水蒸気の場合の最初の流量比を規定する。該誘電体材料の最初の堆積がトレンチ充填を含む場合、シリコン含有前駆物質対酸化ガス前駆物質の比は、該トレンチ内での誘電体材料のより遅い堆積を実行できるように、比較的低くすることができる。堆積が進むにつれて、シリコン含有前駆物質対酸化ガス前駆物質の比を、ステップ312において調節することができる。例えば、該トレンチの一部が一旦、充填されると、シリコン含有前駆物質対酸化ガス前駆物質の比は、該誘電体材料の堆積速度を増すために増加させることができる。この調節は、より高速の堆積速度が該トレンチ内にボイドまたは脆弱なシームをもたらすリスクが少ない場合に、該堆積の段階で行われる。
[0045]シリコン含有前駆物質と水蒸気の流量比も、最初の堆積段階において、比較的低くすることができる。該シリコン含有前駆物質対酸化ガス前駆物質の流量比が増加すると、シリコン含有前駆物質対水蒸気の比も増加する可能性がある。或いは、該シリコン含有前駆物質対水蒸気の比は、該シリコン含有前駆物質対酸化ガスの比が変化する場合、例えば、該シリコン含有前駆物質及び水蒸気が一緒に該処理チャンバ内に流れる実施形態の場合、実質的に一定にしてもよい。
[0046]図4Aは、段階的な堆積速度プロファイルを盛り込んだ本発明による堆積処理の実施形態における、処理最大に対するシリコン含有ガス成分の濃度対時間を描く単純化したグラフである。或いは、本発明による代替の実施形態は、幅広い変化する非線形の組成プロファイルを呈することができる。図4Bは、非線形プロファイルを盛り込んだ本発明による堆積処理の別の代替実施形態の場合の、処理最大に対するシリコン含有ガス成分の濃度対時間を描く単純化したグラフである。
[0047]誘電体堆積中に流される前駆物質の組成の変化は、様々な方法で遂行することができる。該方法の実施形態は、シリコン含有前駆物質と前駆物質混合物全体のフローの逓増的な相対的割合を有する。このような増加は、シリコン含有前駆物質の流量を上昇させ、酸化ガス前駆物質の流量を低下させ、水蒸気の流量を低下させることにより、または、シリコン含有前駆物質の全体の百分率組成の変化を生じる処理ガス混合物の成分の流量の変化の何らかの組合せによって、引き起こすことができる。
[0048]また、該前駆物質混合物の成分の相対比の変化は、該成分の流量を変化させること以外によって遂行することができる。例えば、オゾンを酸化ガス前駆物質として用いる場合、該変化は、オゾン発生器を介して酸素を流すことによって形成され、酸素及び一定の割合のオゾンを備えるガスフローを生じる。オゾン(すなわち酸化ガス前駆物質)に対するシリコン含有前駆物質の濃度の変化も、オゾンの生成の条件を変更して、その濃度を増加させることによって、処理チャンバ内へのオゾンの流量を変更することなく遂行することができる。
[0049]また、該誘電体層の堆積は、該基板とマニホールドの間の距離を第2の距離に調節することによって変化させることができる(ステップ314)。該処理チャンバは、堆積中に、該基板とマニホールドの間の間隔を変えることのできる調節可能なリフトを含むことができる。該基板が該マニホールドに接近するにつれて、該基板は、前駆物質の濃度が高くなり、より速い速度で誘電体層を形成するゾーンに入る。従って、該誘電体材料を、ボイドまたは脆弱なシームをもたらすことなく、より高速の堆積速度で基板上に堆積することができる場合、該基板は、最初の第1の距離から、処理チャンバマニホールドにより近い第2の距離に移動させることができる。
[0050]該誘電体層の堆積の完了時において、該前駆物質は、該チャンバ内への流入を停止することができる(ステップ316)。該基板が該処理チャンバから取り除かれる前に、追加的な処理ステップ(例えば、アニーリング、化学機械研磨等)がこの誘電体堆積の後に続いてもよい。
[0051]図3Bを参照すると、本発明の追加的な実施形態による、誘電体層を基板上に形成するステップを図示するフローチャートが示されている。該処理は、ステップ301において、基板を処理チャンバ内に供給することを含む。次いで、ステップ303において、該基板は、該誘電体層が形成される温度(例えば、約400℃またはそれ以上、約400℃〜約750℃、約400℃〜約600℃等)まで加熱される。該基板を加熱することは、約2.5またはそれ以下のウェットエッチング速度比(WERR)を有する、固体ではあるが、流動可能な誘電体層への前駆物質の化学気相堆積を容易にする。該基板が加熱されない場合、または、より低い温度(例えば、約200℃またはそれ以下)に加熱される場合には、堆積される誘電体は、通常、スピンオン液体整合性を有し、および後の加熱および/またはアニーリングを受けなければならず、このことは、堆積時間全体を増す可能性がある。
[0052]前駆物質は、ステップ305、ステップ306及びステップ307において、酸化ガス前駆物質、シリコン含有前駆物質及び水蒸気前駆物質を該処理チャンバに流すことによって、加熱された基板に供給することができる。該水蒸気及び他の前駆物質は、一緒に混合して、堆積の終了時にフローが停止される(ステップ311)まで、一定の流量で、単一の流路を介して該処理チャンバ内に流入させることができる。或いは、該シリコン含有前駆物質は、該酸化ガス前駆物質および/または水蒸気とは無関係の流路を介して流してもよく、該前駆物質の流量は、該堆積の間に独立して変化させてもよい。また、該前駆物質の供給のタイミングは、例えば、該シリコン含有前駆物質の前に、該酸化ガス前駆物質および/または水蒸気を導入することができるように、あるいは、3種類全ての前駆物質を同時に導入することができるように、変化させることができる。
[0053]基板上に形成された誘電体層は、ステップ313において、アニールすることができる。該アニールは、該処理チャンバ内で実行することができ、または、該基板を独立したアニーリングチャンバへ移送してもよい。次に、本発明の実施形態と共に用いることのできる例示的なアニール処理について説明する。
例示的な、堆積後のアニール処理
[0054]誘電体材料の形成に続いて、堆積後のアニールを必要に応じて実行することができる。該誘電体材料は、N、NO、NOまたはNH等の大気中でアニールすることができる。一実施形態において、該アニーリング処理は、基板を加熱することと、NOをチャンバまたは炉内に流すこととを含む。NOは、高温でシリコン酸化物と反応し、残っている脆弱なシームを強化する。アニールされた層は、実質的にシームがなく、CMP等のさらなる処理に適するものとなる。
[0055]アニーリングは、イン・シトゥーまたはエクス・シトゥーで行うことができる。例えば、該アニーリングは、該堆積の直後に、CVDチャンバ内で行うことができる。或いは、アニーリングは、マルチチャンバシステムの別のチャンバ内で、または、異なるチャンバシステム(例えば、炉)内で行うことができる。いくつかの実施形態において、アニーリングは、米国特許第5,660,472号明細書により詳しく記載されているような急速加熱処理(RTP)を備え、この明細書の開示全体は本明細書に組み込まれる。
[0056]アニーリング温度は、炉アニールの場合には約750℃〜約1000℃、RTPアニールの場合には約1200℃までとすることができる。アニール継続時間は、温度依存性であり、炉アニールの場合には約10分〜2時間近く、RTPの場合には約5秒〜3分近くとすることができる。その結果として、ほとんどの場合、該層は、SiOのリフロー温度を超えることなく、SiOネットワークを再構成することによってアニールされる。
[0057]他の実施形態においては、該アニール処理は、2004年8月4日に出願された“MULTI−STEP ANNEAL OF THIN FILMS FOR FILM DENSIFICATION AND IMPAROVED GAPFILL”というタイトルの、Nitin K.Ingle等による共同譲渡された米国仮特許出願第60/598,939号明細書に記載されているものと同様のマルチステップアニールを含むことができ、この明細書の内容全体は本明細書に組み込まれる。
実施例
[0058]これらの実施例においては、TEOSをシリコン含有前駆物質として、オゾンを酸化ガス前駆物質として、および水蒸気をヒドロキシル基含有前駆物質として用いて、シリコン酸化物(SiO)誘電体材料を基板トレンチ内に堆積した。この堆積は、熱CVD用に構成された処理チャンバ内で実行した。
[0059]シリコン酸化物ギャップ充填は、本発明の方法の実施形態に従って実行し、従来のギャップ充填法を用いた比較のための実施例も実行した。図5A、図5Bは、シリコン酸化物誘電体材料で充填されたトレンチの電子顕微鏡写真を示す。これらのトレンチは、約0.15μmの幅と、約6:1のアスペクト比(高さ/幅)を有していた。図5Aは、従来のギャップ充填法を用いてシリコン酸化物誘電体で充填されたトレンチの電子顕微鏡写真を示す。該従来の方法は、TEOSを、約5000mg/分(mgm)で流れるシリコン含有前駆物質として用いる540℃での熱CVD堆積を含む。この堆積中には、ヒドロキシル基含有前駆物質は導入されない。アニールは、この堆積の後に、窒素(N)雰囲気中で、1050℃で30分間、実行される。図5Aにおいて、充填されたトレンチの中間部のスポット、および該トレンチのエッジ周辺のぼやけたラインは、ボイド及び脆弱なシームの広範囲に及ぶ形成を示す。
[0060]対照的に、図5Bは、本発明の方法の実施形態による、シリコン酸化物誘電体で充填されたトレンチの電子顕微鏡写真を示す。堆積中に、2500mgmのHOをTEOSと共に導入した。他の堆積条件は、図5Aに関して上述した従来の堆積の場合と実質的に同じである。図5Bの顕微鏡写真は、図5Aのギャップ充填トレンチで見られたボイド及び脆弱なシームを示すスポット及びぼやけたトレンチエッジがない。
[0061]次に、図6A、図6Bを参照すると、シリコン酸化物誘電体材料で充填されたトレンチの電子顕微鏡写真の別のペアが示されている。図6A、図6Bと同様に、該トレンチは、約0.15μmの幅と、約6:1のアスペクト比(高さ/幅)とを有していた。図6Aは、従来のギャップ充填法を用いてシリコン酸化物誘電体材料で充填されたトレンチの電子顕微鏡写真を示す。該従来の方法は、TEOSを、約5000mg/分(mgm)で流れるシリコン含有前駆物質として用いた540℃での熱CVD堆積を含んでいた。図6Aの充填されたトレンチの中間における細長いスポットは、ボイドの広範囲に及ぶ形成を示す。
[0062] 対照的に、図6Bは、本発明の方法の実施形態による、シリコン酸化物誘電体で充填されたトレンチの電子顕微鏡写真を示す。堆積中には、10g/分のHOをTEOSと共に導入した。他の堆積条件は、図6Aに関して上述した従来の堆積の場合と実質的に同じである。図6Bの顕微鏡写真は、図6Aに見られる細長いスポットの痕跡は何ら見られない。
[0063]図7は、膜堆積中の水蒸気流量(g/分)の関数としてのシリコン酸化物膜のウェットエッチング速度(WERR)及び収縮率のグラフを示す。該グラフは、850℃及び1050℃での堆積の場合、水蒸気流量の増加に伴ってWERRが低下することを示している。また、該グラフは、850℃での堆積の場合、水蒸気流量が増加するにつれて、堆積後アニールが続くより小さな収縮率があることを示す。収縮率の低下は、特に、水分のない(すなわち、0gm/分HO)状態から、ある程度の水蒸気(すなわち、5gm/分HO)を含む堆積に進むときに顕著である。
[0064]上述したように、水蒸気の物理的特性(すなわち、相)は、形成された誘電体材料の品質に著しい影響を及ぼす可能性がある。該処理チャンバに供給される水蒸気が逓増的な液相成分(例えば、増加した液状エアロゾル濃度)を有する場合、堆積基板の表面で、粒子アダーの増加が観察される。この相関関係は、一定の処理チャンバ圧力(600トール)、温度(540℃)、シリコン前駆物質流量(2700mgmTEOS)および酸化物前駆物質流量(13.5slmO)で、逓増的に薄い(すなわち、より低い濃度)水蒸気を供給した状態で、酸化物堆積を実施することによって、実験的に実証された。
[0065]図8A〜図8Dは、それぞれ、0L、3L、10L及び15LのNで希釈した5Lの水蒸気で、酸化物堆積の後にウェーハ表面に堆積された粒子アダーの数を示す。表1は、粒子アダーの結果をまとめたものである。
[0066]このデータは、ウェーハ表面に堆積される粒子アダーの数が、処理チャンバに供給される水蒸気のN希釈の増加に伴って減少することを間違いなく示している。また、表1の最も右側の欄は、該処理チャンバ内の水蒸気の露点とどのような関係があるかを示している。一定の容積のガス混合物の露点は、ガス状の水蒸気が液相(すなわち、露)に凝結するのを始める場合に、一定の圧力において、該容積をその温度に冷却しなければならない温度である。表1に示すように、該処理チャンバ内の水蒸気の露点が低くなるにつれて、形成される粒子アダーの数は少なくなる。
[0067]該チャンバ内の水蒸気の露点の低下と、粒子アダー数の減少との相関関係は、該チャンバ内の水蒸気の液相の水成分が、粒子アダー形成において重要な役割を果たすことを示唆している。特定の理論に制約されることを望むものではないが、水の液状エアロゾル液滴が、最終的に粒子アダーに成長するシリコン活性種の反応のための核を提供すると考えられる。従って、該処理チャンバ内に存在するエアロゾル粒子が多くなればなるほど、ウェーハ基板上に堆積する粒子も多くなる。
[0068]別の実験セットは、水蒸気を生成する方法が、ウェーハ表面に堆積される粒子アダーの数に影響を与える可能性があることを実証している。図9A及び図9Bは、異なる水蒸気生成方法を用いて基板ウェーハの表面に堆積された粒子アダーの数を示す。どちらの堆積も、一定の処理チャンバ圧力(600トール)、温度(540℃)、シリコン前駆物質流量(2700mgmTEOS)、酸化物前駆物質流量(13.5slmO)及び一定量の供給水蒸気(5L)でのシリコン酸化物堆積である。これらの堆積の違いは、図9Aに輪郭が描かれているウェーハ表面は、液体水源を蒸発させて、水蒸気とキャリアガスを混合することによって、水蒸気を発生させる従来の液体気化(直接液体注入)法を用いており、一方、図9Bのウェーハ表面は、触媒的水蒸気生成法を用いているということである。
[0069]図9Aは、シリコン酸化物誘電体堆積に対して、直接液体注入法を用いて、液体水源を蒸発させ、水蒸気を生成した場合に、510個の粒子アダーがウェーハ基板上に堆積したことを示す。対照的に、図9Bは、該処理が、水蒸気を生成して該処理チャンバに供給する触媒的水蒸気生成法を用いた場合の、該表面に堆積された、ほぼ一桁少ない(53アダー)粒子アダーを示す。この粒子アダーの数の差は、水蒸気を生成して供給する方法の選択が、該ウェーハ上に堆積される粒子アダーの数に重大な影響を与えることを明確に示している。その結果、水蒸気生成法の選択は、水蒸気を堆積前駆物質として組込むシリコン酸化物堆積システム及び方法の実用化に重大な影響を与える可能性がある。
例示的な半導体構造
[0070]図10は、本発明による処理の実施形態を利用して形成した酸化物充填トレンチの単純化した断面図を示す。具体的には、該堆積処理中のシリコン含有前駆物質と酸化ガス前駆物質の時変流量比は、周囲のシリコン側壁近くに極めてコンフォーマルな部分800aを含むが、トレンチ802の全容積を充填し、かつ適当な期間で、上を覆うバルク層804を作り出す、それ程コンフォーマルではないボディ部800bも含む酸化物層800の形成を結果的にもたらす。図10の酸化物充填トレンチ802は、前述した従来の酸化物CVD処理を利用して形成された同様の部材に付随するボイドまたは脆弱なシームを含んでいない。
[0071]図10に示されているもののようなトレンチは、図11に示されている構造のような浅いトレンチアイソレーション構造に用いることができ、この図は、本発明の実施形態による集積回路200の単純化した断面を図示する。図11に示すように、集積回路200は、酸化物充填トレンチアイソレーション構造220によって互いに分離され、かつ絶縁されているNMOSトランジスタ203及びPMOSトランジスタ206を含む。或いは、フィールド酸化膜アイソレーションを、デバイスを分離するのに用いてもよく、または、アイソレーション技術の組合せを用いてもよい。トランジスタ203及び206の各々は、源領域212と、ゲート領域215と、ドレイン領域218とを備える。
[0072]プリメタル誘電体(PMD)層221は、メタル層240とトランジスタ203及び206との間の接続部をコンタクト224によって形成した状態で、トランジスタ203及び206をメタル層240から分離する。プリメタル誘電体層221は、単一の層または多数の層を備えることができる。メタル層240は、集積回路200に含まれている4つのメタル層240、242、244及び246のうちの1つである。各メタル層は、インターメタル誘電体層227、228及び229によって、隣接するメタル層から分離されている。隣接するメタル層は、選択された開口でビア226によって接続されている。平坦化されたパッシベーション層230は、メタル層246を覆って堆積されている。
[0073]本発明によるシリコン酸化物層は、集積回路200に示されている1つ以上の誘電体層を形成するのに用いることができる。例えば、本発明に従って堆積されたシリコン酸化物層は、トレンチアイソレーション構造220を作り出すのに用いることができる。また、本発明に従って堆積されたシリコン酸化物層は、PMD層221、または上を覆う相互接続構造のより高層のインターメタル誘電体層227〜229を作り出すのにも用いることができる。
[0074]本発明によるシリコン酸化物層は、一部の集積回路に含まれているダマシン層に用いることもできる。ダマシン層においては、ブランケット層が、基板を覆って堆積され、該基板を貫通して選択的にエッチングされ、その後、金属で充填されてエッチバックされ、または、研磨されてメタルコンタクト224が形成される。メタル層が堆積された後、第2のブランケットの堆積が実行されて、選択的にエッチングされる。その後、エッチングされた領域は、金属で充填されて、エッチバックされ、または、研磨されてビア226が形成される。
[0075]単純化した集積回路200は、単に説明のためのものであることを理解すべきである。当業者は、マイクロプロセッサ、特定用途向け集積回路(ASIC)、メモリデバイス等の他の集積回路の製造のために本方法を実施することができる。
例示的な堆積システム
[0076]図12A本発明の実施形態による誘電体層を堆積するシステム500の単純化した図を示す。このシステム500は、誘電体層の堆積中に、気相水蒸気を処理チャンバ504に供給する触媒的水蒸気生成ユニット502を含む。WVGユニット502は、水蒸気に触媒的に変換される水素ガス(H)及び酸素ガス(O)を蓄え、かつ供給するガスパネル506を含む。ガスパネル506は、WVGユニット502へのキャリアガス(例えば、H、He等)を蓄え、かつ送給することもできる。図示した実施形態において、ガスパネル506からのガスは、2つの別々のラインによってWVGユニット502へ供給される。第1のラインは、水素ガスと酸素ガスの混合物を該ユニットへ供給し、第2のラインは、キャリアガス(例えば、N)を該ユニットへ供給する。また、該実施形態は、該第2のライン内で、酸素ガスおよび/または水素ガスを該キャリアガスと混合すること(例えば、NとOのガス混合物)を含んでもよい。
[0077]水素及び酸素のガス混合物は、粒子フィルタ508を通して、該ガス流中の粒子を除去することができる。その後、該ガス混合物は、水素及び酸素を水蒸気に触媒的に変換する材料を含む触媒リアクタ510に導入することができる。図12Aに示す実施形態において、リアクタ触媒510は、プラチナを触媒材料として含む。触媒リアクタ510は、分子の水素及び酸素が触媒的に解離してガス状水蒸気に再結合する温度(例えば、約100℃〜約400℃、すなわち、約350℃等)まで加熱される。
[0078]触媒リアクタ510からの触媒的に生成された水蒸気は、別のガスラインからWVGユニット502へ供給されたN等のキャリアガスと結合することができる。代替的な実施形態(図示せず)においては、キャリアガスは、分子の水素及び酸素ガスと予め混合して、単一の混合物として触媒リアクタ510に供給することができる。別の代替的実施形態(図示せず)においては、いくつかのキャリアガスを水素及び酸素ガスと共に該触媒リアクタに供給することができると共に、追加的な(および/または別の)キャリアガスを、該リアクタからの触媒的に生成された水蒸気に加えることができる。
[0079]触媒水蒸気及びキャリアガスの温度および/または相対的量は、該混合物中の水蒸気の濃度を測定するセンサ512によってモニタすることができる。センサ512は、該混合物の1つ以上の他の成分の濃度(例えば、キャリアガス濃度)を測定することもできる。センサ512によって測定された水蒸気の濃度データは、該水蒸気および/またはキャリアガスのフローを調節して、WVGユニット502から出る水蒸気を予め設定したレベルに維持するのに用いることができる。
[0080]該温度データは、ユニット502から出る水蒸気の温度を調節するのに用いることができる。図12Aに示す実施形態において、触媒リアクタ510内で形成される水蒸気は、該リアクタの残りの部分とほぼ同じ温度(例えば、約350℃)を有することができる。そして、リアクタ510から放出された水蒸気は、低温(例えば、約140℃)でキャリアガスと結合することができ、このことは、該水蒸気の温度を同じレベルまで低下させることができる。該水蒸気/キャリアガスの混合物は、WVGユニット502を出て処理チャンバ504へ行く前に、フィルタ514によって粒子を除去するようにフィルタリングすることができる。触媒的に生成された水蒸気と混合されたキャリアガスのストリーム中に酸素および/または水素ガスが含まれている場合には、処理チャンバ504に供給される水蒸気混合物は、これらの前駆物質(例えば、水蒸気、窒素ガス(N2)及び酸素ガス(O)混合物)を含んでもよい。
[0081]WVGユニット502によって生成された水蒸気前駆物質は、図12Aに示すように、処理チャンバ504へ直接供給することができる。追加的な流体ラインは、他の前駆物質をチャンバ504へ供給してもよい。例えば、シリコン前駆物質516を、別のライン518によって該チャンバに供給することができ、また、キャリアガス(例えば、N、He)で希釈することができる。図示した実施形態においては、シリコン前駆物質ライン518は、処理チャンバ504及び1つ以上のガスライン(例えば、シリコン前駆物質ライン518)を空にする真空ポンプ522にも結合されている迂回ライン520にも結合することができる。
[0082]酸素前駆物質524(例えば、O、O、NO等)の供給は、酸素供給ライン526を介して処理チャンバ504に供給することができる。加えて、HDP誘電体堆積の場合のアルゴン等、およびフッ素ドーピング及びチャンバ洗浄処理の場合のフッ素前駆物質(例えば、NF、フッ化炭素等)等の他のガスの供給も、該処理チャンバに結合することができる。
[0083]触媒的に生成された水蒸気及び他の前駆物質は、処理チャンバ504へ供給され、そこで誘電体膜が基板上に堆積される。本発明の実施形態は、熱CVDおよび/またはプラズマCVD法を含む様々な誘電体層堆積処理及びシステムを含む。プラズマ堆積は、高密度プラズマCVD、プラズマCVDおよび/または該誘電体層を形成するためにCVDと共に用いられる遠隔生成されたプラズマのために備えられた処理及び処理チャンバを含むことができる。
[0084]図12Bは、CVDに用いることのできる処理チャンバ及び他のシステム構成要素に関する追加的な詳細を伴う本発明の実施形態によるシステム10の単純化した図を示す。このシステム10は、熱CVD、プラズマCVD及び準大気圧CVD処理ならびに、リフロー、ドライブ・イン、洗浄、エッチング及びゲッタリング処理等の他の処理を実行するように構成することができる。また、マルチステップ処理を、該チャンバから基板を取り除くことなく、単一の基板またはウェーハに対して実行することもできる。該システムの主な構成要素は、特に、ガス送給システム89から処理ガス及び他のガスを受け容れる真空チャンバ15と、真空装置88と、リモートマイクロ波プラズマシステム55と、制御システム53とを含む。本発明を理解するために、これら及び他の構成要素を以下で説明する。
[0085]CVD装置10は、ガス反応領域16を具備する真空チャンバ15を収容するエンクロージャアセンブリ102を含む。ガス分配プレート20は、反応ガス及びパージガス等の他のガスを、ガス分配プレート20の穿孔ホールを介して、(ウェーハ支持ペデスタルとも呼ばれる)垂直方向に移動可能なヒータ25の上に載っているウェーハ(図示せず)に対して分散するために、ガス反応領域16の上に設けられている。ヒータ25は、例えば、ウェーハを載置または取り出すことのできる下方位置と、点線で示すガス分配プレート20に近接する処理位置との間で、または、エッチングまたは洗浄処理等の他の目的のための他の位置に対して、制御可能に移動することができる。センターボード(図示せず)は、該ウェーハの位置に関する情報を提供するセンサを含む。
[0086]ヒータ25は、セラミックで囲まれた電気抵抗加熱素子(図示せず)を含む。該セラミックは、該加熱素子を、潜在的に腐食性のチャンバ環境から保護し、該ヒータが約800℃までの温度に達することを可能にする。例示的な実施形態においては、真空チャンバ15に対して露出されるヒータ25の全ての面は、酸化アルミニウム(Alまたはアルミナ)または窒化アルミニウム等のセラミック材料で形成される。
[0087]堆積前駆物質及びキャリアガスは、ガス送給システム89から供給ライン43を介して(ガス混合ブロックとも呼ばれる)ガス混合ボックス273へ供給され、そこで該前駆物質及びキャリアガスは、好ましくは、一緒に混合されて、ガス分配プレート20へ送給される。例えば、シラン、ジメチルシラン、トリメチルシラン、テトラメチルシラン、ジエチルシラン、テトラメチルオルソシリケート(TMOS)、テトラエチルオルソシリケート(TEOS)、オクタメチルテトラシロキサン(OMTS)、オクタメチルシクロテトラシロキサン(OMCTS)、テトラメチルシクロテトラシロキサン(TOMCATS)、またはこれらの混合物等のシリコン含有前駆物質は、酸素(O)、オゾン(O)、NO、NOまたはこれらの混合物等の酸化物ガス、および水蒸気と共に、供給ライン43へ供給することができる。
[0088]ガス送給システム89は、供給ライン43を介して水蒸気を供給する触媒水蒸気生成ユニット(図示せず)を含むことができる。図12Aに示すように、該WVGユニットは、水素及び酸素ガス用の入口ラインを含むことができ、また、キャリアガス(例えば、N、He、これらの混合物等)用の入口ラインも含むことができる。該WVGユニットの出力は、キャリアガス中で希釈して、供給ライン43及びガス混合ブロック273へ供給することができる、液相成分がほとんどないか、または全くない触媒的に生成された水蒸気(例えば、エアロゾル液滴)である。
[0089]ガス混合ボックス273は、好ましくは、処理ガス供給ライン43及び洗浄/エッチングガス導管47に結合されたデュアル入力混合ブロックである。バルブ280は、ガス導管47からガス混合ブロック273へシールガスまたはプラズマを出し入れするように作動する。ガス導管47は、一体型リモートマイクロ波プラズマシステム55からガスを受け容れ、該プラズマシステムは、入力ガスを受け容れる入口57を有する。堆積処理中に、プレート20に供給されるガスは、(矢印21で示すように)ウェーハ表面に向かって吐出され、この場合、該ガスは、典型的には、層流で該ウェーハ表面全域の半径方向に一様に分配することができる。
[0090]パージングガスは、プレート20からおよび/またはエンクロージャアセンブリ102の底部壁を介して入口ポートまたは管(図示せず)から真空チャンバ15内に送給することができる。該パージングガスは、該入口ポートからヒータ25を通って上方へ、および環状ポンピング流路40へ流れる。その後、排気システムが(矢印22で示すように)該ガスを環状ポンピング流路40内へ、および排気ライン60を介して真空装置88へ排出し、該真空装置は真空ポンプ(図示せず)を含む。排気ガス及び取り込まれた粒子は、スロットルバルブシステム63によって制御される速度で、環状ポンピング流路40から排気ライン60を通って引っ張られる。
[0091]他の実施形態(図示せず)においては、シリコン含有前駆物質及びヒドロキシル基含有前駆物質が、基板に到達する前に時期尚早に反応するのを防ぐために、独立した供給ラインを通ってガス分配プレートへ移動することができる。デュアル流路供給ライン及びシャワーヘッドのデザインの実施例は、2001年5月7日に出願された“METHODS OF FORMING GAP FILL AND LAYERS FORMED THEREBY”というタイトルの共同譲渡された米国特許第6,624,091号明細書に記載されており、この明細書の内容全体は本明細書に組み込まれる。
[0092]リモートマイクロ波プラズマシステム55は、チャンバ洗浄またはエッチング自然酸化物あるいは処理ェーハからの残留物等の選択された用途のためのプラズマを発生させることができる。入力ライン57を介して供給された前駆物質から、リモートプラズマシステム55内で発生されたプラズマ活性種は、分散のために導管47を介してプレート20を通って真空チャンバ15へ送られる。洗浄用途のための前駆物質ガスは、フッ素、塩素及び他の反応要素を含むことができる。また、リモートマイクロ波プラズマシステム55は、リモートマイクロ波プラズマシステム55で用いる適切な堆積前駆物質ガスを選択することにより、プラズマCVD膜を堆積するように適応させることができる。
[0093]システムコントローラ53は、該堆積システムのアクティビティ及び作動パラメータを制御する。プロセッサ50は、プロセッサ50に結合されたメモリ70に格納されたコンピュータプログラム等のシステム制御ソフトウェアを実行する。好ましくは、メモリ70は、ハードディスクドライブとすることができるが、当然、メモリ70は、読出し専用メモリまたはフラッシュメモリ等の他の種類のメモリであってもよい。ハードディスクドライブ(例えば、メモリ70)に加えて、好適な実施形態におけるCVD装置10は、フロッピーディスク及びカードラック(図示せず)を含む。
[0094]プロセッサ50は、該システム制御ソフトウェアに従って作動し、該ソフトウェアは、タイミング、ガスの混合物、チャンバ圧力、チャンバ温度、マイクロ波出力レベル、サセプタ位置及び具体的な処理の他のパラメータを指示する一連の命令を含む。また、例えば、ディスクドライブまたは他の適切なドライブに挿入されるフロッピーディスクまたは別のコンピュータプログラムプロダクトを含む他のメモリに格納されたプログラム等の他のコンピュータプログラムも、プロセッサ50を作動させて、CVDシステム10を様々な装置に構成するのに用いることができる。
[0095]プロセッサ50は、シングルボードコンピュータ、アナログ及びディジタル入力/出力ボード、インタフェースボード及びステッピングモータコントローラボードを含有できるカードラック(図示せず)を有する。CVDシステム10の様々な部分は、ボード、カードケージ及びコネクタの寸法及びタイプを画成するVME(Versa Modular European)規格に準拠している。VME規格は、16ビットのデータバス及び24ビットのアドレスバスを有するバス構造も画成している。
[0096]図12Cは、CVD装置のチャンバ30に関連するユーザインタフェースの単純化した図である。CVD装置10は、マルチチャンバシステムの1つのチャンバを含む。ウェーハは、追加的な処理のために、1つのチャンバから別のチャンバへ移送することができる。ある場合においては、該ウェーハは、真空または選択されたガスの下で移送される。ユーザと該プロセッサとの間のインタフェースは、CRTモニタ73a及びライトペン73bを介してとられる。メインフレームユニット75は、CVD装置10のための電気的、配管及び他のサポート機能を提供する。該CVD装置の事例的実施形態に適合する例示的なメインフレームユニットは、現在、Santa Clara,CaliforniaのAPPLIED MATERIALS,INC.から入手可能なPRECISION 5000(商標)、CENTURA 5200(商標)及びPRODUCER SE(商標)システムである。
[0097]好適な実施形態においては、2つのモニタ73aが用いられ、一方のモニタは、オペレータ用のためにクリーンルーム壁71に取付けられており、他方のモニタは、サービス技術者用のために壁72の背後に設けられている。両モニタ73aは、同じ情報を同時に表示するが、一方のライトペン73bのみが使用可能になっている。ライトペン73bは、該ペンの先端の光センサによって、該CRTディスプレイにより放出される光を検知する。特定のスクリーンまたは機能を選択するために、オペレータは、該ディスプレイスクリーンの指定領域に触れて、ペン73b上のボタンを押す。触れられた領域は、そのハイライト色を変化させ、または、新たなメニューまたはスクリーンが表示され、該ライトペンとディスプレイスクリーンとの間の通信を確認する。当然、キーボード、マウス、または他のポインティングまたは通信デバイス等の他のデバイスも、ユーザが該プロセッサと通信できるように、ライトペン73bの代わりに、または該ライトペンに加えて用いることができる。
[0098]図12Dは、別の場所(例えば、クリーンルーム)において、前駆物質をCVD装置10へ供給することのできるガス供給パネル80の実施形態の一般的な外観を図示したものである。上述したように、CVDシステム10は、ヒータ25を有するチャンバ15と、入口管43及び導管47からの入力を伴うガス混合ボックス273と、入力ライン57を具備するリモートマイクロ波プラズマシステム55とを含む。上述したように、ガス混合ボックス273は、堆積ガス及び洗浄ガスまたは他のガスを混合して、入口管43を介して処理チャンバ15へ注入するためのものである。
[0099]リモートマイクロ波プラズマシステム55は、導管47がチャンバ15と平行に、チャンバ15の上に配置されたゲートバルブ280及びガス混合ボックス273に向かって行く状態で、チャンバ15の下に一体的に配置及び取付けられている。マイクロ波発生器110、オゾン発生器115及び触媒水蒸気生成ユニット117は、該クリーンルーム内の該処理チャンバから離れたところに配置することができる。ガス供給パネル80からの供給ライン83及び85は、前駆物質(例えば、反応ガス)をガス供給ライン43に供給する。ガス供給パネル80は、選択された用途のための前駆物質を提供するガスまたは液体源90からのラインを含む。ガス供給パネル80は、ガス混合ボックス273への流入の前に、選択されたガスを混合する混合システム93を有する。いくつかの実施形態において、ガス混合システム93は、テトラメチルオルソシリケート(“TMOS”)、テトラエチルオルソシリケート(“TEOS”)、オクタメチルテトラシロキサン(OMTS)、オクタメチルシクロテトラシロキサン(OMCTS)、テトラメチルシクロテトラシロキサン(TOMCATS)等のシリコン含有前駆物質、キャリアガス中で希釈することができる触媒的に生成された水蒸気、過酸化水素、およびトリエチルホウ酸塩(“TEB”)、トリエチルフォスフェイト( “TEPO”)及びジボラン(B)等のドーパントを含む反応物質の液体を気化する液体注入システムを含む。該液体からの蒸気は、通常、ヘリウム等のキャリアガスと結合される。該処理ガスのための供給ラインは、(i)ライン85からライン57への処理ガスの流量を自動的にまたは手動で遮断するのに用いることができる遮断弁95と、(ii)これらの供給ラインを通るガスまたは液体の流量を測定する液体流量計(LFM)100または他の種類のコントローラとを含むことができる。
[0100]一例として、TEOSをシリコン源として含む混合物は、シリコン酸化物膜を形成する堆積処理において、ガス混合システム93と共に用いることができる。TEPOは、従来のボイラー型またはバブラー型のホットボックスによって気化することができる液体源である。しかし、該ガス混合システムに導入される反応物質液の容積のより良好な制御を実行する場合には、液体注入システムが好適である。該液体は、典型的には、加熱ガス送給ライン85へ送給されて該ガス混合ブロック及びチャンバへ送給される前に、微細な噴霧またはミストとしてキャリアガスに注入される。酸素(O)、オゾン(O)、NOまたはNO等の1つ以上の源は、別のガス送給ライン83を通って該チャンバへ流れ、該チャンバ近くまたはチャンバ内で、加熱ガス送給ライン85からの反応物質ガスと結合される。当然、ドーパント、シリコン及び酸素の他の源も用いることができることは明確に理解されよう。
[0101]図12Eは、本発明の実施形態による、酸化物層を堆積するCVD堆積装置の単純化した概略図である。該装置は、シリコン酸化物膜を堆積するのに用いることができるが、ボロンリンシリケートガラス(“BPSG”)、リンシリケートガラス(“PSG”)、ボロンシリケートガラス(“BSG”)、“AsSG”(arsentic−silicon glass)または同様の膜等の単層または多層ドープシリコンガラス膜にも有益に適用することができる。
[0102]CVD堆積装置400は、酸化ガス源416と、ガス混合ボックス273を介して真空チャンバ15と流体的に連通している、触媒的に生成された水蒸気を供給する触媒水蒸気生成ユニット417とを備える。酸化ガス源416は、他の酸化ガスの中でも特に、酸素(O)、オゾン(O)、NO、NOおよびこれらのガスの混合物を含有することができる。該触媒水蒸気生成ユニットは、水蒸気に触媒的に変換される水素及び酸素ガスの源、ならびにH、Oおよび触媒的に生成された水蒸気を希釈し、冷却しおよび/またはユニット417との間で運ぶキャリアガス(例えば、N、He、これらの混合物等)に結合することができる。
[0103]キャリアガス源410、シリコン含有ガス源411、第1のドーパントガス(例えば、TEPO)源412及び第2のドーパントガス(例えば、TEB)源413は、選択バルブ414、ガス混合システム93及びガス混合ボックス273を介して真空チャンバ15と流体的に連通している。選択バルブ414は、TEOS蒸気等のシリコン含有ガス及びドーパント含有ガスを迂回ライン402を介して、チャンバ排気システム88のフォアライン408へ分流するように選択的に作動し、それによって、真空チャンバ15を完全に迂回する。選択バルブ414及び迂回ライン402は、シリコン含有ガス流が真空チャンバへ送られて、本発明の実施形態による酸化物CVDステップを始める前に、該フローを安定させることを可能にする。
[0104]上述したように、本発明のシステム及び方法は、プラズマをベースとするCVDシステムに対して実施することもできる。例えば、本発明は、2002年8月27日に出願された“PLASMA PROCESSES FOR DEPOSITING LOW DIELECTRIC CONSTANT FILMS”というタイトルの同一出願人による米国特許第6,734,155号明細書に記載されているのと同様のプラズマシステム、および2001年5月11日に出願された“HDP−CVD DEPOSITION PROCESSES FOR FILLING HIGH ASPECT RATIO GAPS”というタイトルの同一出願人による米国特許第6,740,601号明細書に記載されているのと同様のHDP−CVDシステムと共に用いることができ、これらの両特許の内容全体が本明細書に組み込まれる。
[0105]上記のことは、本発明の具体的な実施形態の完全な説明であるが、各種変更、変化、代替を用いてもよい。或いは、本発明の実施形態による処理レシピの代替的実施形態は、最初の流量迂回段階を要することなく、処理ガスを該チャンバに直接導入できるように、十分に高い初期濃度で、該処理ガス流のシリコン含有成分を流すことを要求することもできる。
[0106]また、酸化物層の堆積のパラメータを変化させる他の方法を、これまでに説明した処理ガス流成分の濃度の変化と共に利用することができる。変化される他の可能なパラメータの実施例は、限定するものではないが、堆積の温度、堆積の圧力、およびヒ素(As)、ホウ素(B)及びリン(P)等の処理ガス含有ドーパントの流量を含む。
[0107]数値の範囲が与えられている場合には、文脈がはっきりと他を指示していない限り、当該範囲の上限と下限との間の、下限の単位の十分の一までの各介在値も具体的に開示されていることを理解されたい。提示された範囲における提示された値または介在値と、該提示された範囲における他の提示された値または介在値との間のより小さな範囲は、本発明に包含される。それらのより小さな範囲の上限及び下限は、該範囲に独立して含め、または除外してもよく、また、どちらかのまたはどちらの限界も該より小さな範囲に含まれる、またはどちらの限界も該より小さな範囲に含まれない各範囲も、提示された範囲における具体的に除外された限界を条件として、本発明に包含される。提示された範囲が、それらの限界の一方または両方を含む場合、それらの限界の一方または両方を除外する範囲も本発明に含まれる。
[0108]単数形「1つの」、「及び」および「該」は、本明細書及び添付請求項において用いる場合、その文脈がはっきりと他を指示していない限り、複数の指示対象を含む。従って、例えば、「1つの処理」への言及は、複数のそのような処理を含み、また、「該前駆物質」への言及は、1つ以上の前駆物質および当業者に知られている該前駆物質の等価物への言及を含む。
[0109]また、「備える」、「含む」という言葉は、この明細書及び請求項において用いる場合、提示した特徴、整数値、構成要素またはステップの存在を指定することが意図されているが、1つ以上の他の特徴、整数値、構成要素、ステップまたは群の存在または追加を排除するものではない。
ボイドを含む誘電体材料で充填されたトレンチを示す。 脆弱なシームを含む誘電体材料で充填されたトレンチを示す。 化学機械研磨の後の、図2Aの従来の酸化物が充填されたトレンチを示す。 本発明の実施形態による、基板上に誘電体層を形成する処理に含めることができるステップを図示するフローチャートである。 本発明の実施形態による、基板上に誘電体層を形成する処理に含めることができるステップを図示するフローチャートである。 本発明の実施形態による、シリコン含有成分の経時的な相対濃度を描いた単純なグラフである。 本発明の実施形態による、シリコン含有成分の経時的な相対濃度を描いた単純なグラフである。 ギャップ充填トレンチの一連の比較電子顕微鏡写真である。 ギャップ充填トレンチの一連の比較電子顕微鏡写真である。 ギャップ充填トレンチの一連の別の比較電子顕微鏡写真である。 ギャップ充填トレンチの一連の別の比較電子顕微鏡写真である。 誘電体膜の堆積中の誘電体膜特性と水蒸気の流量のグラフである。 様々な水希釈レベルにおける、酸化物堆積に付随する、ウェーハ表面に堆積された粒子アダーを示す。 様々な水希釈レベルにおける、酸化物堆積に付随する、ウェーハ表面に堆積された粒子アダーを示す。 様々な水希釈レベルにおける、酸化物堆積に付随する、ウェーハ表面に堆積された粒子アダーを示す。 様々な水希釈レベルにおける、酸化物堆積に付随する、ウェーハ表面に堆積された粒子アダーを示す。 異なる水蒸気生成方法を用いて基板ウェーハの表面に堆積された粒子アダーを示す。 異なる水蒸気生成方法を用いて基板ウェーハの表面に堆積された粒子アダーを示す。 本発明の実施形態による、酸化物を充填したトレンチの単純化した断面図を示す。 本発明による集積回路の一部の単純化した断面である。 本発明の実施形態による、誘電体層を堆積するシステムの単純化した概略図である。 本発明によるCVD装置の単純化した描写である。 マルチチャンバシステムにおける堆積チャンバに関連するCVDシステム用のユーザインタフェースの単純化した描写である。 堆積チャンバに関連するガスパネル及び供給ラインの単純化した図である。 本発明の実施形態による別のガス流システムの概略図を示す。

Claims (20)

  1. 基板上に形成されたトレンチ内に誘電体材料を形成する化学気相堆積法であって、
    水素ガス及び酸素ガスを水蒸気生成触媒と接触させることにより水蒸気を生成して、前記水蒸気を処理チャンバに提供するステップと、
    シリコン含有前駆物質を、前記基板を収容する処理チャンバ内に流すステップと、
    酸化ガスを前記チャンバ内に流すステップと、
    前記シリコン含有前駆物質、酸化ガス及び水蒸気の間の反応を起こし、前記トレンチ内に前記誘電体材料を形成させるステップと、
    時間の経過と共に、前記チャンバ内に流される前記シリコン含有前駆物質と酸化ガスの比を増加させて、前記誘電体材料の堆積速度を変更するステップと、
    を備える化学気相堆積法。
  2. 前記水蒸気生成触媒がプラチナを備える、請求項1に記載の化学気相堆積法。
  3. 前記方法が、前記水蒸気を前記処理チャンバへ供給する前に、前記水蒸気をキャリアガスで希釈するステップを備える、請求項1に記載の化学気相堆積法。
  4. 前記水蒸気が、前記処理チャンバへ供給される前に、キャリアガス中で、約250トール分圧以下の濃度に希釈される、請求項3に記載の化学気相堆積法。
  5. 前記水蒸気が、キャリアガス内で、約5000〜15000sccmの流量で前記処理チャンバに提供される、請求項3に記載の化学気相堆積法。
  6. 前記キャリアガスが不活性ガスを備える、請求項3に記載の化学気相堆積法。
  7. 前記キャリアガスが窒素ガスを備える、請求項6に記載の化学気相堆積法。
  8. 前記方法が、前記水素ガス及び酸素ガスの温度を、約50℃〜約500℃の範囲に調節するステップを備える、請求項1に記載の化学気相堆積法。
  9. 前記方法が、前記水蒸気の温度を、約100℃〜約200℃の範囲に調節するステップを備える、請求項1に記載の化学気相堆積法。
  10. 前記方法が、時間の経過と共に、前記チャンバ内に流される前記シリコン含有前駆物質と水蒸気の比を増加させるステップを備える、請求項1に記載の化学気相堆積法。
  11. 前記方法がさらに、過酸化水素を前記処理チャンバに提供するステップを備える、請求項1に記載の化学気相堆積法。
  12. 前記シリコン含有前駆物質が、シラン、ジメチルシラン、トリメチルシラン、テトラメチルシラン、ジエチルシラン、テトラメチルオルソシリケート(TMOS)、テトラエチルオルソシリケート(TEOS)、オクタメチルテトラシロキサン(OMTS)、オクタメチルシクロテトラシロキサン(OMCTS)、テトラメチルシクロテトラシロキサン(TOMCATS)またはこれらの混合物を備える、請求項1に記載の化学気相堆積法。
  13. 前記酸化ガスが、O、O、NO、NOまたはこれらの混合物を備える、請求項1に記載の化学気相堆積法。
  14. 前記方法が、ドーパント前駆物質を前記チャンバに供給するステップを備える、請求項1に記載の化学気相堆積法。
  15. 基板上に誘電体層を形成する化学気相堆積法であって、
    水素ガス及び酸素ガスを水蒸気生成触媒に接触させることによって水蒸気を生成し、前記水蒸気を、前記基板を収容するチャンバに提供するステップと、
    シリコン含有前駆物質、酸化処理ガス及び前記水蒸気を前記チャンバに提供するステップであって、前記シリコン含有前駆物質、酸化処理ガス及び水蒸気が、前記基板上に第1の誘電体層を形成するように反応するステップと、
    時間の経過と共に、前記チャンバ内に流される前記シリコン含有前駆物質と酸化処理ガスの比を変えて、前記第1の誘電体層の堆積速度を変更するステップと、
    前記チャンバ内への前記水蒸気の流入を中断して、前記第1の誘電体層上に第2の誘電体層を形成するステップであって、前記第2の誘電体層が前記水蒸気を有することなく形成されるステップと、
    を備える化学気相堆積法。
  16. 前記シリコン含有前駆物質と酸化処理ガスの比を変えるステップが、前記酸化処理ガスの流量に対して、前記シリコン含有前駆物質の流量を増加させる工程を備える、請求項20に記載の化学気相堆積法。
  17. 処理チャンバ内で基板を支持するように構成された基板支持体と、
    シリコン含有前駆物質、酸化処理ガス及び水蒸気を入れて、これらの前駆物質、処理ガス及び水蒸気を前記処理チャンバに送給するように構成されたガス送給システムと、
    前記水蒸気を前記ガス送給システムに提供する水蒸気生成器であって、前記生成器が、水素ガスと酸素ガスの混合物から前記水蒸気を発生させる触媒を備える水蒸気生成器と、
    前記ガス送給システム及び基板支持体を制御するように構成されたコントローラであって、前記コントローラが、前記シリコン含有前駆物質、水蒸気及び酸化処理ガスを前記処理チャンバ内に導入して、前記基板上に誘電体層を形成し、および前記誘電体層の堆積中の、前記ガス送給システムに対する前記基板支持体の位置を変更するコントローラと、
    を備える基板処理装置。
  18. 前記コントローラが、前記シリコン含有前駆物質ガスが前記チャンバ内に連続的に流入される際に、前記基板上の誘電体層の堆積中に、時間の経過と共に、前記シリコン含有前駆物質の前記酸化処理ガスに対する濃度を変える、請求項23に記載の基板処理装置。
  19. 前記コントローラが、前記誘電体層のための堆積速度を増加させるために、前記誘電体層の堆積中に、前記基板支持体を前記ガス送給システムの近くまで移動させる、請求項23に記載の基板処理装置。
  20. 前記触媒がプラチナを備える、請求項23に記載の基板処理装置。
JP2008068272A 2007-03-15 2008-03-17 誘電体材料を含有するシリコンの形成過程における改良されたギャップ充填堆積 Withdrawn JP2008227511A (ja)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/686,863 US20070212850A1 (en) 2002-09-19 2007-03-15 Gap-fill depositions in the formation of silicon containing dielectric materials

Publications (1)

Publication Number Publication Date
JP2008227511A true JP2008227511A (ja) 2008-09-25

Family

ID=39708751

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2008068272A Withdrawn JP2008227511A (ja) 2007-03-15 2008-03-17 誘電体材料を含有するシリコンの形成過程における改良されたギャップ充填堆積

Country Status (7)

Country Link
US (1) US20070212850A1 (ja)
EP (1) EP1980646A1 (ja)
JP (1) JP2008227511A (ja)
KR (1) KR20080084593A (ja)
CN (1) CN101304001A (ja)
SG (1) SG146567A1 (ja)
TW (1) TW200845147A (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012513117A (ja) * 2008-12-18 2012-06-07 アプライド マテリアルズ インコーポレイテッド 低温ギャップフィル改善のための酸化シリコンcvdへの前駆体添加
JP2013513235A (ja) * 2009-12-02 2013-04-18 アプライド マテリアルズ インコーポレイテッド 非炭素ラジカル成分cvd膜向けの酸素ドーピング

Families Citing this family (46)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090305515A1 (en) * 2008-06-06 2009-12-10 Dustin Ho Method and apparatus for uv curing with water vapor
DE102008050941A1 (de) * 2008-10-10 2010-04-22 Behr Gmbh & Co. Kg CVD-Beschichtungsverfahren, Beschichtungsvorrichtung und Bauteil einer Fluidführung
TWI426551B (zh) * 2009-03-25 2014-02-11 Ind Tech Res Inst 立體金屬氧化物電極及其製造方法
CN102024741B (zh) * 2009-09-17 2013-03-27 中芯国际集成电路制造(上海)有限公司 浅沟槽隔离结构的形成方法
US20110151677A1 (en) * 2009-12-21 2011-06-23 Applied Materials, Inc. Wet oxidation process performed on a dielectric material formed from a flowable cvd process
KR101147728B1 (ko) * 2010-08-02 2012-05-25 주식회사 유진테크 사이클릭 박막 증착 방법
US9093266B2 (en) * 2011-04-11 2015-07-28 Micron Technology, Inc. Forming high aspect ratio isolation structures
JP5977002B2 (ja) * 2011-08-25 2016-08-24 東京エレクトロン株式会社 トレンチの埋め込み方法および半導体集積回路装置の製造方法
US8889566B2 (en) * 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
WO2014204028A1 (ko) * 2013-06-18 2014-12-24 주식회사 원익아이피에스 박막 제조 방법
CN105474361A (zh) * 2013-06-18 2016-04-06 圆益Ips股份有限公司 薄膜制造方法
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
KR20160067349A (ko) 2014-12-04 2016-06-14 삼성전자주식회사 도전 구조물 형성 방법, 반도체 장치 및 반도체 장치의 제조 방법
US10224235B2 (en) * 2016-02-05 2019-03-05 Lam Research Corporation Systems and methods for creating airgap seals using atomic layer deposition and high density plasma chemical vapor deposition
CN107248515B (zh) * 2016-03-24 2020-06-16 上海新昇半导体科技有限公司 真空管闪存结构及其制造方法
WO2018052476A1 (en) * 2016-09-14 2018-03-22 Applied Materials, Inc. Steam oxidation initiation for high aspect ratio conformal radical oxidation
US10062561B2 (en) * 2016-11-01 2018-08-28 Applied Materials, Inc. High-pressure annealing and reducing wet etch rates
US10832908B2 (en) * 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US10224224B2 (en) 2017-03-10 2019-03-05 Micromaterials, LLC High pressure wafer processing systems and related methods
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
JP7190450B2 (ja) 2017-06-02 2022-12-15 アプライド マテリアルズ インコーポレイテッド 炭化ホウ素ハードマスクのドライストリッピング
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
JP6947914B2 (ja) 2017-08-18 2021-10-13 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高圧高温下のアニールチャンバ
US11177128B2 (en) 2017-09-12 2021-11-16 Applied Materials, Inc. Apparatus and methods for manufacturing semiconductor structures using protective barrier layer
US10643867B2 (en) 2017-11-03 2020-05-05 Applied Materials, Inc. Annealing system and method
US10872762B2 (en) * 2017-11-08 2020-12-22 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming silicon oxide layer and semiconductor structure
CN117936420A (zh) 2017-11-11 2024-04-26 微材料有限责任公司 用于高压处理腔室的气体输送***
KR102622303B1 (ko) 2017-11-16 2024-01-05 어플라이드 머티어리얼스, 인코포레이티드 고압 스팀 어닐링 프로세싱 장치
JP2021503714A (ja) 2017-11-17 2021-02-12 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高圧処理システムのためのコンデンサシステム
KR102649241B1 (ko) 2018-01-24 2024-03-18 어플라이드 머티어리얼스, 인코포레이티드 고압 어닐링을 사용한 심 힐링
WO2019169335A1 (en) 2018-03-02 2019-09-06 Lam Research Corporation Selective deposition using hydrolysis
EP3762962A4 (en) 2018-03-09 2021-12-08 Applied Materials, Inc. HIGH PRESSURE ANNEALING PROCESS FOR METAL-BASED MATERIALS
US10714331B2 (en) 2018-04-04 2020-07-14 Applied Materials, Inc. Method to fabricate thermally stable low K-FinFET spacer
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
US10566188B2 (en) 2018-05-17 2020-02-18 Applied Materials, Inc. Method to improve film stability
US10704141B2 (en) 2018-06-01 2020-07-07 Applied Materials, Inc. In-situ CVD and ALD coating of chamber to control metal contamination
JP7048433B2 (ja) * 2018-06-22 2022-04-05 東京エレクトロン株式会社 成膜方法及び成膜装置
US10643846B2 (en) 2018-06-28 2020-05-05 Lam Research Corporation Selective growth of metal-containing hardmask thin films
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
US10675581B2 (en) 2018-08-06 2020-06-09 Applied Materials, Inc. Gas abatement apparatus
KR102528076B1 (ko) 2018-10-30 2023-05-03 어플라이드 머티어리얼스, 인코포레이티드 반도체 응용들을 위한 구조를 식각하기 위한 방법들
JP2022507390A (ja) 2018-11-16 2022-01-18 アプライド マテリアルズ インコーポレイテッド 強化拡散プロセスを使用する膜の堆積
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film

Family Cites Families (90)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2889704A (en) * 1954-11-04 1959-06-09 Sheffield Corp Amplifying apparatus for gauging product characteristics
US3046177A (en) * 1958-03-31 1962-07-24 C H Masland And Sons Method of applying polyurethane foam to the backs of carpets and equipment therefor
US3048888A (en) * 1960-02-05 1962-08-14 Nylonge Corp Apparatus for the production of artificial sponge
US3142714A (en) * 1961-12-20 1964-07-28 Nylonge Corp Method for the production of cleaning devices
US3166454A (en) * 1962-01-15 1965-01-19 Union Carbide Corp Method for producing corrugated polyurethane foam panels
US4590042A (en) * 1984-12-24 1986-05-20 Tegal Corporation Plasma reactor having slotted manifold
JPH0697660B2 (ja) * 1985-03-23 1994-11-30 日本電信電話株式会社 薄膜形成方法
US4892753A (en) * 1986-12-19 1990-01-09 Applied Materials, Inc. Process for PECVD of silicon oxide using TEOS decomposition
US4854263B1 (en) * 1987-08-14 1997-06-17 Applied Materials Inc Inlet manifold and methods for increasing gas dissociation and for PECVD of dielectric films
US5204288A (en) * 1988-11-10 1993-04-20 Applied Materials, Inc. Method for planarizing an integrated circuit structure using low melting inorganic material
JPH02222134A (ja) * 1989-02-23 1990-09-04 Nobuo Mikoshiba 薄膜形成装置
US5314845A (en) * 1989-09-28 1994-05-24 Applied Materials, Inc. Two step process for forming void-free oxide layer over stepped surface of semiconductor wafer
JPH0740569B2 (ja) * 1990-02-27 1995-05-01 エイ・ティ・アンド・ティ・コーポレーション Ecrプラズマ堆積方法
US5089442A (en) * 1990-09-20 1992-02-18 At&T Bell Laboratories Silicon dioxide deposition method using a magnetic field and both sputter deposition and plasma-enhanced cvd
US5439524A (en) * 1993-04-05 1995-08-08 Vlsi Technology, Inc. Plasma processing apparatus
US5492858A (en) * 1994-04-20 1996-02-20 Digital Equipment Corporation Shallow trench isolation process for high aspect ratio trenches
US5597439A (en) * 1994-10-26 1997-01-28 Applied Materials, Inc. Process gas inlet and distribution passages
US5660472A (en) * 1994-12-19 1997-08-26 Applied Materials, Inc. Method and apparatus for measuring substrate temperatures
JP3380091B2 (ja) * 1995-06-09 2003-02-24 株式会社荏原製作所 反応ガス噴射ヘッド及び薄膜気相成長装置
US5892886A (en) * 1996-02-02 1999-04-06 Micron Technology, Inc. Apparatus for uniform gas and radiant heat dispersion for solid state fabrication processes
US5710079A (en) * 1996-05-24 1998-01-20 Lsi Logic Corporation Method and apparatus for forming dielectric films
US5728260A (en) * 1996-05-29 1998-03-17 Applied Materials, Inc. Low volume gas distribution assembly and method for a chemical downstream etch tool
US20020050605A1 (en) * 1996-08-26 2002-05-02 J.S. Jason Jenq Method to reduce contact distortion in devices having silicide contacts
US5939763A (en) * 1996-09-05 1999-08-17 Advanced Micro Devices, Inc. Ultrathin oxynitride structure and process for VLSI applications
JP2937140B2 (ja) * 1996-10-09 1999-08-23 日本電気株式会社 半導体装置の製造方法
US6013584A (en) * 1997-02-19 2000-01-11 Applied Materials, Inc. Methods and apparatus for forming HDP-CVD PSG film used for advanced pre-metal dielectric layer applications
US6267074B1 (en) * 1997-02-24 2001-07-31 Foi Corporation Plasma treatment systems
US5817566A (en) * 1997-03-03 1998-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Trench filling method employing oxygen densified gap filling silicon oxide layer formed with low ozone concentration
US5937323A (en) * 1997-06-03 1999-08-10 Applied Materials, Inc. Sequencing of the recipe steps for the optimal low-k HDP-CVD processing
US6734155B1 (en) 1997-07-09 2004-05-11 The Procter & Gamble Company Cleaning compositions comprising an oxidoreductase
US6024799A (en) * 1997-07-11 2000-02-15 Applied Materials, Inc. Chemical vapor deposition manifold
GB9723222D0 (en) * 1997-11-04 1998-01-07 Pilkington Plc Coating glass
US6079356A (en) * 1997-12-02 2000-06-27 Applied Materials, Inc. Reactor optimized for chemical vapor deposition of titanium
US20020011215A1 (en) * 1997-12-12 2002-01-31 Goushu Tei Plasma treatment apparatus and method of manufacturing optical parts using the same
US6348421B1 (en) * 1998-02-06 2002-02-19 National Semiconductor Corporation Dielectric gap fill process that effectively reduces capacitance between narrow metal lines using HDP-CVD
US6340435B1 (en) * 1998-02-11 2002-01-22 Applied Materials, Inc. Integrated low K dielectrics and etch stops
US6303523B2 (en) * 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
US6050506A (en) * 1998-02-13 2000-04-18 Applied Materials, Inc. Pattern of apertures in a showerhead for chemical vapor deposition
US6194038B1 (en) * 1998-03-20 2001-02-27 Applied Materials, Inc. Method for deposition of a conformal layer on a substrate
US6079353A (en) * 1998-03-28 2000-06-27 Quester Technology, Inc. Chamber for reducing contamination during chemical vapor deposition
US6218268B1 (en) * 1998-05-05 2001-04-17 Applied Materials, Inc. Two-step borophosphosilicate glass deposition process and related devices and apparatus
DE69835276T2 (de) * 1998-05-22 2007-07-12 Applied Materials, Inc., Santa Clara Verfahren zur Herstellung einer selbst-planarisierten dielektrischen Schicht für eine seichte Grabenisolation
JPH11354516A (ja) * 1998-06-08 1999-12-24 Sony Corp シリコン酸化膜形成装置及びシリコン酸化膜形成方法
JP3472482B2 (ja) * 1998-06-30 2003-12-02 富士通株式会社 半導体装置の製造方法と製造装置
US6239002B1 (en) * 1998-10-19 2001-05-29 Taiwan Semiconductor Manufacturing Company Thermal oxidizing method for forming with attenuated surface sensitivity ozone-teos silicon oxide dielectric layer upon a thermally oxidized silicon substrate layer
US6203863B1 (en) * 1998-11-27 2001-03-20 United Microelectronics Corp. Method of gap filling
US6911707B2 (en) * 1998-12-09 2005-06-28 Advanced Micro Devices, Inc. Ultrathin high-K gate dielectric with favorable interface properties for improved semiconductor device performance
US6190973B1 (en) * 1998-12-18 2001-02-20 Zilog Inc. Method of fabricating a high quality thin oxide
US7192494B2 (en) * 1999-03-05 2007-03-20 Applied Materials, Inc. Method and apparatus for annealing copper films
JP3595853B2 (ja) * 1999-03-18 2004-12-02 日本エー・エス・エム株式会社 プラズマcvd成膜装置
US6197705B1 (en) * 1999-03-18 2001-03-06 Chartered Semiconductor Manufacturing Ltd. Method of silicon oxide and silicon glass films deposition
KR100319620B1 (ko) * 1999-05-10 2002-01-05 김영환 반도체 소자의 격리구조 및 그 제조방법
US6565661B1 (en) * 1999-06-04 2003-05-20 Simplus Systems Corporation High flow conductance and high thermal conductance showerhead system and method
US6245192B1 (en) * 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6206972B1 (en) * 1999-07-08 2001-03-27 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
US6171901B1 (en) * 1999-07-16 2001-01-09 National Semiconductor Corporation Process for forming silicided capacitor utilizing oxidation barrier layer
US6512264B1 (en) * 1999-08-13 2003-01-28 Advanced Micro Devices, Inc. Flash memory having pre-interpoly dielectric treatment layer and method of forming
US6875558B1 (en) * 1999-08-16 2005-04-05 Applied Materials, Inc. Integration scheme using self-planarized dielectric layer for shallow trench isolation (STI)
US6248628B1 (en) * 1999-10-25 2001-06-19 Advanced Micro Devices Method of fabricating an ONO dielectric by nitridation for MNOS memory cells
KR100338771B1 (ko) * 1999-11-12 2002-05-30 윤종용 수소 어닐링 단계를 포함하는 공정이 간단한 트렌치소자분리방법
US6583069B1 (en) * 1999-12-13 2003-06-24 Chartered Semiconductor Manufacturing Co., Ltd. Method of silicon oxide and silicon glass films deposition
US6541367B1 (en) * 2000-01-18 2003-04-01 Applied Materials, Inc. Very low dielectric constant plasma-enhanced CVD films
EP1139404A1 (en) * 2000-03-31 2001-10-04 Applied Materials, Inc. Low thermal budget solution for PMD application using SACVD layer
US7011710B2 (en) * 2000-04-10 2006-03-14 Applied Materials Inc. Concentration profile on demand gas delivery system (individual divert delivery system)
US6184155B1 (en) * 2000-06-19 2001-02-06 Taiwan Semiconductor Manufacturing Company Method for forming a ultra-thin gate insulator layer
KR100332314B1 (ko) * 2000-06-24 2002-04-12 서성기 박막증착용 반응용기
US6583025B2 (en) * 2000-07-10 2003-06-24 Samsung Electronics Co., Ltd. Method of forming a trench isolation structure comprising annealing the oxidation barrier layer thereof in a furnace
KR100444149B1 (ko) * 2000-07-22 2004-08-09 주식회사 아이피에스 Ald 박막증착설비용 클리닝방법
US6541401B1 (en) * 2000-07-31 2003-04-01 Applied Materials, Inc. Wafer pretreatment to decrease rate of silicon dioxide deposition on silicon nitride compared to silicon substrate
TW479315B (en) * 2000-10-31 2002-03-11 Applied Materials Inc Continuous depostiton process
KR100464914B1 (ko) * 2001-03-03 2005-01-05 잘만테크 주식회사 히트싱크
US20030019428A1 (en) * 2001-04-28 2003-01-30 Applied Materials, Inc. Chemical vapor deposition chamber
US6624091B2 (en) * 2001-05-07 2003-09-23 Applied Materials, Inc. Methods of forming gap fill and layers formed thereby
US6740601B2 (en) * 2001-05-11 2004-05-25 Applied Materials Inc. HDP-CVD deposition process for filling high aspect ratio gaps
US6541370B1 (en) * 2001-09-17 2003-04-01 Taiwan Semiconductor Manufacturing Co., Ltd. Composite microelectronic dielectric layer with inhibited crack susceptibility
US6803330B2 (en) * 2001-10-12 2004-10-12 Cypress Semiconductor Corporation Method for growing ultra thin nitrided oxide
US6586886B1 (en) * 2001-12-19 2003-07-01 Applied Materials, Inc. Gas distribution plate electrode for a plasma reactor
US6713127B2 (en) * 2001-12-28 2004-03-30 Applied Materials, Inc. Methods for silicon oxide and oxynitride deposition using single wafer low pressure CVD
US20040060514A1 (en) * 2002-01-25 2004-04-01 Applied Materials, Inc. A Delaware Corporation Gas distribution showerhead
US6793733B2 (en) * 2002-01-25 2004-09-21 Applied Materials Inc. Gas distribution showerhead
US6835633B2 (en) * 2002-07-24 2004-12-28 International Business Machines Corporation SOI wafers with 30-100 Å buried oxide (BOX) created by wafer bonding using 30-100 Å thin oxide as bonding layer
US7456116B2 (en) * 2002-09-19 2008-11-25 Applied Materials, Inc. Gap-fill depositions in the formation of silicon containing dielectric materials
US7141483B2 (en) * 2002-09-19 2006-11-28 Applied Materials, Inc. Nitrous oxide anneal of TEOS/ozone CVD for improved gapfill
US7335609B2 (en) * 2004-08-27 2008-02-26 Applied Materials, Inc. Gap-fill depositions introducing hydroxyl-containing precursors in the formation of silicon containing dielectric materials
US7431967B2 (en) * 2002-09-19 2008-10-07 Applied Materials, Inc. Limited thermal budget formation of PMD layers
US6905940B2 (en) * 2002-09-19 2005-06-14 Applied Materials, Inc. Method using TEOS ramp-up during TEOS/ozone CVD for improved gap-fill
KR200302418Y1 (ko) * 2002-11-08 2003-02-05 박준영 피뢰 접지판 체결구 세트
US20050252449A1 (en) * 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US8119210B2 (en) * 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
US7642171B2 (en) * 2004-08-04 2010-01-05 Applied Materials, Inc. Multi-step anneal of thin films for film densification and improved gap-fill

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012513117A (ja) * 2008-12-18 2012-06-07 アプライド マテリアルズ インコーポレイテッド 低温ギャップフィル改善のための酸化シリコンcvdへの前駆体添加
JP2013513235A (ja) * 2009-12-02 2013-04-18 アプライド マテリアルズ インコーポレイテッド 非炭素ラジカル成分cvd膜向けの酸素ドーピング

Also Published As

Publication number Publication date
US20070212850A1 (en) 2007-09-13
KR20080084593A (ko) 2008-09-19
TW200845147A (en) 2008-11-16
SG146567A1 (en) 2008-10-30
EP1980646A1 (en) 2008-10-15
CN101304001A (zh) 2008-11-12

Similar Documents

Publication Publication Date Title
JP2008227511A (ja) 誘電体材料を含有するシリコンの形成過程における改良されたギャップ充填堆積
US7335609B2 (en) Gap-fill depositions introducing hydroxyl-containing precursors in the formation of silicon containing dielectric materials
US7456116B2 (en) Gap-fill depositions in the formation of silicon containing dielectric materials
US7674727B2 (en) Nitrous oxide anneal of TEOS/ozone CVD for improved gapfill
US7902080B2 (en) Deposition-plasma cure cycle process to enhance film quality of silicon dioxide
KR101115750B1 (ko) 실리콘 이산화물의 막 품질을 강화시키는 신규한 증착-플라즈마 경화 사이클 프로세스
US7825038B2 (en) Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
US8664127B2 (en) Two silicon-containing precursors for gapfill enhancing dielectric liner
US7802538B2 (en) Method to deposit functionally graded dielectric films via chemical vapor deposition using viscous precursors
US7528051B2 (en) Method of inducing stresses in the channel region of a transistor
US6468927B1 (en) Method of depositing a nitrogen-doped FSG layer
US6803325B2 (en) Apparatus for improving barrier layer adhesion to HDP-FSG thin films
KR101042736B1 (ko) Pmd층의 제한된 열적 버짓 형성
KR20110104062A (ko) 개선된 저온 갭필을 위한 실리콘 산화물에 대한 프리커서 첨가 cvd
JP2009539268A (ja) シリコン含有前駆物質と原子酸素を用いた高品質流動状二酸化シリコンの化学気相堆積
US20030064556A1 (en) Methods and apparatus for producing stable low k FSG film for HDP-CVD
US6090725A (en) Method for preventing bubble defects in BPSG film

Legal Events

Date Code Title Description
RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20101130

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20101210

A300 Application deemed to be withdrawn because no request for examination was validly filed

Free format text: JAPANESE INTERMEDIATE CODE: A300

Effective date: 20110607