JP2007266074A - 半導体装置の製造方法及び液浸リソグラフィーシステム - Google Patents

半導体装置の製造方法及び液浸リソグラフィーシステム Download PDF

Info

Publication number
JP2007266074A
JP2007266074A JP2006085664A JP2006085664A JP2007266074A JP 2007266074 A JP2007266074 A JP 2007266074A JP 2006085664 A JP2006085664 A JP 2006085664A JP 2006085664 A JP2006085664 A JP 2006085664A JP 2007266074 A JP2007266074 A JP 2007266074A
Authority
JP
Japan
Prior art keywords
semiconductor substrate
film
inspection
immersion
wafer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2006085664A
Other languages
English (en)
Inventor
Daisuke Kawamura
大輔 河村
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Toshiba Corp
Original Assignee
Toshiba Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Toshiba Corp filed Critical Toshiba Corp
Priority to JP2006085664A priority Critical patent/JP2007266074A/ja
Priority to TW096107524A priority patent/TW200741368A/zh
Priority to KR1020070029242A priority patent/KR100838921B1/ko
Priority to US11/727,498 priority patent/US7630053B2/en
Publication of JP2007266074A publication Critical patent/JP2007266074A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70908Hygiene, e.g. preventing apparatus pollution, mitigating effect of pollution or removing pollutants from apparatus
    • G03F7/70916Pollution mitigation, i.e. mitigating effect of contamination or debris, e.g. foil traps
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2014Contact or film exposure of light sensitive plates such as lithographic plates or circuit boards, e.g. in a vacuum frame
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70216Mask projection systems
    • G03F7/70341Details of immersion lithography aspects, e.g. exposure media or control of immersion liquid supply
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70858Environment aspects, e.g. pressure of beam-path gas, temperature
    • G03F7/70866Environment aspects, e.g. pressure of beam-path gas, temperature of mask or workpiece

Landscapes

  • Health & Medical Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Public Health (AREA)
  • Atmospheric Sciences (AREA)
  • Epidemiology (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Environmental & Geological Engineering (AREA)
  • Toxicology (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)

Abstract

【課題】歩留りの低下を抑制できる、リソグラフィー工程に液浸露光装置を用いる半導体装置の製造方法を提供する。
【解決手段】液浸露光装置(104)による液浸露光工程の後から、少なくとも半導体基板の端部の膜構造が液浸露光工程時点から変化する工程との間に、半導体基板の端部側面、及び半導体基板の端部上面側の少なくとも一方を検査する検査工程(106)と、検査工程における検査結果に基いて、半導体基板の端部側面、及び半導体基板の端部上面側の少なくとも一方に膜剥がれの有無、及びパーティクル付着の有無の少なくとも一方を判定する判定工程(108)と、判定工程において、膜剥がれ、及びパーティクル付着の少なくとも一方が生じた、と判定されたとき、所定の対応処理を実施する工程(116)と、を含む。
【選択図】 図10

Description

この発明は半導体装置の製造方法に係わり、特に、液浸リソグラフィーを用いる半導体装置の製造方法及び液浸リソグラフィーシステムに関する。
193nmリソグラフィーの次世代リソグラフィー技術として、193nmの液浸リソグラフィーの開発が進められている。液浸露光装置の概略図を図1に示す。
図1に示すように、液浸リソグラフィーにおいては、露光装置の対物レンズの最終要素と結像対象であるレジスト膜を形成した半導体基板(ウェハ)との間を空気よりも屈折率の高い液体(液浸液)で満たす。193nm液浸リソグラフィーにおいては、液浸液は屈折率が約1.44である純水が検討されている。液浸液を半導体基板上に保持する構造(以下、シャワーヘッド)は投影レンズ周囲に固定されている。半導体基板を保持するウェハステージを駆動することで、半導体基板上の所望の位置を露光する。半導体基板周辺部の単位露光領域(以下、ショット)の露光、あるいはウェハ出し入れ、オーバーレイのための半導体基板上のマーク検出などの動作において、シャワーヘッドで保持された液浸液は、半導体基板の端部を通過する。周辺部のショットの露光動作において、液浸液を保持するために、半導体基板を取巻くようにウェハステージの上部には半導体基板上面と高さを概略合わせた補助板が設けられる。
半導体基板に対するシャワーヘッドの相対的な移動経路の一例を図2に示す。
半導体基板上に形成された膜と半導体基板との密着性が不十分である場合、液浸液が半導体基板の端部を通過する際に、膜が剥がれる場合がある。この事情は、非特許情報1に記載される。液浸液と半導体基板との相対移動によって、液浸液と接触する可能性のある半導体基板上面側、有る程度の液浸液流の乱れが想定される半導体基板側面において、半導体基板の端部の膜剥がれが発生すると考えられる。
非特許情報1にも記載されているように、剥がれた膜がパーティクルとなり、液浸液の中に保持されて光路上に存在し、半導体基板上のパターンに転写される場合がある。なお、剥がれた膜がパーティクル状に丸まらずに薄片を維持したとしても、液浸液との屈折率の差、複数の回折光に対して個々に垂直では有り得ないことから、いずれにせよパターン欠陥の転写源となり得る。
また、液浸露光装置におけるウェハステージの移動を考えた場合、ある半導体基板の端部で発生したパーティクルは、液浸液と半導体基板との相対移動に伴って、半導体基板上の発生箇所とは異なる位置に輸送されて残留する。一方、ショット露光順序において後に位置づけられたショットの露光動作においてパーティクル残留位置を液浸液が通過した場合、再度液浸液中に残留していたパーティクルが取り込まれて、さらに異なる位置に欠陥が転写する可能性がある。
また、液浸液中に取り込まれたパーティクルがウェハステージの補助板上に残留する場合もある。この場合も半導体基板上に残留した場合と同様に、再度の液浸液の相対的な通過によって、液浸液中に取り込まれて、再び半導体基板上の異なる位置に欠陥を転写する、あるいは半導体基板上にパーティクルが残留する。ウェハステージ補助板上に残留したパーティクルが影響する半導体基板は、残留位置やシャワーヘッド中の液浸液の流れなどに応じて、膜剥がれと同一半導体基板上の場合もあり、あるいは後続の半導体基板である場合もあり得る。
図3に、パーティクル発生源である膜剥がれ位置(黒い星印)、パーティクルが転写される欠陥の位置(バツ印)、パーティクルが半導体基板に残留する位置(白い星印)、再度当該パーティクルが液浸液に取り込まれて転写された欠陥(白い三角印)、パーティクルがウェハステージ上に残留する位置(黒いひし形印)、ウェハステージ上に残留したパーティクルが再びウェハ内に取り込まれて転写された欠陥の位置(プラス印)の例を示す。
また、非特許文献2には、パーティクルの形成原理(Particle formation mechanism)、及びツールクリーニングに関すること(Tool Cleaning Concern)について記載されている。しかしながら、非特許文献2には、ツールクリーニングを実施するタイミング、及びそのクリーニングを実施させるトリガについては何等記載されていない。
また、非特許文献3には、半導体基板上に残留した液浸液がウォーターマークを形成し、パターン欠陥となることが本件発明者を含む研究メンバーによって報告されている。
Lin john C.H., "193-nm immersion lithography for 65-nm node and below" (oral presentation), p.24, 2nd International Symposium on Immersion Lithography, 12-15 September 2005 第26回 東京応化セミナー 平成17年12月6日配布資料 Ching-Yu Chang et al. "Advanced Lithography Material Needs for Immersion and Beyond" THE 26th TOKYO OHKA SEMINAR, December 6, 2005 D. Kawamura, et.al, "Influence of the Watermark in Immersion Lithography Process", Proc. SPIE vol.5753, pp.818-826(2005)
この発明は、歩留りの低下を抑制できる、リソグラフィー工程に液浸露光装置を用いる半導体装置の製造方法、及び液浸リソグラフィーシステムを提供する。
この発明の第1態様に係る半導体装置の製造方法は、素子製造工程中のリソグラフィー工程に液浸露光装置を用いる半導体装置の製造方法であって、前記液浸露光装置による液浸露光工程の後から、少なくとも半導体基板の端部の膜構造が前記液浸露光工程時点から変化する工程との間に、前記半導体基板の端部側面、及び前記半導体基板の端部上面側の少なくとも一方を検査する検査工程と、前記検査工程における検査結果に基いて、前記半導体基板の端部側面、及び前記半導体基板の端部上面側の少なくとも一方に膜剥がれの有無、及びパーティクル付着の有無の少なくとも一方を判定する判定工程と、前記判定工程において、前記膜剥がれ、及び前記パーティクル付着の少なくとも一方が生じた、と判定されたとき、所定の対応処理を実施する工程と、を含む。
この発明の第2態様に係る液浸リソグラフィーシステムは、半導体基板を液浸露光する液浸露光装置と、前記半導体基板の端部側面、及び前記半導体基板の端部上面側の少なくとも一方を検査する検査機構と、前記検査機構からの検査結果に基いて、前記半導体基板の端部側面、及び前記半導体基板の端部上面側の少なくとも一方に膜剥がれの有無、及びパーティクル付着の有無の少なくとも一方を判定する判定機構と、前記判定機構が、前記膜剥がれ、及び前記パーティクル付着の少なくとも一方が発生したと判定したとき、所定の対応処理を実施する対応処理機構と、を具備する。
この発明によれば、歩留りの低下を抑制できる、リソグラフィー工程に液浸露光装置を用いる半導体装置の製造方法、及び液浸リソグラフィーシステムを提供できる。
背景技術の欄においても説明したように、膜剥がれが発生した場合には、いずれにせよ、歩留りは低下する。また、膜剥がれが発生した場合、その影響は累積的に蓄積される可能性が高いと考えられる。
特に、液浸リソグラフィーにおいては、液浸露光装置において液浸液を保持するノズルが半導体基板の端部を通過する際に、液浸液と半導体基板との速度差から半導体基板上の膜が剥がれる危険性が高い。剥がれた膜は、液浸露光装置内部、特にウェハステージ上の液浸液が通過する領域に滞留し、半導体基板上への露光時に液浸液中に再度巻き込まれることで転写欠陥の発生源となる。液浸リソグラフィーにおいては、上記転写欠陥は、当該半導体基板に留まらず、後続半導体基板においても発生する場合もある。
したがって、液浸リソグラフィー工程における歩留りを向上させるには、当該事実を検出し、ウェハステージの清掃等の処置を行う必要がある。
以下に説明される実施形態は、液浸露光装置による露光前後に半導体基板の端部の状態を検査し、液浸露光後に半導体基板の端部における半導体基板上の膜剥がれ、もしくはパーティクル付着の少なくとも一方が認識された場合に、所定の対応処理を実施することを含むものである。対応処理の具体的な例は、いくつかあるが、代表的なものを挙げるとするならば、液浸露光装置のウェハステージ上の清掃、当該半導体基板の処理の中止、当該半導体基板に対する付帯的な検査の実施などである。
実施形態の説明に先立ち、この発明の実施形態の参考例を説明する。この参考例は、この発明の実施形態を適用し得る液浸リソグラフィー工程の例であり、この発明の実施形態の一部を構成するものである。
(参考例1)
参考例1は、一般的な液浸リソグラフィー工程の一例である。
一般に、液浸リソグラフィーシステムは、塗布現像装置と液浸露光装置とを含んで構成され、一般には塗布現像装置側に両者を繋ぐインターフェース部(以下、I/F部)が存在する。システムのブロック図を図4に示す。
図4中に示す丸数字は、後述する液浸リソグラフィー工程における工程順序である。また、塗布前の温度制御工程(Chill Plate)、加熱工程後の冷却工程(Cooling Plate)、密着性向上工程などは省略している。同様に、露光前洗浄、露光後洗浄なども省略している。なお、後述するように、下層反射防止膜がSiN(窒化シリコン)などのように、塗布現像装置以外で形成できる場合には、反射防止膜塗布ユニット、及び反射防止膜加熱ユニットは不要となる。
次に、工程順序に沿って詳細を説明する。
半導体基板上に下層反射防止膜、レジスト膜を形成する。
下層反射防止膜は、以下の種々の膜種があり得る。SiONH系のハードマスクである場合もある。また、遮光膜と干渉膜とから成る2層反射防止膜の場合もある。2層反射防止膜の遮光膜としては、有機膜、スパッタカーボン膜、アモルファスSi膜、あるいはポリSi膜などがあり、干渉膜としてはSiO膜、SiN膜、SiON膜、SOG膜、有機BARCなどがある。
レジスト膜、あるいは塗布型の反射防止膜の典型的な形成方法は、回転塗布による液膜の形成および加熱工程である。回転塗布工程では、必要に応じてバックリンス及びエッジリンスを行なう。また、下層防止膜、レジスト膜等の形成において、必要において、HMDS処理などの密着性向上処理を行っても良い。
図5に半導体基板の端部における積層構造の例として、レジスト膜が半導体基板の端部から所定量までの除去(エッジカット)を施されている例を示す。図6に半導体基板の端部における積層構造の異なる例として、レジスト膜がエッジカットされずに、半導体基板の端部の上部まで形成されている場合を示す。
対物レンズと半導体基板との間が空気である従来の露光(以下、Dry露光)においては、当該露光工程において形成されたレジストパターンをマスクとした加工工程における制約から、適切なエッジカット量を施すことが一般的である。一方、液浸露光においては、液浸液を半導体基板の端部が通過する際に半導体基板表面の物質が異なる場合及び半導体基板表面に段差が存在する場合において、当該部位に液浸液が残留し、また残留した液浸液周囲に飛散することが考えられる。半導体基板上に残留した液浸液はウォーターマークを形成し、パターン欠陥となることが本件発明者を含む研究メンバーの検討から判明している(非特許文献3)。このため、液浸露光においては、図6に示したように、半導体基板表面を全てレジスト膜で覆うことも有効と考えられる。
レジスト膜を形成した後の半導体基板に対して、必要に応じて、周辺露光工程を行っても良い。周辺露光工程は、当該リソグラフィー工程の現像後における半導体基板の端部のレジスト膜の境界を滑らかにすることを目的とする。なお、周辺露光工程は、パターン露光工程の後に実施しても良い。
レジスト膜が形成された半導体基板に対して、図1に例示した液浸露光装置を用いて、所望パターンを形成するためのパターン化された露光光を照射するパターン露光工程を行う。典型的には、所望パターンが形成されたフォトマスクを介して転写する。
パターン化された露光光が照射されたレジスト膜に対して、露光後加熱工程(Post Exposure Bake、以下、PEB工程)を行なう。193nm用レジストとしては、ポジ型化学増幅型レジストが典型である。ポジ型化学増幅型レジストは、含有成分である光酸発生剤が露光光で分解することで光発生酸が発生する。PEB工程において光発生酸がレジスト樹脂中の溶解抑止基と反応し、後に行う現像工程に対する溶解性が付与される。その結果、露光部位が現像液に対して溶解除去されるポジ型レジストとしての特性を示す。
PEB工程を経たレジスト膜に対して、一般にはTMAH現像液による、現像工程を行い、レジストパターンを得る。
上記液浸露光工程において、背景技術の欄においても説明したように、液浸液の相対的な通過によって半導体基板上の膜、典型的には半導体基板の端部に、膜剥がれが発生する場合がある。剥がれた膜は、パーティクルとなり、液浸液の中に保持されて光路上に存在し、半導体基板上のパターンに転写される場合がある。剥がれた膜がパーティクル上に丸まらずに薄片を維持したとしても、液浸液との屈折率の差、複数の回折光に対して個々に垂直ではあり得ないことから、いずれにせよパターン欠陥の転写源となる。
また、液浸露光装置におけるウェハステージの移動を考えた場合、ある半導体基板の端部で発生したパーティクルは、液浸液と半導体基板との相対移動に伴って、半導体基板上の発生箇所とは異なる位置に輸送されて残留する。一方、ショット露光順序において後に位置づけられたショットの露光動作においてパーティクル残留位置を液浸液が通過した場合、再度液浸液中に残留していたパーティクルが取り込まれて、さらに異なる位置に欠陥が転写する可能性がある。
また、液浸液中に取り込まれたパーティクルがウェハステージの補助板上に残留する場合もある。この場合も半導体基板上に残留した場合と同様に、再度の液浸液の相対的な通過によって、液浸液中に取り込まれて、再び半導体基板上の異なる位置に欠陥を転写する、あるいは半導体基板上にパーティクルが残留する。ウェハステージ補助板上に残留したパーティクルが影響する半導体基板は、残留位置やシャワーヘッド中の液浸液の流れなどに応じて、膜剥がれと同一半導体基板上の場合もあり、あるいは後続の半導体基板である場合も有り得る。保護膜剥がれ部とパーティクル残留部の関係は、背景技術の欄において説明した図3を参照されたい。
いずれにせよ、膜剥がれが発生した場合には歩留りの低下を抑制する処置を行わなければならない。また、実施形態の冒頭において述べた通り、上述の内容からも類推できるように、膜剥がれが発生した場合、その影響は、例えば、液浸液中や液浸露光装置のウェハステージの補助板の表面等に累積的に蓄積される可能性が高いと考えられる。
したがって、液浸リソグラフィー工程における歩留り向上を図るには、当該事実を検出し、ウェハステージの清掃等の処置を行う必要がある。
(参考例2)
参考例2は、一般的な液浸リソグラフィー工程を改良したもので、特に、レジストを保護膜によって被覆する例である。
この場合の液浸リソグラフィーシステムのブロック図を図7に示す。後述する現像液剥離型の保護膜を使用する場合には、保護膜剥離ユニットは不要となる。図7中の丸数字は後述する液浸リソグラフィープロセスにおける工程順序である。また、塗布前の温度制御工程(Chill Plate)、加熱工程後の冷却工程(Cooling Plate)、密着性向上工程などは省略している。同様に、露光前洗浄、露光後洗浄などは省略している。なお、後述するように、下層反射防止膜がSiNなどのように塗布現像装置以外で形成される場合には、反射防止膜塗布ユニットおよび反射防止膜加熱ユニットは不要となる。
以下の説明は、参考例1に記載した保護膜を用いない場合に対する補足のみとする。
保護膜材料には、専用の溶剤を用いて通常はPEB工程と現像工程の間に保護膜の剥離工程が加わる溶剤剥離型(例えば、東京応化工業製TSP-3A、TSRC-002)、TMAH現像液によってレジストの現像に先立って連続的に剥離する現像液剥離型(例えば、東京応化工業製TILCシリーズ、JSR株式会社製TCXシリーズ、信越化学工業株式会社IOCシリーズなど)の2種類がある。
典型的には回転塗布、加熱工程によって形成したレジスト膜上に、回転塗布および加熱によって保護膜を形成する。
保護膜は、主に二つの目的のために使用される。一つは、液浸液と半導体基板との相対移動において、半導体基板表面の滑水性を改善して、半導体基板上に液浸液を残留させないことにある。これは参考例1中に記載したウォーターマークに起因する欠陥の防止に繋がる。他方は、レジスト膜中の光酸発生剤、あるいは光発生酸、酸のクエンチャーとしての塩基などのレジスト膜、あるいはより下層膜中成分が液浸液中へ溶出して、投影レンズの最終要素を汚染することを防止、あるいは緩和することである。
したがって、レジスト、保護膜等のレジスト端部における塗布状態として望ましい状態は、図8に示すように保護膜が少なくともレジスト膜を覆う状態である。
保護膜の形成後に、必要に応じてレジストの周辺露光工程を実施した後に、液浸露光装置によるパターン形成を実施する。
溶剤剥離型保護膜においては、PEB工程の実施後に、専用の溶剤(上記東京応化工業製TSP-3Aなどの場合には、東京応化工業製TSP-3 Remover)を用いて、当該保護膜の剥離を行う。保護膜剥離後のレジスト膜に対して、一般にはTMAH現像液による現像工程を実施し、レジストパターンを得る。
現像液剥離型保護膜においては、PEB工程の実施後に、TMAH現像液によって、レジストパターンの現像に先立って連続的に保護膜の剥離を行ない、レジストパターンを得る。
本参考例に示す保護膜を用いる場合においても、参考例1に記載した保護膜を用いない場合と同様に、剥がれた膜に起因するパターン欠陥がレジストパターンに転写される。
また、保護膜は、自然酸化膜の付いたSi(シリコン)半導体基板、SiO(酸化シリコン)膜などの半導体基板の表層構造に対する密着性が低いことから、液浸露光装置における液浸液の相対的な移動による剥がれに対する懸念が増加する。
以下、この発明の実施形態を、図面を参照して詳細に説明する。この説明に際し、全図にわたり、共通する部分には共通する参照符号を付す。
(第1実施形態)
参考例1、2に記載した液浸露光装置内において、液浸液の相対移動によって半導体基板端面における膜剥がれ、あるいは先行して露光を行った半導体基板で生じた膜剥がれに起因するパーティクルの付着の検査工程を含み、膜剥がれなどが生じた場合の対応処理を含むリソグラフィーシステムの概要について記載する。
本実施形態の流れ図を図9に示す。なお、流れ図中の“塗布”工程は塗布現像装置において液浸露光装置に半導体基板を受け渡すまでの処理の全てを含み、“現像”工程は液浸露光装置によるパターン露光工程移行の塗布現像装置における処理の全てを含む。
液浸露光装置によるパターン露光処理を行った後において、半導体基板の端部における膜剥がれ、あるいは付着物等の検出を目的とした所定の検査を行う。
付着物については、液浸露光装置における液浸液がパターン欠陥源となることからパーティクル管理が実施されており、また搬送系についても発塵対策が施される。したがって、露光後のウェハ端部で検出され得る付着物の発生源としては、当該ウェハが持ち込んだパーティクル、当該ウェハで発生した膜剥がれ、あるいは先行してパターン露光を行ったウェハから発生したパーティクル(膜剥がれを含む)である。
所定の検査は、パターン露光の後であって、最上層の膜が除去される可能性のある工程よりも前に実施する必要がある。
保護膜を用いない場合(参考例1に記載)であれば、パターン露光工程よりも後であって、現像工程よりも前に所定の検査工程を実施する。溶剤剥離型保護膜を用いる場合(参考例2に記載)であれば、パターン露光工程よりも後であって、保護膜剥離工程よりも前に所定の検査工程を実施する。ここで保護膜が現像液剥離型の場合には、保護膜剥離工程は現像工程と連続的に実施され得るものである。
また、パターン露光後に洗浄工程を行う場合には、当該洗浄工程によって保護膜が剥がれる可能性がある場合、あるいは未知である場合には、パターン露光から洗浄工程の間に所定の検査工程を実施する。
次に、所定の検査工程によって、液浸液との相互作用による半導体基板端面における膜剥がれ、あるいは剥がれに起因するパーティクルが検出された場合の対応処理の概略について記載する。
半導体基板端面における膜剥がれ、あるいはパーティクルが検出された場合に必要とする対応処理は、大別して下記4項目である。下記4項目に大別される対応処理について、複数の対応処理を取ることが望ましく、理想的には4項目について少なくとも各1つの処理を実施することが望ましい。
(1)液浸露光装置のウェハステージ補助板の清掃、あるいは少なくとも状態のモニタ。清掃、状態のモニタが自動ではできずに、作業者が別途実施する場合には、作業者への当該処理の指示を含む。
この結果、当該半導体基板から剥がれた膜起因のパーティクルによる後続半導体基板に対するパターン欠陥転写を防止することが可能となる。
なお、膜剥がれ、あるいはパーティクルの検出回数、領域、あるいは大きさ、半導体基板上の位置などから予想されるウェハステージ補助板上の状態による、当該素子の歩留への影響が信頼性良く予測できる場合には、ウェハステージ補助板の清掃処理による装置停止による損失と当該素子の歩留低下との得失に応じて、清掃処理を間引くことも可能と考えられる。
(2)当該検査で検出された半導体基板、あるいは当該半導体基板が属する製造Lotにおける、当該リソグラフィー工程の後続工程への移行の停止、欠陥発生状況の確認、当該リソグラフィー工程のリワーク工程、の少なくとも一つ。処理が自動では行えずに、作業者が別途行う場合には、作業者への当該処理の指示を含む。
実際には、検査を実施せずに該当半導体基板の全てについてリワーク工程の実施を行うか、あるいは検査によって不良と判断された半導体基板に対してのみリワーク工程となる。
少なくとも、次工程で加工前にリワークを行うことで、歩留の低下を防止できる。
さらに、当該半導体基板、あるいは当該半導体基板に加えて当該半導体基板を含む製造Lot中の少なくとも当該半導体基板よりも後に当該リソグラフィー工程処理を実施された半導体基板に対して、欠陥発生状況の検査を加えることで、欠陥分布が許容範囲内である半導体基板のリワークコストを低減することが可能となる。欠陥発生状況の検査の例は、KLA-Tencor社等から販売されている光学式、あるいは電子線像による画像処理を伴うパターン欠陥検査である。さらに、光学式、あるいはSEM方式のレビュー装置による欠陥種の分類を含めても良い。一般に、リソグラフィー工程のコストは検査工程よりも高いが、液浸リソグラフィー工程において露光装置の高騰と相まって、リワーク工程を減らすことがコスト低減に繋がる。
(3)当該検査で検出された半導体基板が搬送された液浸露光装置および塗布現像装置から成る液浸リソグラフィー装置内の各ユニットおよび搬送系、および当該半導体基板が収納される半導体基板搬送容器(FOUP(Front Opening Unified Pod)、SMIF(Standard of Mechanical Interface)などを含む広義のウェハケース)の清掃、あるいは交換の指示、あるいは少なくとも状態モニタの指示。
半導体基板側面にパーティクルが存在した場合には、当該ウェハが搬送されることで、半導体基板側面と接触する液浸リソグラフィー装置、特に塗布現像装置のユニット、あるいは搬送系、半導体基板搬送容器を汚染する。塗布現像装置の汚染の場合には、当該半導体基板の後続半導体基板や後続処理Lotを汚染する可能性がある。また、液浸露光装置でのパターン露光前と後の双方の半導体基板側面が接触する部位が存在する場合、液浸露光装置のウェハステージ補助板に対してパーティクルを発生させる可能性がある。本処理を行うことで、後続処理半導体基板の歩留低下を防止することが可能となる。
一方、半導体基板搬送容器が同様に汚染された場合、当該リソグラフィー工程においてはウェハ自身のパターン欠陥が許容範囲であったとしても、後続処理において汚染源、あるいは処理装置のトラブル源となる可能性が残る。半導体基板搬送容器を洗浄、あるいは交換することで、上記汚染、あるいはトラブルを防止できる。
(4)当該検査で検出された半導体基板における少なくとも最上層膜の塗布条件(含むエッジリンス及び/、あるいはバックリンス条件)の見直し、HMDS(Hexamethyldisilazane)処理などの密着性改善処理を実施している場合には当該処理の条件見直し、あるいは当該半導体基板の状態の確認。
(第2実施形態)
ウェハ端部の検査方法としては、ウェハ端面検査装置と同一、あるいはベベル研磨装置に組み込まれている検出機構を用いることができる。具体的には、レンズと必要に応じたフィルターとCCDなどの画像検出部を含む光学系、記憶装置、画像処理装置などから構成される検出機構と、半導体基板外周の着目位置を検査するための半導体基板、あるいは検出機構の何れか、あるいは双方を移動させる機構から構成される。
液浸リソグラフィーにおける液浸液と半導体基板との相対移動によって、液浸液と接触する可能性のある半導体基板上面側、ある程度の液浸液流の乱れが想定される半導体基板側面において、半導体基板の端部の膜剥がれが発生すると考えられる。
半導体製造工程における膜の形成手段としては、スパッタリング、CVD、回転塗布(に代表される薬液塗布)が代表的手段である。このうち、スパッタリングおよびCVDでは、半導体基板裏面まで当該膜が形成される。適切なエッジリンス/バックリンスで実施した回転塗布においては、当該膜の端部は半導体基板円周方向において繋がった連続線を形成する。
このため、半導体基板の端部を観察した場合、半導体基板上面側および側面に存在するのは、半導体基板の径方向における膜種および膜厚の変化である。検査画像においては色彩、あるいは信号強度の変化、境界線として検出される。
膜剥がれ、あるいはパーティクルの付着を自動的に検出するための画像処理方法の一例として、検査画像範囲の微分処理が考えられる。微分方向は、半導体基板の径方向のみ、あるいは径方向と円周方向双方が考えられる。当該微分量を半導体基板円周方向の隣接位置を比較することで異常点である膜剥がれ、あるいはパーティクル付着点などが検出できると考えられる。具体的には、着目点隣接位置との上記微分量と閾値との比較、着目点近傍位置から予測される上記微分量と着目点の微分量との比較、などが用いることができる。これ以外にも、デコンボルーション(deconvolution)などの種々のエッジ強調アルゴリズム、あるいは/及び検出のアルゴリズムを用いても良い。
一方、塗膜の剥がれが発生した場合には、当該膜の存在している正常部位と、当該膜が存在しない膜剥がれ部位において、観察画像の色彩、あるいは信号強度が変化、境界線として検出される。また、膜剥がれに起因するパーティクルが付着した部位についても、同様に、信号強度の変化、境界線として検出される。
(第3実施形態)
第2実施形態に加えて、ウェハ端部の検査方法に改良を加えた例を記載する。
第2実施形態は、半導体基板の端部における膜剥がれ、あるいはパーティクルの付着を検出するために、液浸露光装置によるパターン露光工程の前後において、半導体基板の端部の検査を行い、両者の検査情報を合わせて、膜剥がれ、あるいはパーティクルの付着を検出することにある。
第2実施形態に記載したように、液浸パターン露光工程後のみに検出を実施する場合には、液浸パターン露光工程前から存在するが、液浸パターン露光工程における膜剥がれ、あるいはパーティクル付着に結びつかない類の半導体基板の端部における膜構成の不均一を検出する場合がある。このような偽検出を防止する上で、液浸パターン露光工程前後の半導体基板の端部の検査を実施する方法がより望ましい。
液浸パターン露光工程前から存在するが液浸パターン露光工程における膜剥がれ、あるいはパーティクル付着に結びつかない類の検査範囲の半導体基板の端部における膜構成の不均一とは、下記の状態である。
下層反射防止膜、あるいはより下層の半導体基板層がCVD、あるいはスパッタリング等の成膜工程で形成された場合において、半導体基板の端部に膜構造として不均一な構造が生じる場合がある。しかし、当該膜自身、あるいはその上部に当該液浸リソグラフィー工程の塗布工程において形成されたレジスト等の膜の密着性が、液浸液と半導体基板との相対移動によって生じる力に比べて十分に強固である。
また、当該液浸リソグラフィー工程以前のエッチング、あるいは他の加工工程において生じる膜厚の不均一性についても、成膜工程における膜構造の不均一性が生じた場合と同様に考えられる。
一方、当該リソグラフィー工程以前の工程中、あるいは工程間移動における半導体基板の衝突等で発生する半導体基板の端部の傷が発生している場合がある。この場合、当該リソグラフィー工程における回転塗布工程で形成されるレジスト膜等の膜の境界部(典型的には膜の端部)は、半導体基板の傷において、不均一さを示す場合がある。しかし、当該回転塗布膜が下層の膜、あるいは半導体基板と、液浸液と半導体基板との相対移動によって生じる力に対して十分な密着性を有している場合には、やはり膜剥がれには結びつかない。
また、回転塗布工程中のバックリンス、あるいはさらにエッジリンスにおける不要部分の塗布膜の除去が不完全、あるいは不均一である場合には、塗布膜の端部の境界が均一でなく、半導体基板円周方向に沿って半導体基板経方向の位置が移動する状態となる。(当該塗布膜の境界がきれいな円周方向に沿った円を描かず、ガタガタした曲線を示す。)望ましくは、塗布膜端部の境界が均一になるようにバックリンス、あるいはさらにエッジリンスを調整するのである。しかし、装置状態の変化、プロセス半導体基板であるが故の半導体基板の端部構造や膜種の変化、あるいはより下層膜や半導体基板の傷や構造の不均一によって、当該回転塗布膜の端部の境界が不均一となる可能性は残る。しかし、この場合も当該回転塗布膜が下層の膜、あるいは半導体基板と、液浸液と半導体基板との相対移動によって生じる力に対して十分な密着性を有している場合には、やはり膜剥がれには結びつかない。
本実施形態に記載したパターン露光工程前のウェハ端部の検査は、必ずしも当該液浸リソグラフィー工程中のパターン露光工程の直前に行う必要はない。パターン露光工程の実施直前と膜構造が変化しない段階、正確にはウェハ端部検査工程の手段においては膜構造が変化しない段階で実施すればよい。例えば、第2実施形態に記載したパターン露光工程後の検査の例と示したCCD等による画像処理で実施する場合、検査のための波長は可視光であることが通常である。これは当該リソグラフィー工程で使用するレジストが感光しない波長との制約による。半導体基板周辺露光工程は、レジスト膜端部にレジスト膜が感光する光を照射する工程であり、当該部位のレジスト膜は光化学反応を生じる。しかし、液浸リソグラフィー工程で使用する化学増幅型レジストにおける光化学反応によっては、可視光領域においてはレジスト膜の光学定数の変化事実上ないに等しい。したがって、パターン露光前のウェハ端部の検査は、半導体基板周辺露光工程の前であっても良い。
また、半導体基板周辺露光の露光光によるウェハ端部検査に対する影響、例えば取得する検査画像のベースラインの変化など、を防止することができるならば、当該検査を半導体基板周辺露光工程と同時に実施してもよい。具体的には、既存の周辺露光工程ユニットでは、円周方向の限られた所定範囲のみに露光光を照射する機構と、半導体基板を回転させる機構からなる。露光光の照射部位と異なる部位であって、露光光が半導体基板の端部の検査装置の検出部に影響を与えない位置に、検査装置を配すれば良い。
あるいはパターン露光前に洗浄工程を実施する場合においても、半導体基板の端部が洗浄工程で変化しないのであれば、当該パターン露光前洗浄工程の前に当該半導体基板の端部検査工程を実施してよい。
このような半導体基板の端部検査工程における制約条件を満たす範囲において、半導体基板の端部検査工程の実施時期、あるいは検査ユニットの構造が変化しても良い。
(第4実施形態)
次に、上記第1〜第3実施形態を適用し得る液浸リソグラフィーシステムの一例を、第4実施形態として説明する。
(システムの基本構成)
図10は、この発明の第4実施形態に係る液浸リソグラフィーシステムの一例を示すブロック図である。
図10に示すように、一例に係る液浸リソグラフィーシステムは、液浸露光装置104、検査装置106、判定装置108、洗浄装置110、現像装置114、及びパターン欠陥検査装置116を含む。
液浸露光装置104は、半導体基板、例えば、ウェハ上のフォトレジスト膜を液浸露光する。液浸露光装置104には、例えば、図1に示した液浸露光装置を使うことができる。
検査装置106は、ウェハの端部側面、及びウェハの端部上面の少なくともいずれかを検査する。この検査は、上記第1〜第3実施形態において説明した通りである。
なお、本例では、検査装置106と、液浸露光装置104とが別々である例を示しているが、検査装置106は、液浸露光装置104内に組み込まれても良い。
さらに、本例では、検査装置106と液浸露光装置104とが一つのシステム(処理ユニット)となった例を示している。例えば、本例では、ロード用インターフェース(IN)102の後段に液浸露光装置104を配置し、検査装置106の後段にアンロード用インターフェース(OUT)112を配置することで、検査装置106と液浸露光装置104とが一つの処理システム(処理ユニット)となっている。これによる利点は、液浸露光後のウェハをシステムの外に出さずに検査装置106に送ることができるから、液浸露光に起因した膜はがれ、及び液浸露光に起因したパーティクル付着を精度良く検出できることにある。液浸露光後のウェハをシステムの外に出すと、検査装置106は、例えば、ウェハ搬送時に生じた膜はがれ、及びウェハ搬送時に付着したパーティクルまでを検出してしまうことがある。しかしながら、検査装置106を液浸露光装置104から外し、アンロード用インターフェース(OUT)112を液浸露光装置104の後段に配置するようにしても良い。この場合には、検査装置106に、既存の検査装置、例えば、既存のウェハ端面検査装置、あるいはベベル研磨装置に組み込まれている検出機構を利用できるから、設備投資を抑制できる、という利点がある。検査装置106と液浸露光装置104とを一つのシステム(処理ユニット)とするか否かは、実施に際して適宜選択されれば良い。
判定装置108は、検査装置106から送られてきた検査情報から、ウェハの端部側面、及びウェハの端部上面の少なくともいずれかに、膜剥がれ、及びパーティクル付着の少なくともいずれか発生していないかを判定する。
洗浄装置110は、液浸露光装置104を洗浄する。本例の洗浄装置110は、特に、液浸露光装置104のウェハステージに設けられた補助板を洗浄する。本例の洗浄装置110は、操作者の指示に基いた洗浄に加えて、判定装置108から出力される信号NGの指示に基いた洗浄を行う。信号NGは、膜剥がれ、及びパーティクル付着の少なくともいずれかが発生したことを示す信号である。もしくは膜剥がれ、及びパーティクル付着の少なくともいずれかが発生したことを示すフラグである。
現像装置114は、液浸露光されたウェハ上のフォトレジスト膜を現像する。フォトレジスト膜を現像することで、上記フォトレジスト膜は、マスク(レティクル)に描かれた所定のパターンの通りにパターニングされる。
パターン欠陥検査装置116は、現像されたフォトレジスト膜に、パターン欠陥が発生していないかを検査する。本例のパターン欠陥検査装置116は、操作者の指示に基いた検査に加えて、判定装置108から出力される上記信号NGの指示に基いた検査を行う。
(システムの基本動作)
次に、一例に係る液浸リソグラフィーシステムの動作を、ウェハの流れに従って説明する。
まず、製造Lot中のウェハ(Wafer)の一枚、もしくは複数枚が、インターフェース(IN)102を介して液浸露光装置104にロードされる。液浸露光装置104は、ロードされたウェハ上のフォトレジスト膜を液浸露光する。
液浸露光を終えると、ウェハは検査装置106に送られる。検査装置106は、送られてきたウェハの端部側面、及びウェハの端部上面の少なくともいずれかを検査する。検査情報は、判定装置108に送られる。
判定装置108は、検査情報から、ウェハの端部側面、及びウェハの端部上面の少なくともいずれかに、膜剥がれ、及びパーティクル付着の少なくともいずれかが発生していないかを判定する。判定装置108が、いずれかが発生した、と判定したとき、判定装置108は上記信号NG、もしくはフラグNGを出力する。信号NGは、洗浄装置110、及びパターン欠陥検査装置116に送られる。
洗浄装置110は、信号NG、もしくはフラグNGを受信したとき、液浸露光装置104による液浸露光を中止させ、液浸露光装置104のウェハステージに設けられた補助板を洗浄する。
検査装置106によって検査されたウェハは、インターフェース(OUT)112を介して液浸露光装置104からアンロードされ、現像装置114に送られる。現像装置114は、送られてきたウェハ上のフォトレジスト膜を現像する。
現像を終えると、ウェハの流れは次の2つに分かれる。信号NGが出力された、もしくはNGを示すフラグが立った場合には、ウェハはパターン欠陥検査装置116に送られる。信号NGが出力されていない、もしくはNGを示すフラグが立っていない場合には、OKであるから、ウェハは次の工程へと送られる。
パターン欠陥検査装置116に送られてきたウェハは、パターン欠陥検査装置116によって、フォトレジスト膜にパターン欠陥が発生していないかが検査される。
検査の結果、問題が無ければ、そのウェハは次の工程へと送られる。反対に問題が有れば、そのウェハはリワーク工程へと送られる(リワーク工程の例は後述する)。
問題が有る、無しの判定の一例は、次の通りである。
例えば、ウェハ上の膜が剥がれていたり、ウェハ上にパーティクルが付着していたりしても、例えば、ウェハの有効領域にあるフォトレジスト膜にはパターン欠陥が発生していないことがある。この場合には、リワークする必要は無いのでウェハを次の工程へ進めても良い。ウェハの有効領域とは、例えば、ウェハの中央部分にあり、チップに欠けがない領域、あるいはエッジエクスクルージョンを除いた領域のことである。エッジエクスクルージョンとは、ウェハのエッジからある程度の距離までに設定され、エッジエクスクルージョンにかかって形成されたチップは使わない領域(排除領域)のことである。
あるいはウェハの有効領域にあるフォトレジスト膜にはパターン欠陥が発生していても、その数が少ない場合には、ウェハを次の工程へ進めても良い。極端な例ではあるが、例えば、パターン欠陥の数が“1個”の場合でもリワークするとなると、製造コストがかさむ。これを防ぐためには、パターン欠陥の数、あるいはパターン欠陥を生じたチップの数に許容できる数(しきい値)を予め決めておき、パターン欠陥の数、あるいはパターン欠陥を生じたチップが上記許容できる数以下、もしくは未満ならば、ウェハを次の工程へ進めるようにしても良い。
反対に、ウェハの有効領域にあるフォトレジスト膜にパターン欠陥がある、あるいはパターン欠陥の数が上記許容できる数以上もしくは超えた、あるいはパターン欠陥を生じたチップの数が上記許容できる数以上もしくは超えたならば、ウェハはリワーク工程へ進められる。
(クリーニングサイクルの例)
次に、第4実施形態に係る液浸リソグラフィーシステムの、クリーニングサイクルについて説明する。
図11は、比較例に係る液浸リソグラフィーシステムのクリーニングサイクルを示す図である。
図11に示すように、液浸リソグラフィーシステムには汚れが発生するから、定期洗浄は必須である。図11には、予め決められた時間毎に定期洗浄を実施する例が示される。
図12は、第4実施形態に係る液浸リソグラフィーシステムのクリーニングサイクルの一例を示す図である。本例においても定期洗浄が実施される。第4実施形態によれば、例えば、定期洗浄に加えて、ウェハの端部側面、及びウェハの端部上面の少なくともいずれかに、膜剥がれ、及びパーティクル付着の少なくともいずれかが発生したときに臨時洗浄が実施される。
第4実施形態によれば、定期洗浄に加えて上記臨時洗浄を実施することで、膜剥がれ、及びパーティクル付着の少なくともいずれかに起因した歩留りの低下を抑制することができる。
なお、図12には、比較例と同様に、予め決められた時間毎に定期洗浄を実施する例を示したが、定期洗浄は、例えば、予め決められたウェハの処理枚数毎に実施されるように変更されても良い。
(リワーク工程の例)
次に、リワーク工程の例を説明する。
図13は、リワーク工程の第1例を示す流れ図である。第1例は、例えば、パーティクル付着の場合に実施されるリワーク工程の一例である。
まず、St.1に示すように、リワーク工程に廻されたウェハからフォトレジスト膜を除去する。
次いで、St.2に示すように、ウェハにフォトレジストを再塗布し、ウェハ上にフォトレジスト膜を再形成する(St.2)。
次いで、St.3に示すように、フォトレジスト膜を再露光する。
次いで、St.4に示すように、ウェハの端部側面、及びウェハの端部上面の少なくともいずれかを再検査し、ウェハの端部側面、及びウェハの端部上面の少なくともいずれかに、膜剥がれ、及びパーティクル付着の少なくともいずれかが発生していないかを判定する。これは、上述の検査装置106による検査、並びに上述の判定装置108による判定と同じである。
次いで、St.5に示すように、フォトレジスト膜を再現像する。
次いで、St.6に示すように、St.4の工程における判定において問題あり、即ち、膜剥がれ、及びパーティクル付着の少なくともいずれかが発生した、と判定されたか否かを判断する。問題なし(No)、即ち、膜剥がれ、及びパーティクル付着の双方とも発生していない、と判断されたならば、リワーク処理されたウェハを、次の工程へと進める。
反対に、問題あり(Yes)、即ち、膜剥がれ、及びパーティクル付着の少なくともいずれかが発生した、と判断されたならば、フォトレジストパターンを再検査する(St.7)。これは、上述のパターン欠陥検査装置116による検査と同じである。
次いで、St.8に示すように、St.7に示す再検査の結果、問題なし(No)、と判断されたならば、リワーク処理されたウェハを、次の工程へと進める。
反対に、問題あり(Yes)と判断されたならば、リワーク処理されたウェハを、再度リワーク工程に戻す。
なお、図13に示す流れの一例は、基本的な流れを示しているので、場合によっては、リワーク工程が無限に繰り返される流れになっている。この事情は、ウェハに実施されるリワーク処理の回数に、予め制限回数を決めておき、リワーク処理の回数が制限回数に達したら、ウェハのリワーク処理はしないようにすれば解消できる。あるいはリワーク処理を一度実施したら、再度のリワーク処理はしないようにしても良い。
なお、リワーク処理を繰り返すと、ウェハに加わるストレス履歴、例えば、熱履歴が、リワーク処理されていないウェハに比較して多くなるので、場合によっては素子特性が劣化する可能性がある。これを解消するためには、上述の通り、リワーク処理の回数に制限回数を決めておく、あるいはリワーク処理を一度実施したら、再度のリワーク処理はしないようにすることで解消できる。
図14は、リワーク工程の第2例を示す流れ図である。第2例は、例えば、膜剥がれの場合に実施されるリワーク工程の一例である。
図14に示すように、第2例の流れが、上記第1例の流れと異なるところは、St.1に示すフォトレジスト膜を除去した後に、剥がれた膜を除去し(St.9)、除去した膜を再形成する(St.10)ことにある。除去した膜を再形成した後は、St.2に示すように、フォトレジストを再塗布する。これ以降の工程は、図13に示した第1例の工程と同様である。本第2例におけるSt.2以降の説明は、第1例の説明を引用することで省略する。
(パターン欠陥検査、又はリワーク処理に廻すウェハの例)
図15は、パターン欠陥検査、又はリワーク処理に廻すウェハの第1例を示す図である。
図15に示すように、製造Lot中には複数のウェハ(Wafer)が含まれる。図中のウェハ内に記された数字は、処理の順番を示す。今、ウェハ1〜ウェハ3までが液浸露光済であり、ウェハ3において、ウェハの端部側面、及びウェハの端部上面の少なくともいずれかに、膜剥がれ、及びパーティクル付着の少なくとも一方が生じた、と判定されたとする。
第1例は、基本的な例を示したもので、上記膜剥がれ、及び上記パーティクル付着の少なくとも一方が生じたウェハ3に対して付加的な検査、例えば、パターン欠陥検査を含む検査を実施するものである。さらに、上記ウェハ3に対して、リワーク処理を実施するものである。
このように、付加的な検査、例えば、パターン欠陥検査を含む検査、及びリワーク処理は、上記膜剥がれ、及び上記パーティクル付着の少なくとも一方が生じたウェハ3に対して実施されれば良い。
図16は、パターン欠陥検査、又はリワーク処理に廻すウェハの第2例を示す図である。
液浸リソグラフィーシステムによっては、露光済ウェハに対して、ウェハの端部側面、及びウェハの端部上面の少なくともいずれかを検査している間に、後続のウェハに対して液浸露光を実施するものがある。第2例は、このような例に対して適用し得る例である。
第2例は、上記膜剥がれ、及び上記パーティクル付着の少なくとも一方が生じたウェハ3が属する製造ロットに含まれたウェハのうち、上記ウェハ3、及びウェハ3の後に、このウェハ3を液浸露光した液浸露光装置を用いて処理されたウェハ4〜ウェハ6に対して、付加的な検査、例えば、パターン欠陥検査を含む検査を実施するものである。さらに、上記ウェハ3〜ウェハ6に対して、リワーク処理を実施するものである。
このように、付加的な検査、例えば、パターン欠陥検査を含む検査、及びリワーク処理は、上記膜剥がれ、及び上記パーティクル付着の少なくとも一方が生じたウェハ3、及びウェハ3の後に、ウェハ3を液浸露光した液浸露光装置を用いて処理されたウェハ4〜6に対して実施することも可能である。
さらに、第2例の場合には、例えば、ウェハ4〜6に対しては、例えば、上述の検査装置106を用いた検査は省略し、付加的な検査、例えば、パターン欠陥検査を含む検査、及びリワーク処理に廻すようにすることも可能である。ウェハ4〜6に対しては、例えば、上述の検査装置106を用いた検査を省略することで、スループットを向上させることが可能となる。
図17は、パターン欠陥検査、又はリワーク処理に廻すウェハの第3例を示す図である。
第3例も第2例と同様に、露光済ウェハに対して、ウェハの端部側面、及びウェハの端部上面の少なくともいずれかを検査している間に、後続のウェハに対して液浸露光を実施する例である。第3例が第2例と異なるところは、上記膜剥がれ、及び上記パーティクル付着の少なくとも一方が生じた、と判定されたとき、液浸露光中のウェハ7に対する液浸露光を途中で中止することにある。他は、第2例と同じである。
なお、液浸露光が中止されたウェハ7は、露光が不完全であるから、ウェハ7に対しては、上述の検査装置106を用いた検査、及びパターン欠陥検査装置116を用いた検査を省略し、直接にリワーク処理に廻す。
第3例においても、第2例と同様にスループットを向上させることが可能となる。
さらに、第3例によれば、上記膜剥がれ、及び上記パーティクル付着の少なくとも一方が生じた、と判定されたとき、液浸露光中のウェハ7に対する液浸露光を途中で中止するので、液浸露光の終了を待たずに済む。このため、上記スループットは、例えば、第2例に比較して、さらに向上させることが可能である。
以上、第1〜第4実施形態によれば、歩留りの低下を抑制できる、リソグラフィー工程に液浸露光装置を用いる半導体装置の製造方法、及び液浸リソグラフィーシステムを提供できる。
また、上記実施形態は以下の態様を含む。
(1) 素子製造工程中のリソグラフィー工程に液浸露光装置を用いる半導体装置の製造方法であって、
前記液浸露光装置による液浸露光工程の後から、少なくとも半導体基板の端部の膜構造が前記液浸露光工程時点から変化する工程との間に、
前記半導体基板の端部側面、及び前記半導体基板の端部上面側の少なくとも一方を検査する検査工程と、
前記検査工程における検査結果に基いて、前記半導体基板の端部側面、及び前記半導体基板の端部上面側の少なくとも一方に膜剥がれの有無、及びパーティクル付着の有無の少なくとも一方を判定する判定工程と、
前記判定工程において、前記膜剥がれ、及び前記パーティクル付着の少なくとも一方が生じた、と判定されたとき、所定の対応処理を実施する工程と、を含む半導体装置の製造方法。
(2) (1)の態様に係る半導体装置の製造方法であって、
前記検査工程は、前記半導体基板の端部の側面、及び前記半導体基板の端部の上面側の少なくともいずれか一方に、前記膜剥がれ、及び前記パーティクルの付着の少なくともいずれか一方が生じているか否かを検査し、前記膜剥がれ、及び前記パーティクルの付着の少なくとも一方が生じたときに検出信号を出力する工程を含み、
前記判定工程は、前記検出信号を用いて、膜剥がれの有無、及びパーティクルの付着の有無の少なくとも一方を判定する工程を含む半導体装置の製造方法。
(3) (1)の態様に係る半導体装置の製造方法であって、
さらに、少なくとも半導体基板の端部に前記パターン露光工程と同一の膜構造が形成された工程から、前記パターン露光工程との間に、
前記半導体基板の端部側面、及び前記半導体基板の端部上面側の少なくとも一方を検査する検査工程と、
前記検査工程における検査結果に基いて、前記半導体基板の端部側面、及び前記半導体基板の端部上面側の少なくとも一方に膜剥がれの有無、及びパーティクル付着の有無の少なくとも一方を判定する判定工程と、を含む半導体装置の製造方法。
(4) (1)の態様に係る半導体装置の製造方法であって、
前記所定の対応処理は、前記液浸露光装置のウェハステージに設けられた補助板の表面を清掃する清掃工程を含む半導体装置の製造方法。
(5) (1)の態様に係る半導体装置の製造方法であって、
前記所定の対応処理は、
(a)前記膜剥がれ、及び前記パーティクル付着の少なくとも一方が生じた半導体基板
(b)前記(a)に該当する半導体基板、及び前記(a)に該当する半導体基板が属する製造ロットに含まれた半導体基板のうち、前記(a)に該当する半導体基板の後に前記液浸露光装置を用いて処理された半導体基板
前記(a)及び(b)の少なくとも一つに該当する半導体基板に、付加的な検査を実施する付加的検査工程を含む半導体装置の製造方法。
(6) (5)の態様に係る半導体装置の製造方法であって、
前記付加的な検査は、前記半導体基板上に形成されたレジストパターンの欠陥を検査するパターン欠陥検査を含む半導体装置の製造方法。
(7) (6)の態様に係る半導体装置の製造方法であって、
前記パターン欠陥検査は、
前記半導体基板上に形成されたレジストパターンの表面の画像を取得する工程と、
前記取得した画像を画像処理する工程と、
前記画像処理の結果に基いて、前記レジストパターンに生じたパターン欠陥を検出する工程と、を含む半導体装置の製造方法。
(8) (1)の態様に係る半導体装置の製造方法であって、
前記所定の対応処理は、
(a)前記膜剥がれ、及び前記パーティクル付着の少なくとも一方が生じた半導体基板
(b)前記(a)に該当する半導体基板、及び前記(a)に該当する半導体基板が属する製造ロットに含まれた半導体基板のうち、前記(a)に該当する半導体基板の後に前記液浸露光装置を用いて処理された半導体基板
(c)前記(a)に該当する半導体基板、及び前記(a)に該当する半導体基板が属する製造ロットに含まれた半導体基板のうち、前記(a)に該当する半導体基板の後に前記液浸露光装置を用いて処理されている半導体基板
前記(a)乃至(c)の少なくともいずれか一つに該当する半導体基板をリワークするリワーク処理を含む半導体装置の製造方法。
(9) (8)の態様に係る半導体装置の製造方法であって、
前記リワーク処理は、前記液浸露光装置を用いた液浸リソグラフィー工程のやり直しを含む半導体装置の製造方法。
(10) 半導体基板を液浸露光する液浸露光装置と、
前記半導体基板の端部側面、及び前記半導体基板の端部上面側の少なくとも一方を検査する検査機構と、
前記検査機構からの検査結果に基いて、前記半導体基板の端部側面、及び前記半導体基板の端部上面側の少なくとも一方に膜剥がれの有無、及びパーティクル付着の有無の少なくとも一方を判定する判定機構と、
前記判定機構が、前記膜剥がれ、及び前記パーティクル付着の少なくとも一方が発生したと判定したとき、所定の対応処理を実施する対応処理機構と
を具備する液浸リソグラフィーシステム。
(11) (10)の態様に係る液浸リソグラフィーシステムであって、
前記対応処理機構は、
前記液浸露光装置のウェハステージに設けられた補助板の表面を清掃する清掃機構を含む液浸リソグラフィーシステム。
(12) (10)の態様に係る液浸リソグラフィーシステムであって、
前記対応処理機構は、
(a)前記膜剥がれ、及び前記パーティクル付着の少なくとも一方が生じた半導体基板
(b)前記(a)に該当する半導体基板、及び前記(a)に該当する半導体基板が属する製造ロットに含まれた半導体基板のうち、前記(a)に該当する半導体基板の後に前記液浸露光装置を用いて処理された半導体基板
前記(a)及び(b)の少なくとも一つに該当する半導体基板に、付加的な検査を実施する付加的検査機構を含む液浸リソグラフィーシステム。
(13) (12)の態様に係る液浸リソグラフィーシステムであって、
前記付加的な検査は、前記半導体基板上に形成されたレジストパターンの欠陥を検査するパターン欠陥検査を含む液浸リソグラフィーシステム。
(14) (13)の態様に係る液浸リソグラフィーシステムであって、
前記パターン欠陥検査は、
前記半導体基板上に形成されたレジストパターンの表面の画像を取得する工程と、
前記取得した画像を画像処理する工程と、
前記画像処理の結果に基いて、前記レジストパターンに生じたパターン欠陥を検出する工程と、を含む液浸リソグラフィーシステム。
(15) (10)の態様に係る液浸リソグラフィーシステムであって、
前記対応処理機構は、
(a)前記膜剥がれ、及び前記パーティクル付着の少なくとも一方が生じた半導体基板
(b)前記(a)に該当する半導体基板、及び前記(a)に該当する半導体基板が属する製造ロットに含まれた半導体基板のうち、前記(a)に該当する半導体基板の後に前記液浸露光装置を用いて処理された半導体基板
(c)前記(a)に該当する半導体基板、及び前記(a)に該当する半導体基板が属する製造ロットに含まれた半導体基板のうち、前記(a)に該当する半導体基板の後に前記液浸露光装置を用いて処理されている半導体基板
前記(a)乃至(c)の少なくともいずれか一つに該当する半導体基板をリワークするリワーク処理を含む液浸リソグラフィーシステム。
(16) (15)の態様に係る液浸リソグラフィーシステムであって、
前記リワーク処理は、前記液浸露光装置を用いた液浸リソグラフィー工程のやり直しを含む液浸リソグラフィーシステム。
以上、この発明をいくつかの実施形態により説明したが、この発明は各実施形態に限定されるものではなく、その実施にあたっては発明の要旨を逸脱しない範囲で種々に変形することが可能である。
また、各実施形態は単独で実施することが可能であるが、適宜組み合わせて実施することも可能である。
また、各実施形態は種々の段階の発明を含んでおり、各実施形態において開示した複数の構成要件の適宜な組み合わせにより、種々の段階の発明を抽出することが可能である。
図1は液浸露光装置を示す概略図 図2はウェハ内の露光順序を示す図 図3はウェハ内の露光順序及び保護膜剥がれ部とパーティクル残留部の関係を示す図 図4は液浸リソグラフィー装置の一例を示すブロック図(保護膜を使用しない場合) 図5はウェハ端部の膜構造の例を示す断面図(保護膜なし、レジストエッジカット有り) 図6はウェハ端部の膜構造の例を示す断面図(保護膜なし、レジストエッジカット無し) 図7は液浸リソグラフィー装置の一例を示すブロック図(保護膜を使用する場合) 図8はウェハ端部の膜構造の例を示す断面図(保護膜あり) 図9はこの発明の第1実施形態に係るパターン露光前に検査をする場合の一例を示す流れ図 図10はこの発明の第4実施形態に係る液浸リソグラフィーシステムの一例を示すブロック図 図11は比較例に係る液浸リソグラフィーシステムのクリーニングサイクルを示す図 図12は第4実施形態に係る液浸リソグラフィーシステムのクリーニングサイクルの一例を示す図 図13はリワーク工程の第1例を示す流れ図 図14はリワーク工程の第2例を示す流れ図 図15はパターン欠陥検査、又はリワーク処理に廻すウェハの第1例を示す図 図16はパターン欠陥検査、又はリワーク処理に廻すウェハの第2例を示す図 図17はパターン欠陥検査、又はリワーク処理に廻すウェハの第3例を示す図
符号の説明
104…液浸露光装置、106…検査装置、108…判定装置、110…洗浄装置、114…現像装置114、116…パターン欠陥検査装置

Claims (5)

  1. 素子製造工程中のリソグラフィー工程に液浸露光装置を用いる半導体装置の製造方法であって、
    前記液浸露光装置による液浸露光工程の後から、少なくとも半導体基板の端部の膜構造が前記液浸露光工程時点から変化する工程との間に、
    前記半導体基板の端部側面、及び前記半導体基板の端部上面側の少なくとも一方を検査する検査工程と、
    前記検査工程における検査結果に基いて、前記半導体基板の端部側面、及び前記半導体基板の端部上面側の少なくとも一方に膜剥がれの有無、及びパーティクル付着の有無の少なくとも一方を判定する判定工程と、
    前記判定工程において、前記膜剥がれ、及び前記パーティクル付着の少なくとも一方が生じた、と判定されたとき、所定の対応処理を実施する工程と、
    を含むことを特徴とする半導体装置の製造方法。
  2. 前記所定の対応処理は、前記液浸露光装置のウェハステージに設けられた補助板の表面を清掃する清掃工程を含むことを特徴とする請求項1に記載の半導体装置の製造方法。
  3. 前記所定の対応処理は、
    (1)前記膜剥がれ、及び前記パーティクル付着の少なくとも一方が生じた半導体基板
    (2)前記(1)に該当する半導体基板、及び前記(1)に該当する半導体基板が属する製造ロットに含まれた半導体基板のうち、前記(1)に該当する半導体基板の後に前記液浸露光装置を用いて処理された半導体基板
    前記(1)及び(2)の少なくとも一つに該当する半導体基板に対して、付加的な検査を実施する付加的検査工程を含むことを特徴とする請求項1に記載の半導体装置の製造方法。
  4. 前記所定の対応処理は、
    (1)前記膜剥がれ、及び前記パーティクル付着の少なくとも一方が生じた半導体基板
    (2)前記(1)に該当する半導体基板、及び前記(1)に該当する半導体基板が属する製造ロットに含まれた半導体基板のうち、前記(1)に該当する半導体基板の後に前記液浸露光装置を用いて処理された半導体基板
    (3)前記(1)に該当する半導体基板、及び前記(1)に該当する半導体基板が属する製造ロットに含まれた半導体基板のうち、前記(1)に該当する半導体基板の後に前記液浸露光装置を用いて処理されている半導体基板
    前記(1)乃至(3)の少なくともいずれか一つに該当する半導体基板をリワークするリワーク処理を含むことを特徴とする請求項1に記載の半導体装置の製造方法。
  5. 半導体基板を液浸露光する液浸露光装置と、
    前記半導体基板の端部側面、及び前記半導体基板の端部上面側の少なくとも一方を検査する検査機構と、
    前記検査機構からの検査結果に基いて、前記半導体基板の端部側面、及び前記半導体基板の端部上面側の少なくとも一方に膜剥がれの有無、及びパーティクル付着の有無の少なくとも一方を判定する判定機構と、
    前記判定機構が、前記膜剥がれ、及び前記パーティクル付着の少なくとも一方が発生したと判定したとき、所定の対応処理を実施する対応処理機構と
    を具備することを特徴とする液浸リソグラフィーシステム。
JP2006085664A 2006-03-27 2006-03-27 半導体装置の製造方法及び液浸リソグラフィーシステム Pending JP2007266074A (ja)

Priority Applications (4)

Application Number Priority Date Filing Date Title
JP2006085664A JP2007266074A (ja) 2006-03-27 2006-03-27 半導体装置の製造方法及び液浸リソグラフィーシステム
TW096107524A TW200741368A (en) 2006-03-27 2007-03-05 Method of manufacturing semiconductor device and liquid immersion lithography system
KR1020070029242A KR100838921B1 (ko) 2006-03-27 2007-03-26 반도체 장치의 제조 방법 및 액침 리소그래피 시스템
US11/727,498 US7630053B2 (en) 2006-03-27 2007-03-27 Method of manufacturing semiconductor device and liquid immersion lithography system

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2006085664A JP2007266074A (ja) 2006-03-27 2006-03-27 半導体装置の製造方法及び液浸リソグラフィーシステム

Publications (1)

Publication Number Publication Date
JP2007266074A true JP2007266074A (ja) 2007-10-11

Family

ID=38558377

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2006085664A Pending JP2007266074A (ja) 2006-03-27 2006-03-27 半導体装置の製造方法及び液浸リソグラフィーシステム

Country Status (4)

Country Link
US (1) US7630053B2 (ja)
JP (1) JP2007266074A (ja)
KR (1) KR100838921B1 (ja)
TW (1) TW200741368A (ja)

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2008065924A1 (fr) * 2006-11-29 2008-06-05 Tokyo Electron Limited Appareil et procédé pour la formation d'un film protecteur pour une exposition par immersion
JP2009010349A (ja) * 2007-05-22 2009-01-15 Asml Netherlands Bv 基板を検査する方法およびリソグラフィのために基板を準備する方法
JP2009032886A (ja) * 2007-07-26 2009-02-12 Sokudo:Kk 基板処理装置
JP2009032887A (ja) * 2007-07-26 2009-02-12 Sokudo:Kk 基板処理装置
JP2009117832A (ja) * 2007-11-06 2009-05-28 Asml Netherlands Bv リソグラフィの基板を準備する方法、基板、デバイス製造方法、密封コーティングアプリケータ及び密封コーティング測定装置
JP2009177162A (ja) * 2008-01-02 2009-08-06 Asml Netherlands Bv 液浸リソグラフィ
US7641406B2 (en) 2007-07-26 2010-01-05 Sokudo Co., Ltd. Bevel inspection apparatus for substrate processing
JP2010147361A (ja) * 2008-12-22 2010-07-01 Sokudo Co Ltd 基板処理装置および基板処理方法
JP2010147360A (ja) * 2008-12-22 2010-07-01 Sokudo Co Ltd 基板処理装置および基板処理方法
KR20120114146A (ko) * 2011-04-06 2012-10-16 도쿄엘렉트론가부시키가이샤 기판 처리 장치, 기판 처리 방법 및 그 기판 처리 방법을 실행시키기 위한 프로그램을 기록한 컴퓨터 판독 가능한 기록 매체
JP2014222761A (ja) * 2014-07-01 2014-11-27 東京エレクトロン株式会社 基板処理方法及びその基板処理方法を実行させるためのプログラムを記録した記録媒体
US8941809B2 (en) 2008-12-22 2015-01-27 Screen Semiconductor Solutions Co., Ltd. Substrate processing apparatus and substrate processing method

Families Citing this family (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7880860B2 (en) 2004-12-20 2011-02-01 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
DE102005039517A1 (de) * 2005-08-20 2007-02-22 Carl Zeiss Smt Ag Phasenverzögerungselement und Verfahren zur Herstellung eines Phasenverzögerungselementes
US8125610B2 (en) * 2005-12-02 2012-02-28 ASML Metherlands B.V. Method for preventing or reducing contamination of an immersion type projection apparatus and an immersion type lithographic apparatus
US7969548B2 (en) * 2006-05-22 2011-06-28 Asml Netherlands B.V. Lithographic apparatus and lithographic apparatus cleaning method
WO2008029884A1 (fr) * 2006-09-08 2008-03-13 Nikon Corporation Dispositif et procédé de nettoyage, et procédé de fabrication du dispositif
US8817226B2 (en) 2007-02-15 2014-08-26 Asml Holding N.V. Systems and methods for insitu lens cleaning using ozone in immersion lithography
US8654305B2 (en) 2007-02-15 2014-02-18 Asml Holding N.V. Systems and methods for insitu lens cleaning in immersion lithography
US9529275B2 (en) * 2007-02-21 2016-12-27 Taiwan Semiconductor Manufacturing Company, Ltd. Lithography scanner throughput
US7866330B2 (en) 2007-05-04 2011-01-11 Asml Netherlands B.V. Cleaning device, a lithographic apparatus and a lithographic apparatus cleaning method
US7841352B2 (en) 2007-05-04 2010-11-30 Asml Netherlands B.V. Cleaning device, a lithographic apparatus and a lithographic apparatus cleaning method
US8011377B2 (en) 2007-05-04 2011-09-06 Asml Netherlands B.V. Cleaning device and a lithographic apparatus cleaning method
US8947629B2 (en) 2007-05-04 2015-02-03 Asml Netherlands B.V. Cleaning device, a lithographic apparatus and a lithographic apparatus cleaning method
US20090025753A1 (en) * 2007-07-24 2009-01-29 Asml Netherlands B.V. Lithographic Apparatus And Contamination Removal Or Prevention Method
US7916269B2 (en) 2007-07-24 2011-03-29 Asml Netherlands B.V. Lithographic apparatus and contamination removal or prevention method
SG151198A1 (en) * 2007-09-27 2009-04-30 Asml Netherlands Bv Methods relating to immersion lithography and an immersion lithographic apparatus
NL1035942A1 (nl) * 2007-09-27 2009-03-30 Asml Netherlands Bv Lithographic Apparatus and Method of Cleaning a Lithographic Apparatus.
JP5017232B2 (ja) * 2007-10-31 2012-09-05 エーエスエムエル ネザーランズ ビー.ブイ. クリーニング装置および液浸リソグラフィ装置
NL1036273A1 (nl) * 2007-12-18 2009-06-19 Asml Netherlands Bv Lithographic apparatus and method of cleaning a surface of an immersion lithographic apparatus.
NL1036306A1 (nl) 2007-12-20 2009-06-23 Asml Netherlands Bv Lithographic apparatus and in-line cleaning apparatus.
US8339572B2 (en) 2008-01-25 2012-12-25 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
EP2196857A3 (en) * 2008-12-09 2010-07-21 ASML Netherlands BV Lithographic apparatus and device manufacturing method
US20100294742A1 (en) * 2009-05-22 2010-11-25 Enrico Magni Modifications to Surface Topography of Proximity Head
US20110134400A1 (en) * 2009-12-04 2011-06-09 Nikon Corporation Exposure apparatus, liquid immersion member, and device manufacturing method
JP5956053B2 (ja) * 2013-02-14 2016-07-20 株式会社島津製作所 微細パターニング用表面化学処理装置
US9760027B2 (en) * 2013-10-17 2017-09-12 United Microelectronics Corp. Scanner routing method for particle removal
US9880473B2 (en) 2016-06-22 2018-01-30 Headway Technologies, Inc. Surface treatment method for dielectric anti-reflective coating (DARC) to shrink photoresist critical dimension (CD)
US10840121B2 (en) 2016-10-31 2020-11-17 Taiwan Semiconductor Manufacturing Co., Ltd. Method and apparatus for unpacking semiconductor wafer container
CN109917620B (zh) * 2017-12-12 2021-07-23 长鑫存储技术有限公司 晶圆载台***及具有该晶圆载台***的曝光机
CN111650813B (zh) * 2019-03-04 2024-04-16 东京毅力科创株式会社 基板处理装置、基板检查装置及方法、以及记录介质
KR102277979B1 (ko) * 2019-07-18 2021-07-15 세메스 주식회사 기판 처리 방법

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2003077291A1 (fr) * 2002-03-12 2003-09-18 Olympus Corporation Procede de fabrication de semi-conducteurs et dispositif d'usinage associe
JP2005057294A (ja) * 2003-08-07 2005-03-03 Asml Netherlands Bv インタフェースユニット、該インタフェースユニットを含むリソグラフィ投影装置、及びデバイス製造方法
JP2006032956A (ja) * 2004-07-13 2006-02-02 Asml Netherlands Bv リソグラフィ装置およびデバイス製造方法
JP2006080404A (ja) * 2004-09-10 2006-03-23 Tokyo Electron Ltd 塗布、現像装置、露光装置及びレジストパターン形成方法。

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10223512A (ja) * 1997-02-10 1998-08-21 Nikon Corp 電子ビーム投影露光装置
TW484039B (en) * 1999-10-12 2002-04-21 Asm Lithography Bv Lithographic projection apparatus and method
US6827816B1 (en) * 1999-12-16 2004-12-07 Applied Materials, Inc. In situ module for particle removal from solid-state surfaces
JP4585649B2 (ja) * 2000-05-19 2010-11-24 キヤノン株式会社 露光装置およびデバイス製造方法
WO2003065433A1 (fr) * 2002-01-28 2003-08-07 Mitsubishi Chemical Corporation Detergent liquide pour substrat de dispositif semi-conducteur et procede de nettoyage
JP4274736B2 (ja) * 2002-03-28 2009-06-10 大日本スクリーン製造株式会社 基板処理装置
SG121819A1 (en) * 2002-11-12 2006-05-26 Asml Netherlands Bv Lithographic apparatus and device manufacturing method
US7403259B2 (en) 2003-10-17 2008-07-22 Asml Netherlands B.V. Lithographic processing cell, lithographic apparatus, track and device manufacturing method
US7880860B2 (en) * 2004-12-20 2011-02-01 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
JP2006222284A (ja) 2005-02-10 2006-08-24 Toshiba Corp パターン形成方法、及び半導体装置の製造方法
JP4634822B2 (ja) 2005-02-24 2011-02-16 株式会社東芝 レジストパターン形成方法および半導体装置の製造方法
US8125610B2 (en) * 2005-12-02 2012-02-28 ASML Metherlands B.V. Method for preventing or reducing contamination of an immersion type projection apparatus and an immersion type lithographic apparatus
US7666576B2 (en) * 2006-06-07 2010-02-23 Taiwan Semiconductor Manufacturing Company, Ltd. Exposure scan and step direction optimization

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2003077291A1 (fr) * 2002-03-12 2003-09-18 Olympus Corporation Procede de fabrication de semi-conducteurs et dispositif d'usinage associe
JP2005057294A (ja) * 2003-08-07 2005-03-03 Asml Netherlands Bv インタフェースユニット、該インタフェースユニットを含むリソグラフィ投影装置、及びデバイス製造方法
JP2006032956A (ja) * 2004-07-13 2006-02-02 Asml Netherlands Bv リソグラフィ装置およびデバイス製造方法
JP2006080404A (ja) * 2004-09-10 2006-03-23 Tokyo Electron Ltd 塗布、現像装置、露光装置及びレジストパターン形成方法。

Cited By (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8111372B2 (en) 2006-11-29 2012-02-07 Tokyo Electron Limited Coating film forming apparatus and coating film forming method for immersion light exposure
WO2008065924A1 (fr) * 2006-11-29 2008-06-05 Tokyo Electron Limited Appareil et procédé pour la formation d'un film protecteur pour une exposition par immersion
JP2009010349A (ja) * 2007-05-22 2009-01-15 Asml Netherlands Bv 基板を検査する方法およびリソグラフィのために基板を準備する方法
US8435593B2 (en) 2007-05-22 2013-05-07 Asml Netherlands B.V. Method of inspecting a substrate and method of preparing a substrate for lithography
JP2009032886A (ja) * 2007-07-26 2009-02-12 Sokudo:Kk 基板処理装置
JP2009032887A (ja) * 2007-07-26 2009-02-12 Sokudo:Kk 基板処理装置
US7641406B2 (en) 2007-07-26 2010-01-05 Sokudo Co., Ltd. Bevel inspection apparatus for substrate processing
US8114568B2 (en) 2007-11-06 2012-02-14 Amsl Netherlands B.V. Method of preparing a substrate for lithography, a substrate, a device manufacturing method, a sealing coating applicator and a sealing coating measurement apparatus
US8394572B2 (en) 2007-11-06 2013-03-12 Asml Netherlands B.V. Method of preparing a substrate for lithography, a substrate, a device manufacturing method, a sealing coating applicator and a sealing coating measurement apparatus
JP2009117832A (ja) * 2007-11-06 2009-05-28 Asml Netherlands Bv リソグラフィの基板を準備する方法、基板、デバイス製造方法、密封コーティングアプリケータ及び密封コーティング測定装置
JP2009177162A (ja) * 2008-01-02 2009-08-06 Asml Netherlands Bv 液浸リソグラフィ
US8129097B2 (en) 2008-01-02 2012-03-06 Asml Netherlands B.V. Immersion lithography
JP2010147360A (ja) * 2008-12-22 2010-07-01 Sokudo Co Ltd 基板処理装置および基板処理方法
JP2010147361A (ja) * 2008-12-22 2010-07-01 Sokudo Co Ltd 基板処理装置および基板処理方法
US8941809B2 (en) 2008-12-22 2015-01-27 Screen Semiconductor Solutions Co., Ltd. Substrate processing apparatus and substrate processing method
KR20120114146A (ko) * 2011-04-06 2012-10-16 도쿄엘렉트론가부시키가이샤 기판 처리 장치, 기판 처리 방법 및 그 기판 처리 방법을 실행시키기 위한 프로그램을 기록한 컴퓨터 판독 가능한 기록 매체
JP2012222086A (ja) * 2011-04-06 2012-11-12 Tokyo Electron Ltd 基板処理装置、基板処理方法及びその基板処理方法を実行させるためのプログラムを記録した記録媒体
US8774491B2 (en) 2011-04-06 2014-07-08 Tokyo Electron Limited Substrate processing apparatus, substrate processing method, and computer-readable recording medium having program for executing the substrate processing method recorded therein
KR101719383B1 (ko) 2011-04-06 2017-03-23 도쿄엘렉트론가부시키가이샤 기판 처리 장치, 기판 처리 방법 및 그 기판 처리 방법을 실행시키기 위한 프로그램을 기록한 컴퓨터 판독 가능한 기록 매체
JP2014222761A (ja) * 2014-07-01 2014-11-27 東京エレクトロン株式会社 基板処理方法及びその基板処理方法を実行させるためのプログラムを記録した記録媒体

Also Published As

Publication number Publication date
US20070229789A1 (en) 2007-10-04
TW200741368A (en) 2007-11-01
KR100838921B1 (ko) 2008-06-16
US7630053B2 (en) 2009-12-08
KR20070096951A (ko) 2007-10-02

Similar Documents

Publication Publication Date Title
KR100838921B1 (ko) 반도체 장치의 제조 방법 및 액침 리소그래피 시스템
US6171737B1 (en) Low cost application of oxide test wafer for defect monitor in photolithography process
JP4440647B2 (ja) 欠陥を修復する方法およびシステム
US20080264441A1 (en) Method for removing residuals from photomask
JP2006235230A (ja) レジストパターン形成方法および半導体装置の製造方法
US8941809B2 (en) Substrate processing apparatus and substrate processing method
JP2012104593A (ja) 基板処理装置、プログラム、コンピュータ記憶媒体及び基板の搬送方法
JP2011197375A (ja) 反射型マスクの製造方法および該製造に用いられる反射型マスクブランク
JP2007220890A (ja) 塗布現像処理装置における基板周縁処理方法
JP5837649B2 (ja) 基板処理装置、異常処理部判定方法、プログラム及びコンピュータ記憶媒体
US20030155077A1 (en) Substrate processing apparatus
CN102539448A (zh) 显影残留检测方法
US8148054B2 (en) Immersion multiple-exposure method and immersion exposure system for separately performing multiple exposure of micropatterns and non-micropatterns
US6872497B1 (en) Reflective mask for short wavelength lithography
JP5371413B2 (ja) 基板処理装置および基板処理方法
US11467484B2 (en) Method for inspecting a reticle, a method for manufacturing a reticle, and a method for manufacturing a semiconductor device using the same
Rastegar et al. Cleaning challenges of EUV mask substrates, blanks, and patterned mask
US6919146B2 (en) Planar reticle design/fabrication method for rapid inspection and cleaning
Jekauc et al. Necessity of chemical edge bead removal in modern day lithographic processing
US20110027699A1 (en) Reducing ion migration of absorber materials of lithography masks by chromium passivation
Nakano et al. Topcoat-less resist approach for high volume production and yield enhancement of immersion lithography
Wood et al. Impact of frequent particle removal on EUV mask lifetime
Santos et al. Track integrated backside cleaning solution: impact of backside contamination on printing distortions
TW202113461A (zh) 光罩與修復光罩的方法
Harumoto et al. Mitigation of EUV photoresist pattern deformation by wafer backside cleaning techniques

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20080728

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20101221

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110104

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20110426