JP2007165304A - トロイダル・プラズマ・チャンバ - Google Patents

トロイダル・プラズマ・チャンバ Download PDF

Info

Publication number
JP2007165304A
JP2007165304A JP2006317424A JP2006317424A JP2007165304A JP 2007165304 A JP2007165304 A JP 2007165304A JP 2006317424 A JP2006317424 A JP 2006317424A JP 2006317424 A JP2006317424 A JP 2006317424A JP 2007165304 A JP2007165304 A JP 2007165304A
Authority
JP
Japan
Prior art keywords
plasma
plasma chamber
chamber
toroidal
gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2006317424A
Other languages
English (en)
Other versions
JP4791941B2 (ja
Inventor
Donald K Smith
ケイ. スミス,ドナルド
Xing Chen
チェン,ジン
William M Holber
エム. ホルバー,ウィリアム
Eric Georgeus
ジョージアス,エリック
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
MKS Instruments Inc
Original Assignee
MKS Instruments Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=25382309&utm_source=***_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=JP2007165304(A) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by MKS Instruments Inc filed Critical MKS Instruments Inc
Publication of JP2007165304A publication Critical patent/JP2007165304A/ja
Application granted granted Critical
Publication of JP4791941B2 publication Critical patent/JP4791941B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J27/00Ion beam tubes
    • H01J27/02Ion sources; Ion guns
    • H01J27/16Ion sources; Ion guns using high-frequency excitation, e.g. microwave excitation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Combustion & Propulsion (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Physical Or Chemical Processes And Apparatus (AREA)

Abstract

【課題】誘導電流が形成されるのを防止するトロイダル・プラズマ・チャンバを提供する。
【解決手段】トロイダル低電場プラズマソースとともに用いられ得る金属製プラズマチャンバ100は、第1の誘電体領域108および第2の誘電体領域110を含む。誘電体領域108および110は、プラズマチャンバ100を、第1の領域112および第2の領域114に電気的に分離する。第1の領域112および第2の領域114の各々は、高度真空シールにより誘電体領域108、110に接続されることにより、プラズマチャンバ100を形成している。誘電体領域108、110は、プラズマチャンバ100の組み合わせ面116を分離する誘電体スペーサを有してなっていてもよい。
【選択図】図3

Description

本発明は、概してイオン、フリーラジカル、原子、および分子を包含する活性ガスを発生させる分野、ならびに活性ガスを備える材料を処理するための装置および方法に関し、更に詳しくは、そのような装置及び方法において用いられるトロイダル・プラズマ・チャンバに関する。
プラズマ放電は、イオン、フリーラジカル、原子、および分子を含有する活性ガスを生成するようにガスを励起するために用いられ得る。活性ガスは、半導体ウェハのような固体材料、粉末、および他のガスの処理を含む、数多くの産業的ならびに科学的応用例のために用いられる。処理される材料に対するプラズマのパラメータ、およびプラズマ照射の条件は、応用例により広く異なる。
例えば、いくつかの応用例は、処理される材料が損傷に敏感であるため、低い機械的エネルギー(即ち数エレクトロンボルト)を備えたイオンの使用を必要とする。他の応用例(例えば、異方性エッチングまたは平面化誘電蒸着)は、高い機械的エネルギーのイオンを備えたイオンの使用を必要とする。また別の応用例(例えば、反応性イオンビームエッチング)は、イオンエネルギーの精密な制御を必要とする。
いくつかの応用例は、処理される材料の高密度のプラズマへの直接照射を必要とする。そのような1つの応用例は、イオン活性化学反応が発生している。他のそのような応用例は、材料のエッチングおよび材料の高アスペクト比構造への蒸着を含む。他の応用例は、材料がイオンにより生じる損傷に敏感であるため、あるいは処理が高い選択的要件を有するため、処理される材料のプラズマからの遮蔽を必要とする。
プラズマは、直流放電、高周波(RF)放電、およびマイクロ波放電を含む多様な方法において発生し得る。直流放電は、ガス内の2つの電極間においてポテンシャルを付与することにより達成される。平行なプレートは、典型的には静電気的にエネルギーをプラズマへつなげるために用いられる。誘導コイルは、典型的には電流をプラズマへ入れるために用いられる。例えば、Reinbergらに発行された米国特許第4,431,898号は、変圧器コアが交流電力ソースからの交流電力を非誘導材料から形成されるプラズマチャンバ内へ連結する、RF誘導的連結プラズマ発生器を記載している。同様に、Kaendlerらによる「Characterization of Plasma In an Inductively Coupled High-Dense Plasma Source」、Fourth International Conference on Plasma Surface Engineering、Garmisch−Partenkirchen、Germany、1994年9月、Vol.74〜75、no.1〜3、pp.539〜545)において、RF発生器からの電力がフェライトコア変圧器の1次巻線に誘導的に連結されている、プラズマ発生器が記載されている。
マイクロ波は、マイクロ波通過窓を通して、ガスを包含する放電チャンバへマイクロ波エネルギーを直接的に連結することにより達成される。マイクロ波放電は、それらが広範囲の放電条件(高度にイオン化された電子サイクロトン共振(ECR)プラズマを含む)を支持するために用いられ得ることから、有益である。
RF放電および直流放電は、高エネルギーイオンを固有に生成し、よって処理される材料がプラズマと直接的に接触している応用例のためのプラズマを発生するためにしばしば用いられる。マイクロ波放電は、濃密な、低イオンエネルギープラズマを生成し、よって「ダウンストリーム」処理のための活性ガスのストリームを生成するためにしばしば用いられる。マイクロ波放電はまた、低エネルギーでイオンを発生し、そのイオンを付与されたポテンシャルを備えた処理面に対して加速することが望ましい応用例のためにも有益である。
しかし、マイクロ波および誘導的に連結されたプラズマソースは、高価かつ複雑な電力配絵システムを必要とする。これらのプラズマソースは、精密RFまたはマイクロ波電力発生器および発生器のインピーダンスとプラズマソースを一致させる複雑な一致ネットワークを必要とする。さらに、精密計測器が通常必要とされ、プラズマに至る実電力を確実にし、かつ制御する。
RF誘導的連結プラズマは、半導体ウェハ処理のような応用例のための広い領域のプラズマを発生するために特に有益である。しかし、従来技術のRF誘導的連結プラズマは、駆動電流がプラズマに弱く連結されることから、純粋に誘導的とはいえない。高電圧は、反応器表面の高エネルギーイオン照射メントを引き起こす高い静電場を生成する。イオン照射は、反応器を劣化させ、処理チャンバおよび処理される材料を汚染する。イオン照射はまた、処理される材料を損傷させ得る。
ファラデー遮蔽は、高静電場を包含するために誘導的連結プラズマソースに用いられてきた。しかし、駆動コイル電流のプラズマへの比較的弱い連結のため、遮蔽内に大きな渦電流を形成し、相当量の電力浪費をもたらす。経費、複雑性、および低減された電力効率は、ファラデー遮蔽の使用を望ましくないものにする。
従って、本発明の主要な目的は、従来のRFまたはマイクロ波発生器およびインピーダンス一致システムの使用なしに電力をプラズマへ連結するデバイスを連結する、高効率RF電力を用いる活性ガスのソースを提供することである。
本発明の別の主要な目的は、処理反応器内において顕著なエネルギーイオン照射のない、あるいは長期的動作がソースへの損傷かつ汚染材料の生成なしに化学的反応ガスを用いて持続され得る、材料処理のための活性ガスのソースを提供することである。
本発明の別の主要な目的は、金属、誘電、もしくは被覆金属(例えば、陽極化(anodized))のうちのいずれかが、ソースチャンバを形成するために用いられ得る。
本発明の主要な発見は、スイッチング半導体デバイスが、変圧器の2次回路を形成するように、電磁的エネルギーをプラズマへ連結する電力変圧器の1次巻線を効率的に駆動させるために用いられ得ることである。誘導的駆動型トロイダルプラズマソースが金属性プラズマチャンバを備えて構成され得ることは、本発明の別の主要な発見である。
従って、本発明はプラズマチャンバを含むガスを解離するための装置を特徴とする。プラズマチャンバは、アルミニウムのような金属材料から形成されてもよく、あるいは石英のような誘電材料から形成されてもよい。金属材料は超硬合金であってもよい。該装置はプラズマチャンバに連結され、プラズマチャンバ内のプラズマにより発生した反応性ガスを受け取るように位置づけられた処理チャンバを含んでもよい。
該装置はまた、プラズマチャンバの一部を包囲する磁気コアを有し、かつ1次巻線を有する変圧器をも含み得る。1つ以上のスイッチング半導体デバイスは、電圧源に直接的に連結され、変換器の1次巻線に連結された出力を有する。1つ以上のスイッチング半導体デバイスは、変圧器の1次巻線に直接的に連結され得る。1つ以上のスイッチング半導体デバイスは、スイッチングトランジスタであってもよい。電圧源はライン電圧源またはバス電圧源であり得る。
該装置は、チャンバ内のプラズマの点火を補助する自由電荷発生器を含み得る。好ましい実施形態において、電極は、チャンバ内に位置づけられ、自由電荷を発生する。別の好ましい実施形態においては、電極は、容量的にチャンバに連結され、自由電荷を発生する。別の好ましい実施形態においては、紫外光源が光学的にチャンバに連結され、自由電荷を発生する。
該装置は、1次巻線の、およびプラズマの電気的パラメータを計測するための回路を含み得る。回路は、1次巻線を駆動する電流、1次巻線の電圧、バス電圧源、1次巻線における平均電力、ならびに1次巻線におけるピーク電力のようなパラメータを計測する。電力制御回路は、1次巻線の、およびプラズマの電気的パラメータを計測するために回路に連結され得る。電力制御回路は、1次巻線の、およびプラズマの電気的特性の計測に基づき、かつ所望の動作条件を表す予め決められた設定点から1次巻線を介して流れる電流を規制する。
本発明はまた、ガスを解離するための方法を特徴とする。該方法は、ある圧力下におけるガスを包含するためのチャンバを提供することを含む。圧力は、実質的には1mtorrと100torrとの間であり得る。ガスは、希ガス、反応性ガス、もしくは少なくとも1つの希ガスおよび少なくとも1つの反応性ガスの混合物を含み得る。該方法はまた、チャンバの一部を包囲する磁気コアを有し、かつ1次巻線を有する、変圧器を提供することを含む。
加えて、該方法は1つ以上のスイッチング半導体デバイスを電圧源(これはライン電圧源またはバス電圧源であり得る)に直接的に連結することを含む。1つ以上のスイッチング半導体デバイスはまた変圧器の1次巻線に連結され、それらは1次巻線を駆動する電流を発生する。1つ以上のスイッチング半導体デバイスは、変圧器の1次巻線に直接的に連結され得る。
該方法はまた、変圧器の1次巻線における電流を備えたプラズマチャンバ内のポテンシャルを誘導することを含む。誘導ポテンシャルの大きさは、コアにより生成された磁場、ならびにスイッチング半導体デバイスがファラデーの誘導の法則に従って動作する周波数に依存する。ポテンシャルは、変圧器の2次回路を完成するプラズマを形成する。プラズマの電場は、実質的には1から100V/cmの間であり得る。該方法はチャンバ内の最初のイオン化イベントを提供することを含んでもよい。最初のイオン化イベントは、1次巻線もしくはプラズマチャンバ内に位置づけられた電極への電圧パルスの付与であってもよい。最初のイオン化イベントはまた、チャンバを紫外線放射に照射することであってもよい。
該方法は、1つ以上の1次巻線を駆動する電流を含む、1次巻線の、およびプラズマの電気的パラメータ、1次巻線の電圧、バス電圧、1次巻線における平均電力、ならびに1次巻線におけるピーク電力を計測する工程を含んでもよい。さらに、該方法は、1次巻線、プラズマの電気的パラメータの計測からの、ならびに所望の動作条件を表す予め決められた設定点からの、1つ以上のスイッチング半導体デバイスの出力を決定する工程を含んでもよい。
本発明はまた、処理チャンバを洗浄するための方法をも含む。該方法は、処理チャンバに連結されたプラズマチャンバを提供することを含む。プラズマチャンバは、ある圧力下における反応性ガスを包含する。変圧器は、プラズマチャンバの一部を包囲する磁気コアを有し、かつ1次巻線を有して提供される。1つ以上のスイッチング半導体デバイスは、変圧器の1次巻線を駆動する電流を発生するための電圧源に直接的に連結される。
さらに、該方法は、1次巻線内の電流を備えたプラズマチャンバ内のポテンシャルを誘導することを含む。誘導されたポテンシャルの大きさは、コアにより生成された磁場、ならびにスイッチング半導体デバイスがファラデーの誘導の法則に従って動作する周波数に依存する。ポテンシャルは、変圧器の2次回路を完成するプラズマを形成する。該方法はまた、プラズマ内で発生した原子、分子、およびフリーラジカルのような化学的に活性な種を、プラズマチャンバから処理チャンバ内へ方向づけ、それにより処理チャンバを洗浄することを含む。
本発明はまた、反応性ガスを発生するための方法をも含む。該方法は、処理チャンバに連結されたプラズマチャンバを提供することを含む。プラズマチャンバは、ある圧力下における反応ガスを包含する。変圧器は、チャンバの一部を包囲する磁気コアを有し、かつ1次巻線を有して提供される。1つ以上のスイッチング半導体デバイスは、電圧源に直接的に連結され、変換器の1次巻線を駆動する電流を発生する。
さらに、該方法は、1次巻線内の電流を備えたプラズマチャンバ内のポテンシャルを誘導することを含む。誘導ポテンシャルの大きさは、コアにより生成された磁場、ならびにスイッチング半導体デバイスがファラデーの誘導の法則に従って動作する周波数に依存する。ポテンシャルは、変圧器の2次回路を完成するプラズマを形成する。該方法はまた、プラズマ内の反応性ガスを発生することを含む。
本発明はまた、イオンを発生するための装置をも特徴とする。該装置は、耐熱金属のような金属材料から形成され得るプラズマチャンバを含む。処理チャンバは、プラズマチャンバ内のオリフィスに連結され得、プラズマにより発生するイオンを受け取るように適応され得る。加速電極は、プラズマにより発生するイオンを加速するために処理チャンバ内に位置づけられ得る。
該装置はまた、プラズマチャンバの一部を包囲する磁気コアを有し、かつ1次巻線を有する変圧器をも含んでもよい。1つ以上のスイッチング半導体デバイスは、電圧源(これはライン電圧源またはバス電圧源であり得る)に直接的に連結され、変圧器の1次巻線に連結された出力を有する。動作において、1つ以上のスイッチング半導体デバイスは、変圧器の1次巻線内の電流を駆動する。電流は、変圧器の2次回路を完成するプラズマを形成するチャンバ内のポテンシャルを誘導する。イオンは、プラズマからオリフィスを通して抽出される。イオンは、加速電極により加速され得る。
本発明はまた、ガスを解離するための別の装置をも特徴とする。該装置は、アルミニウムのような導電体を含むプラズマチャンバ、ならびにチャンバ内の誘導された電流が流れることを防ぐ、少なくとも1つの誘電領域を含む。プラズマチャンバは、プラズマチャンバの少なくとも2つの領域を区分した複数の誘電領域を含んでもよい。誘電領域は、チャンバの少なくとも1つの接合面上の誘電被覆を含んでもよい。プラズマチャンバはまた、チャンバの温度を制御する液体を通すためのクーリングチャネルを含んでもよい。
さらに、該装置は、チャンバの一部を包囲する磁気コアを有し、かつ1次巻線を有する、変圧器を含んでもよい。該装置はまた、変圧器の1次巻線に電気的に連結された出力を有する電源を含む。電源は、変圧器の2次の回路を完成するプラズマを形成をするチャンバ内のポテンシャルを誘電する1次巻線内の電流を駆動する。電源は、電圧源に直接的に連結され、変圧器の1次巻線に連結された出力を有する、1つ以上のスイッチング半導体デバイスを含み得る。電圧源は、ライン電圧源またはバス電圧源を含み得る。
該装置は、チャンバ内のプラズマの点火を補助する自由電荷を発生するための手段を含んでもよい。好ましい実施形態において、電極は、チャンバ内に位置づけられ、自由電荷を発生する。別の好ましい実施形態においては、電極は、容量的にチャンバに連結され、自由電荷を発生する。別の好ましい実施形態においては、紫外光源が光学的にチャンバに連結され、自由電荷を発生する。
図1は、本発明の実施形態としての、活性化ガスを生成するためのトロイダル低電場プラズマソース10の概略図である。ソース10は、電磁エネルギーをプラズマ14中に結合する電力変圧器12を有する。電力変圧器12は、高透磁率の磁性体コア16、1次コイル18、および、プラズマ14が変圧器12の2次回路を形成することを可能にするプラズマチャンバ20を有する。電力変圧器12は、さらなる2次回路を形成するための、さらなる磁性体コアおよび導電体1次コイルを(図示せず)を有し得る。
プラズマチャンバ20は、アルミニウムまたは耐熱金属などの金属材料から形成され得、あるいは、石英などの誘電体材料から形成され得る。プラズマチャンバ20の一つ以上の側面を処理チャンバ22に露すことにより、プラズマ14によって発生された帯電粒子が、処理される材料(図示せず)と直接接触することを可能にし得る。処理する材料を支持するためのサンプルホルダ23を処理チャンバ22中に位置させ得る。処理される材料は、プラズマのポテンシャルに対してバイアスされ得る。
ライン電圧源またはバス電圧源であり得る電圧源24が、1つ以上のスイッチング半導体デバイスを含む回路26に、直接結合されている。1つ以上のスイッチング半導体デバイスは、スイッチングトランジスタであり得る。回路は、ソリッドステートスイッチング電源であり得る。回路26の出力28は、変圧器12の1次巻線18に直接結合され得る。
トロイダル低電場プラズマソース10は、プラズマチャンバ20内のプラズマを点火する初期イオン化イベントを提供する、自由電荷発生手段を有し得る。初期イオン化イベントは、プラズマチャンバに印加される短く、高電圧のパルスであり得る。パルスは、約500〜10000ボルトの電圧を有し得、長さ約0.1〜10マイクロ秒であり得る。プラズマを点火するために要する電圧を減少させるために、アルゴンなどの希ガスをプラズマチャンバ20内に挿入し得る。また、プラズマチャンバ20内のプラズマを点火する初期イオン化イベントを提供する自由電荷を、プラズマチャンバ20内に発生させるために、紫外線照射を用い得る。
好適な実施形態において、短く、高電圧の電気パルスは、1次コイル18に直接印加されることにより、初期イオン化イベントを提供する。別の好適な実施形態においては、短く、高電圧の電気パルスは、プラズマチャンバ20内に位置する電極30に対して印加される。別の好適な実施形態においては、短く、高電圧の電気パルスは、プラズマチャンバ20に対して容量的に結合された電極32に対して印加される。別の好適な実施形態においては、プラズマチャンバ20は、プラズマチャンバ20に対して光学的に結合された紫外線光源34から発光される、紫外線照射に曝される。紫外線照射は、プラズマを点火する初期イオン化イベントを発生させる。
トロイダル低電場プラズマソース10はまた、1次巻線18の電気的パラメータを測定するための回路36を有し得る。1次巻線18の電気的パラメータは、1次巻線18を駆動する電流、1次巻線18の両端に印加される電圧、電圧源24によって発生されるバスまたはライン電圧源、1次巻線18中の平均電力および、1次巻線18中のピーク電力を含む。
さらに、プラズマソース10は、プラズマ14の重要な電気的パラメータを測定するための手段を有し得る。重要な電気的パラメータは、プラズマ電流および電力を含む。例えば、ソース10は、変圧器12の2次側に流されるプラズマ電流を測定するための、プラズマチャンバ20の周囲に位置する電流プローブ38を有し得る。プラズマソース10はまた、プラズマ14からの光発光を測定するための光検出器40を有し得る。さらにプラズマソース10は、電流プローブ38、電力検出器40、および回路26のうちの1つ以上からのデータを受け取った後、1次巻線18中の電流を調整することによりプラズマ中の電力を調整する、出力制御回路42を有し得る。
動作中において、実質的に1mtorrから100torrの間の圧になるまで、ガスをプラズマチャンバ20中へ流し込む。ガスは、希ガス、反応性ガス、あるいは少なくとも1つの希ガスおよび少なくとも1つの反応性ガスの混合物を包含する。スイッチング半導体デバイスを含む回路26は1次巻線18中に電流を供給し、この電流がプラズマチャンバ内にポテンシャルを誘導する。誘導されるポテンシャルの大きさは、ファラデーの誘導法則に基づき、スイッチング半導体デバイスが動作する周波数および、コアによって発生される磁場に依存する。プラズマを形成するイオン化イベントは、チャンバ内で開始され得る。イオン化イベントは、1次巻線またはチャンバ20内の電極30への電圧の印加であり得る。または、イオン化イベントは、チャンバを紫外線照射への曝露であってもよい。
ガスがイオン化されるとプラズマが形成され、このプラズマが変圧器の2次回路を完成する。プラズマの電場は、実質的に1〜100V/cmの間であり得る。希ガスのみがプラズマチャンバ20内に存在する場合、プラズマ14の電場は1volt/cmのように低くなり得る。しかし、電気的陰性のガスがチャンバ内に存在していれば、プラズマ14中の電場はずっと高くなる。プラズマチャンバ14中の低電場によってプラズマソース10を動作させることが望ましい。なぜなら、プラズマとチャンバとの間の低ポテンシャル差は、高エネルギーイオンによるチャンバの浸食ならびにその結果としての処理中の材料の汚染を、実質的に減少させる。
プラズマに送達される電力は、出力制御回路42,1次巻線18の電気的パラメータを測定するための回路36、および1つ以上のスイッチング半導体デバイスを含む回路26を包含するフィードバックループ44によって、正確に制御されることができる。さらに、フィードバックループ44は電流プローブ38および光検出器40をも含み得る。
好適な実施形熊において、出力制御回路42は、1次巻線18の電気的パラメータを測定するための回路36を用いてプラズマ中の電力を測定する。そして出力制御回路42は、測定値を所望の動作条件を表す所定のセットポイントに対して比較し、回路26の1つ以上のパラメータを調整することによりプラズマに送達される電力を制御する。回路26の1つ以上のパラメータは、1つ以上のスイッチング半導体デバイスへの駆動パルスのパルス振幅、周波数、パルス幅、および相対位相を含む。
別の好適な実施形態において、出力制御回路42は、電流プローブ38または光検出器40を用いてプラズマ中の電力を測定する。そして出力制御回路42は、測定値を所望の動作条件を表す所定のセットポイントに対して比較し、回路26の1つ以上のパラメータを調整することによりプラズマに送達される電力を制御する。
プラズマソース10は、ライン電力からプラズマに吸収される電力への変換効率が、従来技術のプラズマソースに比較して非常に高いという利点を有する。これは、1次巻線18へ電流を供給する1つ以上のスイッチング半導体デバイスを含む回路26が、高効率であるためである。変換効率は、90%より実質的に大きくあり得る。プラズマソース10はまた、従来のインピーダンス整合ネットワークまたは従来のRF電力生成器の使用を要しない点においても、有利である。これは、一般的にコストを削減し、プラズマソースの信頼性を増す。
さらに、プラズマソース10は、プラズマチャンバ20中の低電場によって動作する点においても有利である。プラズマとチャンバとの間の低ポテンシャル差は、プラズマチャンバ20内における高エネルギーイオン照射(ion bombardment)を実質的に減少させるため、低電場が望ましい。プラズマチャンバ20内における高エネルギーイオン照射を減少させることは、特に化学反応性ガスを用いた場合において、プラズマチャンバ20内の汚染材料の生成を最小にするため、望ましい。例えば、NF3およびCF4/02などのフッ素系のガスを本発明のプラズマソース10中に用いた場合(耐フッ素材料で形成されたプラズマチャンバを含む)、低イオン温度フッ素プラズマの長い照射の後、チャンバの浸食はまったく観察されないか、最小限の浸食が観察されるだけであった。
プラズマソース10は、固体表面、粉体、およびガスなどの、多くの材料を処理するために有用である。プラズマソース10は特に、薄膜蒸着およびエッチングシステムなどの半導体処理設備中の処理チャンバの洗浄に、有用である。プラズマソース10はまた特に、イオン注入およびイオンミリングシステム用のイオンソースを提供するために有用である。
さらに、プラズマソース10は、シリコン、二酸化シリコン、窒化シリコン、アルミニウム、モリブデン、タングステンなどの半導体デバイス、ならびに、フォトレジスト、ポリイミド(polyimade)、およびその他のポリマー性材料などの有機材料を製造するために用いられる、多くの材料のエッチングに用いられるエッチングシステム用のソースを提供するために、有用である。プラズマソース10はまた、ダイアモンド膜、二酸化シリコン、窒化シリコン、および窒化アルミニウムなどの多くの薄膜のプラズマ強化蒸着用のソースを提供するために、有用である。
本プラズマソースはまた、原子状フッ素、原子状塩素、および原子状酸素などの反応性ガスを生成するためにも有用である。このような反応性ガスは、二酸化シリコン、酸化スズ、酸化亜鉛、およびインジウム酸化スズなどの様々な酸化物を還元、変換、安定化、あるいは不動態化するために有用である。応用例として、フラックス無しでのはんだ付け、シリコン表面からの二酸化シリコンの除去、およびウェハ処理前におけるシリコン表面の不動態化が含まれる。
プラズマソース10の他の応用例として、ポリマー、金属、セラミック、および紙の表面特性の改変が含まれる。プラズマソース10はまた、CF4、NF3、C2F6、CHF3、SF6などのフッ素含有化合物ならびに、ダイオキシンおよびフランなどの有機化合物、ならびにその他の揮発性有機化合物を含む、環境に害を及ぼすガスの緩和にも用いられ得る。さらに、プラズマソース10は、殺菌用の原子状酸素、原子状塩素または原子状フッ素の高フラックスを生成するためにも用いられ得る。プラズマソース10はまた、大気圧トーチにおいても用いられ得る。
図2は、本発明の実施形態としてのトロイダル低電場プラズマソースを用いた、NF3フィードガスの流速の関数としてのサーマル二酸化シリコンのエッチングレートをプロットしたものである。トロイダル低電場プラズマソース10は、下流側における原子状フッ素ソースとして構成された。電力は約3.5kWであった。
図3は、図1に関連して説明したトロイダル低電場プラズマソースとともに用いられ得る、金属製プラズマチャンバ100の概略図である。プラズマチャンバ100は、アルミニウム、銅、ニッケルおよび鉄などの金属から形成される。プラズマチャンバ100はまた、陰極処理されたアルミニウムまたはニッケルメッキされたアルミニウムなどのコーティングされた金属から形成され得る。プラズマチャンバ100は、プラズマチャンバ100の温度を制御する流体を通すための埋め込み型クーリングチャネル102を有する。
図示のように、第1の高透磁率磁性体コア104と第2の高透磁率磁性体コア106が、プラズマチャンバ100を囲んでいる。磁性体コア104および106は、図1の変圧器12の一部である。図1に関連して説明したように、第1のコア104および第2のコア106の各々は、変圧器12の2次回路を完成するプラズマを形成するポテンシャルを誘導する。トロイダル低電場プラズマソースを動作させるためには、一方の磁性体コアのみが必要である。
出願人は、誘導的に駆動されるトロイダル低電場プラズマソースが、金属製のプラズマチャンバを用いて作成され得ることを見出した。従来技術における誘導結合されたプラズマソースは、誘導された電流がプラズマチャンバ自体の中で形成されることを防ぐために、誘電体材料で形成されたプラズマチャンバを用いている。本発明のプラズマチャンバ100は、プラズマチャンバ100の一部を電気的に分離するための少なくとも1つの誘電体領域を含んでいることにより、プラズマチャンバ100を通じた電気的連続性を無くしている。この電気的分離は、誘導された電流がプラズマチャンバ自体の中で形成されることを防ぐ。
プラズマチャンバ100は、誘導された電流がプラズマチャンバ100中に形成されることを防ぐ、第1の誘電体領域108および第2の誘電体領域110を含む。誘電体領域108および110は、プラズマチャンバ100を、第1の領域112および第2の領域114に電気的に分離する。第1の領域112および第2の領域114の各々は、高度真空シールにより誘電体領域108、110に接続されることにより、プラズマチャンバ100を形成している。高度真空シールは、エラストマーシールからなっていてもよく、あるいは、ろう付け接合などのような永久的なシールにより形成されてもよい。汚染を減少させるために、誘電体領域108、110はプラズマから保護されてもよい。誘電体領域108、110は、プラズマチャンバ100の組み合わせ面116を分離する誘電体スペーサを有してなっていてもよく、あるいは、組み合わせ面116上の誘電体コーティングであってもよい。
動作において、フィードガスが流入口118中に流れ込む。図1に関連して説明したように、第1のコア104および第2のコア106の各々は、変圧器12の2次回路を完成するプラズマを形成するポテンシャルを、プラズマチャンバ100内に誘導する。トロイダル低電場プラズマソースを動作させるためには、1つの磁性体コアのみが必要であることに留意されたい。
トロイダル低電場プラズマソースにおいて金属またはコーティングされた金属のチャンバを用いることは有利である。なぜなら、いくつかの金属は、プラズマ処理に通常用いられる特定の化学薬品(フッ素系ガスなど)に対してより高い耐性を有するからである。さらに、金属またはコーティングされた金属のチャンバは、誘電体チャンバよりもかなり高い温度においてかなり高い熱伝導率を有し得るため、かなり高電力のプラズマを発生することができる。
図4は、プラズマチャンバ中において誘導電流が形成されることを防ぐ図3に示す誘電体領域に適した、誘電体スペーサの概略図である。この実施形態において、高度真空シール152が、誘電体スペーサ150の外側に形成される。誘電体領域は、突出したチャンバ壁100によってプラズマから保護される。
図5は、本発明の実施形態としてのトロイダル低電場プラズマ発生器を含む、イオンビームソース200の概略図である。イオンビームソース200は、イオンミリングおよびイオン注入を含む、多くのイオンビーム処理用途において、用いられ得る。イオンビームソース200は、図3に関連して説明した金属製のプラズマチャンバ100を含む、トロイダル低電場プラズマソース202を有する。プラズマチャンバ100は、プラズマによって発生されたイオンをプラズマチャンバ100の外部に抽出するための、スリット204を有する。加速電極206が、プラズマチャンバ100から出てくるイオンを、所定の電場で加速することにより、イオンが所定のエネルギーを有するようなイオンビームを形成する。
所望のイオン種を選択ために、加速されたイオンの経路中に、質量分離マグネット208を設置し得る。所望のイオン種を所定の高エネルギーに加速するために、加速電極の第2の組を用い得る。イオンレンズを用いて、高エネルギーイオンビームを収束させ得る。垂直軸スキャナ212および水平軸スキャナ214を用いて、サンプル216を横切ってイオンビームを走査してもよい。偏向器(deflector)218を用いてイオンビームを中性粒子から分離することにより、イオンビームはサンプル216に衝突し、中性粒子は中性トラップ220に衝突するようにしてもよい。
図6は、図1の1つ以上のスイッチング半導体デバイスを含む、ソリッドステートスイッチング電源250の概略ブロック図である。出願人は、電磁エネルギーをプラズマに結合することにより変圧器の2次回路を形成する電力変圧器12の1次巻線を駆動するために、スイッチング半導体デバイスを用い得ることを見出した。
トロイダル低電場プラズマソースにおけるスイッチング半導体デバイスの使用は有利である。なぜなら、スイッチング電源は、プラズマソースに電力を提供するために用いられる従来のRFおよびマイクロ波電源よりも、ずっと安価であり、物理的にも体積がずっと小さく重量が軽いためである。これは、スイッチング電源が、ライン分離回路またはインピーダンス整合回路を必要としないためである。
本発明は、1次巻線18(図1)中の電流を駆動するために、任意のスイッチング電源構成を用いることができる。例えば、スイッチング電源250は、ライン電圧源256に結合されたフィルタ252および整流器回路254を含み得る。フィルタ252および整流器回路254の出力258は、典型的には数100ボルトの直流電圧を生成する。出力258は、電流モード制御回路260に結合される。
電流モード制御回路260は、第1の分離ドライバ262、262aおよび第2の分離ドライバ264、264aに結合される。第1の分離ドライバ262、262aおよび第2の分離ドライバ264、264aは、スイッチングトランジスタの第1の対266および第2の対268を駆動する。スイッチングトランジスタは、IGBTまたはFETデバイスであり得る。スイッチングトランジスタの第1の対266および第2の対268の出力は、正弦波を含む、多くの波形を有し得る。スイッチングトランジスタの出力は1次巻線および磁性体コア269によって、変圧器2次側を形成するトロイダルプラズマ270に結合されている。
均等物について付言する。本発明を特定の好適な実施形態について特に図示し説明したが、添付の請求の範囲に定義された発明の趣旨および範囲から逸脱することなく、様々な形熊および詳細における変更をなし得ることが、当業者には理解される。
本発明は、特に添付の特許請求の範囲において説明される。本発明の上記およびさらなる利点は、付随の図面に照らし合わせた以下の説明を参照しながら、よりよく理解され得る。
図1は、本発明を実施した活性ガスを生成するためのトロイダル低電場プラズマソースの概略図である。 図2は、本発明を実施した活性ガスを生成するためのトロイダル低電場プラズマソースを用いる、NF3フィードガスの流れ速度の関数とした、サーマル二酸化珪素のエッチング速度のグラフを示す。 図3は、図1に関して示されたトロイダル低電場プラズマソースと共に用いられ得る金属プラズマチャンバの概略図である。 図4は、誘導された電流の流れがプラズマチャンバ内に形成されることを防ぐ、図3に示す誘電領域に適した誘電スペーサの概略図である。 図5は、本発明を実施した、高密度のイオンビーム処理のために構成されたトロイダル低電場イオンビームソースの概略図である。 図6は、図1の1つ以上のスイッチング半導体デバイスを含む、固体のスイッチング電源の概略ブロック図である。

Claims (11)

  1. 反応性ガス源と共に用いられるトロイダル・プラズマ・チャンバであって、
    ガスを受け取る入口と、
    金属材料とコーティングされた金属材料との少なくとも一方で構成され、前記ガスを保持するための少なくとも1つのプラズマ・チャンバ壁と、
    前記プラズマ・チャンバの複数の部分を電気的に分離して前記プラズマ・チャンバの内部に誘導電流が形成されるのを防止し、少なくとも1つのプラズマ・チャンバ壁によって前記プラズマ・チャンバの内部に形成されたプラズマから保護されている少なくとも1つの誘電スペーサと、
    前記プラズマと前記ガスとの相互作用によって発生された反応性ガスを出力する出口と、
    を含むことを特徴とするトロイダル・プラズマ・チャンバ。
  2. 反応性ガス源と共に用いられるトロイダル・プラズマ・チャンバであって、
    ガスを受け取る入口と、
    金属材料とコーティングされた金属材料と誘電材料との少なくとも1つで構成され、前記ガスを保持するための少なくとも1又は複数のプラズマ・チャンバ壁であって、前記プラズマ・チャンバのある領域を電気的に分離して前記プラズマ・チャンバの内部に誘導電流が形成されるのを防止する少なくとも1つの誘電スペーサを受け取ることができ、前記プラズマ・チャンバの内部に形成されたプラズマから前記少なくとも1つの誘電スペーサを保護することができる1又は複数のプラズマ・チャンバ壁と、
    前記プラズマと前記ガスとの相互作用によって発生された反応性ガスを出力する出口と、
    を含むことを特徴とするトロイダル・プラズマ・チャンバ。
  3. 請求項2記載のトロイダル・プラズマ・チャンバにおいて、少なくとも1つの誘電スペーサを更に含むことを特徴とするトロイダル・プラズマ・チャンバ。
  4. 請求項2記載のトロイダル・プラズマ・チャンバにおいて、前記誘電スペーサは、少なくとも1つのプラズマ・チャンバ壁の突出部によって前記プラズマから保護されることを特徴とするトロイダル・プラズマ・チャンバ。
  5. 請求項2記載のトロイダル・プラズマ・チャンバにおいて、前記誘電スペーサは、突出部を有する少なくとも1つのプラズマ・チャンバ壁によって前記プラズマから保護されることを特徴とするトロイダル・プラズマ・チャンバ。
  6. 請求項5記載のトロイダル・プラズマ・チャンバにおいて、前記誘電スペーサは、前記突出部を有する少なくとも1つのプラズマ・チャンバ壁に隣接する凹部に配置されていることを特徴とするトロイダル・プラズマ・チャンバ。
  7. 請求項2記載のトロイダル・プラズマ・チャンバにおいて、前記誘電スペーサに隣接して配置された真空シールを更に含むことを特徴とするトロイダル・プラズマ・チャンバ。
  8. 請求項3記載のトロイダル・プラズマ・チャンバにおいて、前記誘電スペーサは、前記プラズマ・チャンバ壁の1又は複数における突出部によって前記プラズマから保護されることを特徴とするトロイダル・プラズマ・チャンバ。
  9. 請求項3記載のトロイダル・プラズマ・チャンバにおいて、前記誘電スペーサは、突出部を有する少なくとも1つ又は複数のプラズマ・チャンバ壁によって前記プラズマから保護されることを特徴とするトロイダル・プラズマ・チャンバ。
  10. 請求項8記載のトロイダル・プラズマ・チャンバにおいて、前記誘電スペーサは、前記突出部を有する少なくとも1つ又は複数のプラズマ・チャンバ壁に隣接する凹部に配置されていることを特徴とするトロイダル・プラズマ・チャンバ。
  11. 請求項3記載のトロイダル・プラズマ・チャンバにおいて、前記誘電スペーサに隣接して配置された真空シールを更に含むことを特徴とするトロイダル・プラズマ・チャンバ。
JP2006317424A 1997-06-26 2006-11-24 トロイダル・プラズマ・チャンバ Expired - Lifetime JP4791941B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/883,281 1997-06-26
US08/883,281 US6150628A (en) 1997-06-26 1997-06-26 Toroidal low-field reactive gas source

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP50568899A Division JP4070152B2 (ja) 1997-06-26 1998-06-23 トロイダル低電場反応性ガスソース

Related Child Applications (2)

Application Number Title Priority Date Filing Date
JP2008126850A Division JP4431183B2 (ja) 1997-06-26 2008-05-14 トロイダル・プラズマ・チャンバ
JP2009106092A Division JP4608583B2 (ja) 1997-06-26 2009-04-24 トロイダル・プラズマ・チャンバ

Publications (2)

Publication Number Publication Date
JP2007165304A true JP2007165304A (ja) 2007-06-28
JP4791941B2 JP4791941B2 (ja) 2011-10-12

Family

ID=25382309

Family Applications (5)

Application Number Title Priority Date Filing Date
JP50568899A Expired - Lifetime JP4070152B2 (ja) 1997-06-26 1998-06-23 トロイダル低電場反応性ガスソース
JP2006317424A Expired - Lifetime JP4791941B2 (ja) 1997-06-26 2006-11-24 トロイダル・プラズマ・チャンバ
JP2006354857A Pending JP2007165325A (ja) 1997-06-26 2006-12-28 反応性ガス発生装置及び方法
JP2008126850A Expired - Lifetime JP4431183B2 (ja) 1997-06-26 2008-05-14 トロイダル・プラズマ・チャンバ
JP2009106092A Expired - Lifetime JP4608583B2 (ja) 1997-06-26 2009-04-24 トロイダル・プラズマ・チャンバ

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP50568899A Expired - Lifetime JP4070152B2 (ja) 1997-06-26 1998-06-23 トロイダル低電場反応性ガスソース

Family Applications After (3)

Application Number Title Priority Date Filing Date
JP2006354857A Pending JP2007165325A (ja) 1997-06-26 2006-12-28 反応性ガス発生装置及び方法
JP2008126850A Expired - Lifetime JP4431183B2 (ja) 1997-06-26 2008-05-14 トロイダル・プラズマ・チャンバ
JP2009106092A Expired - Lifetime JP4608583B2 (ja) 1997-06-26 2009-04-24 トロイダル・プラズマ・チャンバ

Country Status (5)

Country Link
US (5) US6150628A (ja)
EP (9) EP1313128B1 (ja)
JP (5) JP4070152B2 (ja)
DE (8) DE69841964D1 (ja)
WO (1) WO1999000823A1 (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2014007472A1 (en) * 2012-07-03 2014-01-09 Plasmart Inc. Plasma generation apparatus and plasma generation method
KR101446159B1 (ko) 2012-07-03 2014-10-02 주식회사 플라즈마트 플라즈마 발생 장치 및 플라즈마 발생 방법
KR101548922B1 (ko) * 2014-03-13 2015-09-02 주식회사 테라텍 고밀도 구속 플라즈마 소스 장치

Families Citing this family (368)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8779322B2 (en) 1997-06-26 2014-07-15 Mks Instruments Inc. Method and apparatus for processing metal bearing gases
US7569790B2 (en) * 1997-06-26 2009-08-04 Mks Instruments, Inc. Method and apparatus for processing metal bearing gases
US20030118491A1 (en) * 1998-08-26 2003-06-26 Frieze Marcia A. Filtered gas plasma sterilization container with improved circulation
EP1212775A1 (en) * 1999-08-06 2002-06-12 Advanced Energy Industries, Inc. Inductively coupled ring-plasma source apparatus for processing gases and materials and method thereof
TW521295B (en) * 1999-12-13 2003-02-21 Semequip Inc Ion implantation ion source, system and method
US20070107841A1 (en) * 2000-12-13 2007-05-17 Semequip, Inc. Ion implantation ion source, system and method
US7838850B2 (en) 1999-12-13 2010-11-23 Semequip, Inc. External cathode ion source
KR100767762B1 (ko) * 2000-01-18 2007-10-17 에이에스엠 저펜 가부시기가이샤 자가 세정을 위한 원격 플라즈마 소스를 구비한 cvd 반도체 공정장치
DE10010707C2 (de) * 2000-03-04 2002-01-10 Philips Corp Intellectual Pty Piezoelektrischer Aktuator
US6679981B1 (en) * 2000-05-11 2004-01-20 Applied Materials, Inc. Inductive plasma loop enhancing magnetron sputtering
US6418874B1 (en) 2000-05-25 2002-07-16 Applied Materials, Inc. Toroidal plasma source for plasma processing
US6835278B2 (en) * 2000-07-07 2004-12-28 Mattson Technology Inc. Systems and methods for remote plasma clean
US7294563B2 (en) 2000-08-10 2007-11-13 Applied Materials, Inc. Semiconductor on insulator vertical transistor fabrication and doping process
US7166524B2 (en) 2000-08-11 2007-01-23 Applied Materials, Inc. Method for ion implanting insulator material to reduce dielectric constant
US6939434B2 (en) 2000-08-11 2005-09-06 Applied Materials, Inc. Externally excited torroidal plasma source with magnetic control of ion distribution
US20070042580A1 (en) * 2000-08-10 2007-02-22 Amir Al-Bayati Ion implanted insulator material with reduced dielectric constant
US7223676B2 (en) 2002-06-05 2007-05-29 Applied Materials, Inc. Very low temperature CVD process with independently variable conformality, stress and composition of the CVD layer
US6893907B2 (en) 2002-06-05 2005-05-17 Applied Materials, Inc. Fabrication of silicon-on-insulator structure using plasma immersion ion implantation
US6494986B1 (en) 2000-08-11 2002-12-17 Applied Materials, Inc. Externally excited multiple torroidal plasma source
US7320734B2 (en) 2000-08-11 2008-01-22 Applied Materials, Inc. Plasma immersion ion implantation system including a plasma source having low dissociation and low minimum plasma voltage
US7430984B2 (en) * 2000-08-11 2008-10-07 Applied Materials, Inc. Method to drive spatially separate resonant structure with spatially distinct plasma secondaries using a single generator and switching elements
US7137354B2 (en) 2000-08-11 2006-11-21 Applied Materials, Inc. Plasma immersion ion implantation apparatus including a plasma source having low dissociation and low minimum plasma voltage
US20050230047A1 (en) * 2000-08-11 2005-10-20 Applied Materials, Inc. Plasma immersion ion implantation apparatus
US7094670B2 (en) 2000-08-11 2006-08-22 Applied Materials, Inc. Plasma immersion ion implantation process
US6453842B1 (en) 2000-08-11 2002-09-24 Applied Materials Inc. Externally excited torroidal plasma source using a gas distribution plate
US6348126B1 (en) 2000-08-11 2002-02-19 Applied Materials, Inc. Externally excited torroidal plasma source
US6410449B1 (en) 2000-08-11 2002-06-25 Applied Materials, Inc. Method of processing a workpiece using an externally excited torroidal plasma source
US7479456B2 (en) * 2004-08-26 2009-01-20 Applied Materials, Inc. Gasless high voltage high contact force wafer contact-cooling electrostatic chuck
US7183177B2 (en) 2000-08-11 2007-02-27 Applied Materials, Inc. Silicon-on-insulator wafer transfer method using surface activation plasma immersion ion implantation for wafer-to-wafer adhesion enhancement
US7288491B2 (en) 2000-08-11 2007-10-30 Applied Materials, Inc. Plasma immersion ion implantation process
US7037813B2 (en) 2000-08-11 2006-05-02 Applied Materials, Inc. Plasma immersion ion implantation process using a capacitively coupled plasma source having low dissociation and low minimum plasma voltage
US7094316B1 (en) 2000-08-11 2006-08-22 Applied Materials, Inc. Externally excited torroidal plasma source
US7465478B2 (en) 2000-08-11 2008-12-16 Applied Materials, Inc. Plasma immersion ion implantation process
WO2002015650A2 (en) * 2000-08-11 2002-02-21 Applied Materials, Inc. Externally excited torroidal plasma source
US6468388B1 (en) 2000-08-11 2002-10-22 Applied Materials, Inc. Reactor chamber for an externally excited torroidal plasma source with a gas distribution plate
US7303982B2 (en) 2000-08-11 2007-12-04 Applied Materials, Inc. Plasma immersion ion implantation process using an inductively coupled plasma source having low dissociation and low minimum plasma voltage
US6551446B1 (en) 2000-08-11 2003-04-22 Applied Materials Inc. Externally excited torroidal plasma source with a gas distribution plate
US6547979B1 (en) * 2000-08-31 2003-04-15 Micron Technology, Inc. Methods of enhancing selectivity of etching silicon dioxide relative to one or more organic substances; and plasma reaction chambers
WO2002052060A1 (en) * 2000-12-26 2002-07-04 Valery Godyak Inductively coupled plasma reactor
US6634313B2 (en) 2001-02-13 2003-10-21 Applied Materials, Inc. High-frequency electrostatically shielded toroidal plasma and radical source
JP4799748B2 (ja) * 2001-03-28 2011-10-26 忠弘 大見 マイクロ波プラズマプロセス装置、プラズマ着火方法、プラズマ形成方法及びプラズマプロセス方法
US6755150B2 (en) * 2001-04-20 2004-06-29 Applied Materials Inc. Multi-core transformer plasma source
WO2003018867A1 (en) * 2001-08-29 2003-03-06 Applied Materials, Inc. Semiconductor processing using an efficiently coupled gas source
JP4772232B2 (ja) * 2001-08-29 2011-09-14 アジレント・テクノロジーズ・インク 高周波増幅回路及び高周波増幅回路の駆動方法
US7132996B2 (en) * 2001-10-09 2006-11-07 Plasma Control Systems Llc Plasma production device and method and RF driver circuit
US7100532B2 (en) * 2001-10-09 2006-09-05 Plasma Control Systems, Llc Plasma production device and method and RF driver circuit with adjustable duty cycle
US7084832B2 (en) * 2001-10-09 2006-08-01 Plasma Control Systems, Llc Plasma production device and method and RF driver circuit with adjustable duty cycle
US6991739B2 (en) * 2001-10-15 2006-01-31 Applied Materials, Inc. Method of photoresist removal in the presence of a dielectric layer having a low k-value
US6855906B2 (en) 2001-10-16 2005-02-15 Adam Alexander Brailove Induction plasma reactor
KR100481313B1 (ko) 2001-11-09 2005-04-07 최대규 유도결합 플라즈마 반응기
US6869880B2 (en) * 2002-01-24 2005-03-22 Applied Materials, Inc. In situ application of etch back for improved deposition into high-aspect-ratio features
US6761804B2 (en) 2002-02-11 2004-07-13 Applied Materials, Inc. Inverted magnetron
JP3641785B2 (ja) * 2002-08-09 2005-04-27 株式会社京三製作所 プラズマ発生用電源装置
US20030015965A1 (en) * 2002-08-15 2003-01-23 Valery Godyak Inductively coupled plasma reactor
US20070051471A1 (en) * 2002-10-04 2007-03-08 Applied Materials, Inc. Methods and apparatus for stripping
KR100542740B1 (ko) * 2002-11-11 2006-01-11 삼성전자주식회사 가스 플라즈마 생성 방법 및 장치, 플라즈마 생성용 가스조성물 및 이를 이용한 반도체 장치의 제조 방법
US6927358B2 (en) * 2003-01-31 2005-08-09 Advanced Energy Industries, Inc. Vacuum seal protection in a dielectric break
US7355687B2 (en) * 2003-02-20 2008-04-08 Hunter Engineering Company Method and apparatus for vehicle service system with imaging components
DE10308539B3 (de) * 2003-02-27 2004-06-03 Bauer Maschinen Gmbh Fräsvorrichtung zum Fräsen von Schlitzen im Boden
US20040192059A1 (en) * 2003-03-28 2004-09-30 Mosel Vitelic, Inc. Method for etching a titanium-containing layer prior to etching an aluminum layer in a metal stack
US6872909B2 (en) 2003-04-16 2005-03-29 Applied Science And Technology, Inc. Toroidal low-field reactive gas and plasma source having a dielectric vacuum vessel
US8053700B2 (en) * 2003-04-16 2011-11-08 Mks Instruments, Inc. Applicators and cooling systems for a plasma device
US8409400B2 (en) * 2003-05-07 2013-04-02 Gen Co., Ltd. Inductive plasma chamber having multi discharge tube bridge
US20040237897A1 (en) * 2003-05-27 2004-12-02 Hiroji Hanawa High-Frequency electrostatically shielded toroidal plasma and radical source
US7115185B1 (en) 2003-09-16 2006-10-03 Advanced Energy Industries, Inc. Pulsed excitation of inductively coupled plasma sources
USH2212H1 (en) * 2003-09-26 2008-04-01 The United States Of America As Represented By The Secretary Of The Navy Method and apparatus for producing an ion-ion plasma continuous in time
JP4643588B2 (ja) 2003-12-12 2011-03-02 セメクイップ, インコーポレイテッド 固体から昇華した蒸気の流れの制御
JP2007073539A (ja) * 2003-12-18 2007-03-22 Tokyo Electron Ltd 成膜方法およびプラズマ発生方法、基板処理装置
US20050194099A1 (en) * 2004-03-03 2005-09-08 Jewett Russell F.Jr. Inductively coupled plasma source using induced eddy currents
JP4838233B2 (ja) * 2004-03-12 2011-12-14 エムケイエス インストゥルメンツ, インコーポレイテッド スイッチング電源用の制御回路
US20050258137A1 (en) * 2004-03-24 2005-11-24 Sawin Herbert H Remote chamber methods for removing surface deposits
US7695590B2 (en) 2004-03-26 2010-04-13 Applied Materials, Inc. Chemical vapor deposition plasma reactor having plural ion shower grids
US20050211171A1 (en) * 2004-03-26 2005-09-29 Applied Materials, Inc. Chemical vapor deposition plasma reactor having an ion shower grid
US7291360B2 (en) * 2004-03-26 2007-11-06 Applied Materials, Inc. Chemical vapor deposition plasma process using plural ion shower grids
US20050211546A1 (en) * 2004-03-26 2005-09-29 Applied Materials, Inc. Reactive sputter deposition plasma process using an ion shower grid
US7244474B2 (en) * 2004-03-26 2007-07-17 Applied Materials, Inc. Chemical vapor deposition plasma process using an ion shower grid
US7358192B2 (en) * 2004-04-08 2008-04-15 Applied Materials, Inc. Method and apparatus for in-situ film stack processing
US7164095B2 (en) * 2004-07-07 2007-01-16 Noritsu Koki Co., Ltd. Microwave plasma nozzle with enhanced plume stability and heating efficiency
US7183717B2 (en) * 2004-07-09 2007-02-27 Energetiq Technology Inc. Inductively-driven light source for microscopy
US7307375B2 (en) * 2004-07-09 2007-12-11 Energetiq Technology Inc. Inductively-driven plasma light source
JP5179175B2 (ja) * 2004-07-09 2013-04-10 エナジェティック・テクノロジー・インコーポレーテッド 誘導駆動プラズマ光源
US7199384B2 (en) * 2004-07-09 2007-04-03 Energetiq Technology Inc. Inductively-driven light source for lithography
US7948185B2 (en) * 2004-07-09 2011-05-24 Energetiq Technology Inc. Inductively-driven plasma light source
US8058156B2 (en) 2004-07-20 2011-11-15 Applied Materials, Inc. Plasma immersion ion implantation reactor having multiple ion shower grids
US7767561B2 (en) 2004-07-20 2010-08-03 Applied Materials, Inc. Plasma immersion ion implantation reactor having an ion shower grid
US20060052883A1 (en) * 2004-09-08 2006-03-09 Lee Sang H System and method for optimizing data acquisition of plasma using a feedback control module
US7666464B2 (en) 2004-10-23 2010-02-23 Applied Materials, Inc. RF measurement feedback control and diagnostics for a plasma immersion ion implantation reactor
US20060093730A1 (en) * 2004-11-03 2006-05-04 Applied Materials, Inc. Monitoring a flow distribution of an energized gas
EP1831425B1 (en) * 2004-11-08 2011-07-13 MKS Instruments, Inc. Method of disposing metal bearing gases
US20060105114A1 (en) * 2004-11-16 2006-05-18 White John M Multi-layer high quality gate dielectric for low-temperature poly-silicon TFTs
US20060118240A1 (en) * 2004-12-03 2006-06-08 Applied Science And Technology, Inc. Methods and apparatus for downstream dissociation of gases
US20060144820A1 (en) * 2004-12-30 2006-07-06 Sawin Herbert H Remote chamber methods for removing surface deposits
US20060144819A1 (en) * 2004-12-30 2006-07-06 Sawin Herbert H Remote chamber methods for removing surface deposits
US20060182886A1 (en) * 2005-02-15 2006-08-17 Guidotti Emmanuel P Method and system for improved delivery of a precursor vapor to a processing zone
KR101121418B1 (ko) * 2005-02-17 2012-03-16 주성엔지니어링(주) 토로이드형 코어를 포함하는 플라즈마 발생장치
CA2595230C (en) * 2005-03-11 2016-05-03 Perkinelmer, Inc. Plasmas and methods of using them
US7652888B2 (en) * 2005-04-11 2010-01-26 Watlow Electric Manufacturing Company Controller housing with connector retention assembly and method
US20060249507A1 (en) * 2005-04-11 2006-11-09 Watlow Electric Manufacturing Company Modular controller user interface and method
US20060230297A1 (en) * 2005-04-11 2006-10-12 Watlow Electric Manufacturing Company Electronic device mounting assembly and method
US8044329B2 (en) * 2005-04-11 2011-10-25 Watlow Electric Manufacturing Company Compact limiter and controller assembly and method
US20060229740A1 (en) * 2005-04-11 2006-10-12 Watlow Electric Manufacturing Company Portable user interface assembly and method
US7428915B2 (en) 2005-04-26 2008-09-30 Applied Materials, Inc. O-ringless tandem throttle valve for a plasma reactor chamber
US7109098B1 (en) 2005-05-17 2006-09-19 Applied Materials, Inc. Semiconductor junction formation process including low temperature plasma deposition of an optical absorption layer and high speed optical annealing
US7312162B2 (en) 2005-05-17 2007-12-25 Applied Materials, Inc. Low temperature plasma deposition process for carbon layer deposition
US20060260545A1 (en) * 2005-05-17 2006-11-23 Kartik Ramaswamy Low temperature absorption layer deposition and high speed optical annealing system
US7422775B2 (en) 2005-05-17 2008-09-09 Applied Materials, Inc. Process for low temperature plasma deposition of an optical absorption layer and high speed optical annealing
EP1727186B1 (en) * 2005-05-23 2012-01-25 New Power Plasma Co., Ltd. Plasma chamber with discharge inducing bridge
DE102005040596B4 (de) * 2005-06-17 2009-02-12 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Verfahren zur Entfernung einer dotierten Oberflächenschicht an Rückseiten von kristallinen Silizium-Solarwafern
KR100720989B1 (ko) * 2005-07-15 2007-05-28 주식회사 뉴파워 프라즈마 멀티 챔버 플라즈마 프로세스 시스템
US7323401B2 (en) 2005-08-08 2008-01-29 Applied Materials, Inc. Semiconductor substrate process using a low temperature deposited carbon-containing hard mask
US7312148B2 (en) 2005-08-08 2007-12-25 Applied Materials, Inc. Copper barrier reflow process employing high speed optical annealing
US7429532B2 (en) 2005-08-08 2008-09-30 Applied Materials, Inc. Semiconductor substrate process using an optically writable carbon-containing mask
US7335611B2 (en) 2005-08-08 2008-02-26 Applied Materials, Inc. Copper conductor annealing process employing high speed optical annealing with a low temperature-deposited optical absorber layer
US20070051388A1 (en) 2005-09-06 2007-03-08 Applied Materials, Inc. Apparatus and methods for using high frequency chokes in a substrate deposition apparatus
US7569791B2 (en) * 2005-09-30 2009-08-04 Energetiq Technology, Inc. Inductively-driven plasma light source
US20070080141A1 (en) * 2005-10-07 2007-04-12 Applied Materials, Inc. Low-voltage inductively coupled source for plasma processing
US7353771B2 (en) * 2005-11-07 2008-04-08 Mks Instruments, Inc. Method and apparatus of providing power to ignite and sustain a plasma in a reactive gas generator
US7554053B2 (en) * 2005-12-23 2009-06-30 Lam Research Corporation Corrugated plasma trap arrangement for creating a highly efficient downstream microwave plasma system
US7679024B2 (en) * 2005-12-23 2010-03-16 Lam Research Corporation Highly efficient gas distribution arrangement for plasma tube of a plasma processing chamber
US7562638B2 (en) * 2005-12-23 2009-07-21 Lam Research Corporation Methods and arrangement for implementing highly efficient plasma traps
TW200742506A (en) * 2006-02-17 2007-11-01 Noritsu Koki Co Ltd Plasma generation apparatus and work process apparatus
US7524750B2 (en) 2006-04-17 2009-04-28 Applied Materials, Inc. Integrated process modulation (IPM) a novel solution for gapfill with HDP-CVD
JP5257917B2 (ja) * 2006-04-24 2013-08-07 株式会社ニューパワープラズマ 多重マグネチックコアが結合された誘導結合プラズマ反応器
US20080083701A1 (en) * 2006-10-04 2008-04-10 Mks Instruments, Inc. Oxygen conditioning of plasma vessels
US20080118663A1 (en) * 2006-10-12 2008-05-22 Applied Materials, Inc. Contamination reducing liner for inductively coupled chamber
US7939422B2 (en) 2006-12-07 2011-05-10 Applied Materials, Inc. Methods of thin film process
US7969096B2 (en) 2006-12-15 2011-06-28 Mks Instruments, Inc. Inductively-coupled plasma source
CN101583736A (zh) * 2007-01-19 2009-11-18 应用材料股份有限公司 浸没式等离子体室
JP2009006350A (ja) * 2007-06-27 2009-01-15 Sony Corp レーザ加工装置とその加工方法、デブリ回収機構とその回収方法、並びに表示パネルの製造方法
US7875125B2 (en) 2007-09-21 2011-01-25 Semequip, Inc. Method for extending equipment uptime in ion implantation
WO2009051597A1 (en) * 2007-10-19 2009-04-23 Mks Instruments, Inc. Toroidal plasma chamber for high gas flow rate process
WO2009082763A2 (en) * 2007-12-25 2009-07-02 Applied Materials, Inc. Method and apparatus for controlling plasma uniformity
JP2011522381A (ja) * 2008-05-30 2011-07-28 コロラド ステート ユニバーシティ リサーチ ファンデーション プラズマに基づく化学源装置およびその使用方法
US8994270B2 (en) 2008-05-30 2015-03-31 Colorado State University Research Foundation System and methods for plasma application
US8575843B2 (en) * 2008-05-30 2013-11-05 Colorado State University Research Foundation System, method and apparatus for generating plasma
US7914603B2 (en) * 2008-06-26 2011-03-29 Mks Instruments, Inc. Particle trap for a plasma source
US20100074810A1 (en) * 2008-09-23 2010-03-25 Sang Hun Lee Plasma generating system having tunable plasma nozzle
US20100101727A1 (en) * 2008-10-27 2010-04-29 Helin Ji Capacitively coupled remote plasma source with large operating pressure range
US7921804B2 (en) * 2008-12-08 2011-04-12 Amarante Technologies, Inc. Plasma generating nozzle having impedance control mechanism
KR101541576B1 (ko) 2009-02-04 2015-08-03 제너럴 퓨전 아이엔씨. 플라스마를 압축하기 위한 시스템 및 방법
US20100201272A1 (en) * 2009-02-09 2010-08-12 Sang Hun Lee Plasma generating system having nozzle with electrical biasing
KR101507738B1 (ko) 2009-02-27 2015-04-06 주식회사 뉴파워 프라즈마 플라즈마 점화와 전력 제어를 위한 방법 및 장치
US8692466B2 (en) * 2009-02-27 2014-04-08 Mks Instruments Inc. Method and apparatus of providing power to ignite and sustain a plasma in a reactive gas generator
US20100252047A1 (en) 2009-04-03 2010-10-07 Kirk Seth M Remote fluorination of fibrous filter webs
US20100254853A1 (en) * 2009-04-06 2010-10-07 Sang Hun Lee Method of sterilization using plasma generated sterilant gas
CN102598201A (zh) * 2009-08-27 2012-07-18 摩赛科结晶公司 用于高真空室的穿入式等离子体发生器
US8222822B2 (en) * 2009-10-27 2012-07-17 Tyco Healthcare Group Lp Inductively-coupled plasma device
US8742665B2 (en) * 2009-11-18 2014-06-03 Applied Materials, Inc. Plasma source design
US8771538B2 (en) * 2009-11-18 2014-07-08 Applied Materials, Inc. Plasma source design
US9111729B2 (en) 2009-12-03 2015-08-18 Lam Research Corporation Small plasma chamber systems and methods
US8282906B2 (en) * 2009-12-23 2012-10-09 3M Innovative Properties Company Remote plasma synthesis of metal oxide nanoparticles
US8124942B2 (en) * 2010-02-16 2012-02-28 Fei Company Plasma igniter for an inductively coupled plasma ion source
US9190289B2 (en) * 2010-02-26 2015-11-17 Lam Research Corporation System, method and apparatus for plasma etch having independent control of ion generation and dissociation of process gas
CA2794902A1 (en) 2010-03-31 2011-10-06 Colorado State University Research Foundation Liquid-gas interface plasma device
EP2554028B1 (en) 2010-03-31 2016-11-23 Colorado State University Research Foundation Liquid-gas interface plasma device
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
KR100989316B1 (ko) 2010-06-25 2010-10-25 이창경 플라즈마-강화 화학 증착장치
US8999104B2 (en) 2010-08-06 2015-04-07 Lam Research Corporation Systems, methods and apparatus for separate plasma source control
US9155181B2 (en) 2010-08-06 2015-10-06 Lam Research Corporation Distributed multi-zone plasma source systems, methods and apparatus
US9449793B2 (en) 2010-08-06 2016-09-20 Lam Research Corporation Systems, methods and apparatus for choked flow element extraction
US9967965B2 (en) 2010-08-06 2018-05-08 Lam Research Corporation Distributed, concentric multi-zone plasma source systems, methods and apparatus
US8624501B2 (en) * 2010-12-08 2014-01-07 Mks Instruments, Inc. Measuring and controlling parameters of a plasma generator
US8741778B2 (en) 2010-12-14 2014-06-03 Applied Materials, Inc. Uniform dry etch in two stages
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US9263237B2 (en) * 2011-02-22 2016-02-16 Gen Co., Ltd. Plasma processing apparatus and method thereof
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8884525B2 (en) * 2011-03-22 2014-11-11 Advanced Energy Industries, Inc. Remote plasma source generating a disc-shaped plasma
US8497211B2 (en) 2011-06-24 2013-07-30 Applied Materials, Inc. Integrated process modulation for PSG gapfill
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US10049881B2 (en) * 2011-08-10 2018-08-14 Applied Materials, Inc. Method and apparatus for selective nitridation process
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US9177762B2 (en) 2011-11-16 2015-11-03 Lam Research Corporation System, method and apparatus of a wedge-shaped parallel plate plasma reactor for substrate processing
US10283325B2 (en) 2012-10-10 2019-05-07 Lam Research Corporation Distributed multi-zone plasma source systems, methods and apparatus
US9083182B2 (en) 2011-11-21 2015-07-14 Lam Research Corporation Bypass capacitors for high voltage bias power in the mid frequency RF range
US8872525B2 (en) 2011-11-21 2014-10-28 Lam Research Corporation System, method and apparatus for detecting DC bias in a plasma processing chamber
US8898889B2 (en) 2011-11-22 2014-12-02 Lam Research Corporation Chuck assembly for plasma processing
US10586686B2 (en) 2011-11-22 2020-03-10 Law Research Corporation Peripheral RF feed and symmetric RF return for symmetric RF delivery
US9396908B2 (en) 2011-11-22 2016-07-19 Lam Research Corporation Systems and methods for controlling a plasma edge region
US9263240B2 (en) 2011-11-22 2016-02-16 Lam Research Corporation Dual zone temperature control of upper electrodes
WO2013078434A1 (en) 2011-11-24 2013-05-30 Lam Research Corporation Plasma processing chamber with flexible symmetric rf return strap
US20130146225A1 (en) * 2011-12-08 2013-06-13 Mks Instruments, Inc. Gas injector apparatus for plasma applicator
US9279722B2 (en) 2012-04-30 2016-03-08 Agilent Technologies, Inc. Optical emission system including dichroic beam combiner
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
RU2505949C1 (ru) * 2012-08-03 2014-01-27 Федеральное государственное бюджетное учреждение науки Институт теплофизики им. С.С. Кутателадзе Сибирского отделения Российской академии наук (ИТ СО РАН) Трансформаторный плазматрон низкого давления для ионно-плазменной обработки поверхности материалов
US20140062285A1 (en) 2012-08-29 2014-03-06 Mks Instruments, Inc. Method and Apparatus for a Large Area Inductive Plasma Source
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8944003B2 (en) * 2012-11-16 2015-02-03 Taiwan Semiconductor Manufacturing Company, Ltd. Remote plasma system and method
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US9532826B2 (en) 2013-03-06 2017-01-03 Covidien Lp System and method for sinus surgery
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US9555145B2 (en) 2013-03-13 2017-01-31 Covidien Lp System and method for biofilm remediation
WO2014152908A1 (en) * 2013-03-14 2014-09-25 Mks Instruments, Inc. Toroidal plasma abatement apparatus and method
EP2974558A4 (en) * 2013-03-15 2016-08-10 Plasmability Llc RINGFUL PLASMA PROCESSING DEVICE
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
WO2015023945A1 (en) 2013-08-16 2015-02-19 Applied Materials, Inc. Elongated capacitively coupled plasma source for high temperature low pressure environments
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US9741918B2 (en) 2013-10-07 2017-08-22 Hypres, Inc. Method for increasing the integration level of superconducting electronics circuits, and a resulting circuit
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9155184B2 (en) * 2013-11-18 2015-10-06 Applied Materials, Inc. Plasma generation source employing dielectric conduit assemblies having removable interfaces and related assemblies and methods
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9240308B2 (en) * 2014-03-06 2016-01-19 Applied Materials, Inc. Hall effect enhanced capacitively coupled plasma source, an abatement system, and vacuum processing system
US9336997B2 (en) 2014-03-17 2016-05-10 Applied Materials, Inc. RF multi-feed structure to improve plasma uniformity
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
JP6387635B2 (ja) * 2014-03-17 2018-09-12 株式会社リコー プラズマ発生装置及び表面改質装置
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9653266B2 (en) 2014-03-27 2017-05-16 Mks Instruments, Inc. Microwave plasma applicator with improved power uniformity
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9550694B2 (en) 2014-03-31 2017-01-24 Corning Incorporated Methods and apparatus for material processing using plasma thermal source
US9533909B2 (en) 2014-03-31 2017-01-03 Corning Incorporated Methods and apparatus for material processing using atmospheric thermal plasma reactor
US9284210B2 (en) 2014-03-31 2016-03-15 Corning Incorporated Methods and apparatus for material processing using dual source cyclonic plasma reactor
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
RU2558728C1 (ru) * 2014-05-29 2015-08-10 Федеральное государственное бюджетное учреждение науки Институт теплофизики им. С.С. Кутателадзе Сибирского отделения Российской академии наук (ИТ СО РАН) Комбинированный индукционно-дуговой плазмотрон и способ поджига индукционного разряда
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
JP5729514B1 (ja) * 2014-06-14 2015-06-03 プラスウェア株式会社 プラズマ発生装置、液上溶融方法及び給電装置
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
KR102365286B1 (ko) * 2014-08-19 2022-02-18 제너럴 퓨전 아이엔씨. 플라스마 자기장을 제어하기 위한 시스템 및 방법
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US10083818B2 (en) 2014-09-24 2018-09-25 Applied Materials, Inc. Auto frequency tuned remote plasma source
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US20160200618A1 (en) 2015-01-08 2016-07-14 Corning Incorporated Method and apparatus for adding thermal energy to a glass melt
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9736920B2 (en) * 2015-02-06 2017-08-15 Mks Instruments, Inc. Apparatus and method for plasma ignition with a self-resonating device
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
TWI670749B (zh) 2015-03-13 2019-09-01 美商應用材料股份有限公司 耦接至工藝腔室的電漿源
WO2016149050A1 (en) 2015-03-13 2016-09-22 Advanced Energy Industries, Inc. Plasma source device and methods
WO2016187166A1 (en) * 2015-05-21 2016-11-24 Plasmability, Llc Toroidal plasma processing apparatus with a shaped workpiece holder
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
JP6548991B2 (ja) * 2015-08-28 2019-07-24 株式会社ダイヘン プラズマ生成装置
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
CA3032818A1 (en) 2016-08-09 2018-02-15 John Bean Technologies Corporation Radio frequency processing apparatus and method
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
JP6746865B2 (ja) 2016-09-23 2020-08-26 株式会社ダイヘン プラズマ生成装置
JP6736443B2 (ja) * 2016-09-30 2020-08-05 株式会社ダイヘン プラズマ発生装置
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
DE102018204585A1 (de) * 2017-03-31 2018-10-04 centrotherm international AG Plasmagenerator, Plasma-Behandlungsvorrichtung und Verfahren zum gepulsten Bereitstellen von elektrischer Leistung
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10264663B1 (en) 2017-10-18 2019-04-16 Lam Research Corporation Matchless plasma source for semiconductor wafer fabrication
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10811144B2 (en) * 2017-11-06 2020-10-20 General Fusion Inc. System and method for plasma generation and compression
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
CN112335342B (zh) 2018-06-14 2023-07-14 Mks仪器公司 用于远程等离子源的自由基输出监控器和使用方法
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US11019715B2 (en) * 2018-07-13 2021-05-25 Mks Instruments, Inc. Plasma source having a dielectric plasma chamber with improved plasma resistance
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US10553403B1 (en) 2019-05-08 2020-02-04 Mks Instruments, Inc. Polygonal toroidal plasma source
US10886104B2 (en) 2019-06-10 2021-01-05 Advanced Energy Industries, Inc. Adaptive plasma ignition
CN110718437A (zh) * 2019-09-16 2020-01-21 明远精密科技股份有限公司 远距电浆产生装置
US11623197B2 (en) * 2020-01-23 2023-04-11 Lyten, Inc. Complex modality reactor for materials production and synthesis
US11688584B2 (en) 2020-04-29 2023-06-27 Advanced Energy Industries, Inc. Programmable ignition profiles for enhanced plasma ignition
US11776793B2 (en) 2020-11-13 2023-10-03 Applied Materials, Inc. Plasma source with ceramic electrode plate
US20240196506A1 (en) * 2022-12-08 2024-06-13 Hamamatsu Photonics K.K. Inductively Coupled Plasma Light Source with Switched Power Supply

Citations (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4431898A (en) * 1981-09-01 1984-02-14 The Perkin-Elmer Corporation Inductively coupled discharge for plasma etching and resist stripping
JPS59221694A (ja) * 1983-05-31 1984-12-13 株式会社日立製作所 核融合装置用真空容器
JPS6048195U (ja) * 1983-09-09 1985-04-04 三菱重工業株式会社 真空容器の絶縁シ−ル装置
JPS6056298U (ja) * 1983-09-26 1985-04-19 株式会社日立製作所 核融合装置用真空容器
JPS611024A (ja) * 1984-06-12 1986-01-07 Mitsubishi Electric Corp 半導体回路製造装置
JPS6180088A (ja) * 1984-09-28 1986-04-23 株式会社東芝 核融合装置の真空容器
JPS63210797A (ja) * 1987-02-27 1988-09-01 株式会社東芝 核融合装置
JPS64699A (en) * 1987-03-06 1989-01-05 Perkin Elmer Corp:The Induced plasma generator and its method
JPH01122363A (ja) * 1987-10-31 1989-05-15 Japan Atom Energy Res Inst 加速電源装置の保護回路
JPH0270063A (ja) * 1988-09-02 1990-03-08 Nippon Telegr & Teleph Corp <Ntt> プラズマ/イオン生成源およびプラズマ/イオン処理装置
JPH02260399A (ja) * 1989-03-31 1990-10-23 Fuji Denpa Koki Kk 高気圧プラズマアーク発生方法
JPH0367497A (ja) * 1989-08-07 1991-03-22 Jeol Ltd 誘導プラズマ発生装置
JPH0492414A (ja) * 1990-08-08 1992-03-25 Mitsubishi Electric Corp 薄膜形成装置
JPH05106053A (ja) * 1991-10-16 1993-04-27 Masuhiro Kokoma グロープラズマ反応方法
JPH07176524A (ja) * 1993-11-05 1995-07-14 Tokyo Electron Ltd 真空処理装置用素材及びその製造方法
JPH07183097A (ja) * 1993-10-25 1995-07-21 Applied Materials Inc 半導体作製のための、プラズマと、プラズマ点火装置及び誘導結合装置を有するプロセス反応装置を用いたワ−クピ−スの処理方法
JPH08227800A (ja) * 1994-12-05 1996-09-03 Tokyo Electron Ltd プラズマ処理装置及びプラズマ処理方法
JPH08292278A (ja) * 1995-04-21 1996-11-05 Hitachi Ltd プラズマ対抗材料
JPH097795A (ja) * 1995-06-21 1997-01-10 Mitsubishi Electric Corp Ecrプロセス装置
JPH10508985A (ja) * 1994-11-15 1998-09-02 マットソン テクノロジー インコーポレーテッド 誘導性プラズマリアクター

Family Cites Families (158)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3054742A (en) * 1956-10-26 1962-09-18 Atomic Energy Authority Uk Gas discharge apparatus
BE568741A (ja) 1957-06-20
FR1207566A (fr) * 1958-06-26 1960-02-17 Trt Telecom Radio Electr Perfectionnements aux dispositifs d'accord automatique sur une charge largement variable
US3343022A (en) * 1965-03-16 1967-09-19 Lockheed Aircraft Corp Transpiration cooled induction plasma generator
US3278384A (en) 1965-04-13 1966-10-11 Lenard Andrew Negative "v" stellarator
US3509500A (en) * 1966-12-05 1970-04-28 Avco Corp Automatic digital tuning apparatus
US3500118A (en) 1967-07-17 1970-03-10 Gen Electric Electrodeless gaseous electric discharge devices utilizing ferrite cores
US3433705A (en) * 1968-02-28 1969-03-18 Atomic Energy Commission Stellarator having multipole magnets
US3663361A (en) 1970-02-17 1972-05-16 Atomic Energy Commission Nuclear fusion device of the air-core tokamak type
US3663362A (en) * 1970-12-22 1972-05-16 Atomic Energy Commission Controlled fusion reactor
USH554H (en) 1972-03-02 1988-12-06 The United States Of America As Represented By The United States Department Of Energy Toroidal reactor
US3794941A (en) * 1972-05-08 1974-02-26 Hughes Aircraft Co Automatic antenna impedance tuner including digital control circuits
US3906405A (en) * 1974-07-01 1975-09-16 Motorola Inc Tunable antenna coupling circuit
US5099100A (en) 1974-08-16 1992-03-24 Branson International Plasma Corporation Plasma etching device and process
FR2290126A1 (fr) 1974-10-31 1976-05-28 Anvar Perfectionnements apportes aux dispositifs d'excitation, par des ondes hf, d'une colonne de gaz enfermee dans une enveloppe
US3987334A (en) 1975-01-20 1976-10-19 General Electric Company Integrally ballasted electrodeless fluorescent lamp
US4057462A (en) 1975-02-26 1977-11-08 The United States Of America As Represented By The United States Energy Research And Development Administration Radio frequency sustained ion energy
US4110595A (en) * 1975-06-19 1978-08-29 The United States Of America As Represented By The United States Department Of Energy High-frequency plasma-heating apparatus
US4073680A (en) * 1975-06-26 1978-02-14 The United States Of America As Represented By The United States Department Of Energy Toroidal band limiter for a plasma containment device
JPS5211175A (en) 1975-07-18 1977-01-27 Toshiba Corp Activated gas reacting apparatus
US4263096A (en) * 1976-02-02 1981-04-21 The United States Of America As Represented By The United States Department Of Energy Toroidal magnet system
US4088926A (en) 1976-05-10 1978-05-09 Nasa Plasma cleaning device
US4095198A (en) * 1977-01-31 1978-06-13 Gte Sylvania Incorporated Impedance-matching network
US4859399A (en) 1977-10-13 1989-08-22 Fdx Patents Holding Company, N.V. Modular fusion power apparatus using disposable core
US4180763A (en) 1978-01-25 1979-12-25 General Electric Company High intensity discharge lamp geometries
US4201960A (en) * 1978-05-24 1980-05-06 Motorola, Inc. Method for automatically matching a radio frequency transmitter to an antenna
US4292125A (en) * 1978-08-21 1981-09-29 Massachusetts Institute Of Technology System and method for generating steady state confining current for a toroidal plasma fusion reactor
US4252609A (en) 1978-11-24 1981-02-24 The United States Of America As Represented By The United States Department Of Energy Crossed-field divertor for a plasma device
US4285800A (en) 1979-04-18 1981-08-25 Branson International Plasma Corp. Gas plasma reactor for circuit boards and the like
US4282267A (en) * 1979-09-20 1981-08-04 Western Electric Co., Inc. Methods and apparatus for generating plasmas
SU957744A1 (ru) * 1980-06-09 1996-02-10 Всесоюзный научно-исследовательский, проектно-конструкторский и технологический институт токов высокой частоты им.В.П.Вологдина Трансформаторный плазмотрон
US4324611A (en) 1980-06-26 1982-04-13 Branson International Plasma Corporation Process and gas mixture for etching silicon dioxide and silicon nitride
US4368092A (en) 1981-04-02 1983-01-11 The Perkin-Elmer Corporation Apparatus for the etching for semiconductor devices
JPS57174467A (en) 1981-04-20 1982-10-27 Inoue Japax Res Inc Ion working device
GB2098138B (en) 1981-05-07 1984-11-14 Hitachi Shipbuilding Eng Co Slurry carrying ship with drainage devices
US4350578A (en) 1981-05-11 1982-09-21 International Business Machines Corporation Cathode for etching
US4486722A (en) 1982-02-18 1984-12-04 Rockwell International Corporation Pin diode switched impedance matching network having diode driver circuits transparent to RF potential
US4431901A (en) * 1982-07-02 1984-02-14 The United States Of America As Represented By The United States Department Of Energy Induction plasma tube
US4486723A (en) 1983-01-06 1984-12-04 Rca Corporation Diode switching system for a selectable impedance matching network
US4601871A (en) * 1983-05-17 1986-07-22 The United States Of America As Represented By The United States Department Of Energy Steady state compact toroidal plasma production
US4626400A (en) 1983-06-01 1986-12-02 The United States Of America As Represented By The United States Department Of Energy Variable control of neutron albedo in toroidal fusion devices
JPS6050486A (ja) 1983-08-30 1985-03-20 三菱電機株式会社 核融合装置
USH268H (en) * 1984-03-20 1987-05-05 The United States Of America As Represented By The United States Department Of Energy Elmo bumpy square plasma confinement device
US4668366A (en) 1984-08-02 1987-05-26 The Perkin-Elmer Corporation Optical figuring by plasma assisted chemical transport and etching apparatus therefor
JPS61139029A (ja) 1984-12-10 1986-06-26 Mitsubishi Electric Corp シリコンイオンビ−ムによる加工方法
JPH0697660B2 (ja) * 1985-03-23 1994-11-30 日本電信電話株式会社 薄膜形成方法
US4794217A (en) 1985-04-01 1988-12-27 Qing Hua University Induction system for rapid heat treatment of semiconductor wafers
US4680694A (en) 1985-04-22 1987-07-14 National Distillers And Chemical Corporation Ozonator power supply
US4631105A (en) 1985-04-22 1986-12-23 Branson International Plasma Corporation Plasma etching apparatus
US4793975A (en) 1985-05-20 1988-12-27 Tegal Corporation Plasma Reactor with removable insert
US4679007A (en) * 1985-05-20 1987-07-07 Advanced Energy, Inc. Matching circuit for delivering radio frequency electromagnetic energy to a variable impedance load
DE3522569A1 (de) 1985-06-24 1987-01-02 Metallgesellschaft Ag Stromversorgung fuer ein elektrofilter
CA1246762A (en) 1985-07-05 1988-12-13 Zenon Zakrzewski Surface wave launchers to produce plasma columns and means for producing plasma of different shapes
US4668336A (en) 1985-07-23 1987-05-26 Micronix Corporation Process for making a mask used in x-ray photolithography
US4734247A (en) * 1985-08-28 1988-03-29 Ga Technologies Inc. Helical shaping method and apparatus to produce large translational transform in pinch plasma magnetic confinement
JPS6269520A (ja) * 1985-09-21 1987-03-30 Semiconductor Energy Lab Co Ltd 光cvd法により凹部を充填する方法
USH627H (en) 1985-10-03 1989-04-04 The United States Of America As Represented By The United States Department Of Energy Spherical torus fusion reactor
NL8503008A (nl) 1985-11-04 1987-06-01 Philips Nv Gelijkstroom-wisselstroomomzetter voor het ontsteken en voeden van een ontladingslamp.
US4735765A (en) * 1985-11-26 1988-04-05 The United States Of America As Represented By The United States Department Of Energy Flexible helical-axis stellarator
DE3603947A1 (de) * 1986-02-06 1987-08-13 Stiehl Hans Henrich Dr System zur dosierung von luftgetragenen ionen mit hoher genauigkeit und verbessertem wirkungsgrad zur eliminierung elektrostatischer flaechenladungen
US4767590A (en) * 1986-04-25 1988-08-30 The United States Of America As Represented By The United States Department Of Energy Anomalous - viscosity current drive
JPH0810258B2 (ja) * 1986-06-02 1996-01-31 株式会社日立製作所 プラズマ閉じ込め方法
US4897282A (en) 1986-09-08 1990-01-30 Iowa State University Reserach Foundation, Inc. Thin film coating process using an inductively coupled plasma
US4786352A (en) 1986-09-12 1988-11-22 Benzing Technologies, Inc. Apparatus for in-situ chamber cleaning
US4859908A (en) 1986-09-24 1989-08-22 Matsushita Electric Industrial Co., Ltd. Plasma processing apparatus for large area ion irradiation
US5773919A (en) * 1986-10-02 1998-06-30 Electron Power Systems Electron spiral toroid
ES2003363A6 (es) 1986-10-02 1988-11-01 Gh Ind Sa Perfeccionamientos en generadores de alta frecuencia para aplicaciones de calentamiento por induccion laser plasma y similares
US4877757A (en) 1987-07-16 1989-10-31 Texas Instruments Incorporated Method of sequential cleaning and passivating a GaAs substrate using remote oxygen plasma
JP2805009B2 (ja) * 1988-05-11 1998-09-30 株式会社日立製作所 プラズマ発生装置及びプラズマ元素分析装置
US4853250A (en) 1988-05-11 1989-08-01 Universite De Sherbrooke Process of depositing particulate material on a substrate
US4996077A (en) 1988-10-07 1991-02-26 Texas Instruments Incorporated Distributed ECR remote plasma processing and apparatus
US4918031A (en) * 1988-12-28 1990-04-17 American Telephone And Telegraph Company,At&T Bell Laboratories Processes depending on plasma generation using a helical resonator
GB8905073D0 (en) * 1989-03-06 1989-04-19 Nordiko Ltd Ion gun
US4985113A (en) * 1989-03-10 1991-01-15 Hitachi, Ltd. Sample treating method and apparatus
US5061838A (en) 1989-06-23 1991-10-29 Massachusetts Institute Of Technology Toroidal electron cyclotron resonance reactor
US4948458A (en) 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma
US5106827A (en) 1989-09-18 1992-04-21 The Perkin Elmer Corporation Plasma assisted oxidation of perovskites for forming high temperature superconductors using inductively coupled discharges
RU2022917C1 (ru) 1989-09-27 1994-11-15 Уланов Игорь Максимович Способ получения окиси азота
US5223457A (en) * 1989-10-03 1993-06-29 Applied Materials, Inc. High-frequency semiconductor wafer processing method using a negative self-bias
US5030889A (en) 1989-12-21 1991-07-09 General Electric Company Lamp ballast configuration
US5000771A (en) 1989-12-29 1991-03-19 At&T Bell Laboratories Method for manufacturing an article comprising a refractory dielectric body
DE69128345T2 (de) 1990-01-04 1998-03-26 Mattson Tech Inc Induktiver plasmareaktor im unteren hochfrequenzbereich
US5016332A (en) 1990-04-13 1991-05-21 Branson International Plasma Corporation Plasma reactor and process with wafer temperature control
US5130003A (en) 1990-06-14 1992-07-14 Conrad Richard H method of powering corona discharge in ozone generators
US5008593A (en) 1990-07-13 1991-04-16 The United States Of America As Represented By The Secretary Of The Air Force Coaxial liquid cooling of high power microwave excited plasma UV lamps
DE4035272A1 (de) 1990-11-02 1992-05-07 Sorbios Gmbh Vorrichtung zur erzeugung von ozon aus sauerstoff
US5365147A (en) 1990-11-28 1994-11-15 Nichimen Kabushiki Kaisha Plasma stabilizing apparatus employing feedback controls
US5200595A (en) 1991-04-12 1993-04-06 Universite De Sherbrooke High performance induction plasma torch with a water-cooled ceramic confinement tube
US5206516A (en) 1991-04-29 1993-04-27 International Business Machines Corporation Low energy, steered ion beam deposition system having high current at low pressure
US5254830A (en) 1991-05-07 1993-10-19 Hughes Aircraft Company System for removing material from semiconductor wafers using a contained plasma
DE4119362A1 (de) 1991-06-12 1992-12-17 Leybold Ag Teilchenquelle, insbesondere fuer reaktive ionenaetz- und plasmaunterstuetzte cvd-verfahren
US5187454A (en) 1992-01-23 1993-02-16 Applied Materials, Inc. Electronically tuned matching network using predictor-corrector control system
US6063233A (en) 1991-06-27 2000-05-16 Applied Materials, Inc. Thermal control apparatus for inductively coupled RF plasma reactor having an overhead solenoidal antenna
DE9109503U1 (ja) 1991-07-31 1991-10-17 Magtron Magneto Elektronische Geraete Gmbh, 7583 Ottersweier, De
US5353314A (en) 1991-09-30 1994-10-04 The United States Of America As Represented By The United States Department Of Energy Electric field divertor plasma pump
JP3109871B2 (ja) 1991-10-21 2000-11-20 関東電化工業株式会社 物品の水切り・乾燥方法及び装置
US5285372A (en) 1991-10-23 1994-02-08 Henkel Corporation Power supply for an ozone generator with a bridge inverter
US5153484A (en) 1991-10-31 1992-10-06 General Electric Company Electrodeless high intensity discharge lamp excitation coil and ballast configuration for maximum efficiency
US5479072A (en) * 1991-11-12 1995-12-26 General Electric Company Low mercury arc discharge lamp containing neodymium
JPH05166595A (ja) 1991-12-12 1993-07-02 Fuji Denpa Koki Kk 高気圧高密度プラズマ発生方法
US5336355A (en) 1991-12-13 1994-08-09 Hughes Aircraft Company Methods and apparatus for confinement of a plasma etch region for precision shaping of surfaces of substances and films
US5290382A (en) * 1991-12-13 1994-03-01 Hughes Aircraft Company Methods and apparatus for generating a plasma for "downstream" rapid shaping of surfaces of substrates and films
US5291415A (en) 1991-12-13 1994-03-01 Hughes Aircraft Company Method to determine tool paths for thinning and correcting errors in thickness profiles of films
US5180150A (en) 1992-01-24 1993-01-19 Hughes Danbury Optical Systems, Inc. Apparatus for providing consistent registration of semiconductor wafers
US5280154A (en) 1992-01-30 1994-01-18 International Business Machines Corporation Radio frequency induction plasma processing system utilizing a uniform field coil
US5238532A (en) 1992-02-27 1993-08-24 Hughes Aircraft Company Method and apparatus for removal of subsurface damage in semiconductor materials by plasma etching
WO1993021685A1 (en) * 1992-04-16 1993-10-28 Advanced Energy Industries, Inc. Stabilizer for switch-mode powered rf plasma processing
US5277751A (en) * 1992-06-18 1994-01-11 Ogle John S Method and apparatus for producing low pressure planar plasma using a coil with its axis parallel to the surface of a coupling window
US5397962A (en) 1992-06-29 1995-03-14 Texas Instruments Incorporated Source and method for generating high-density plasma with inductive power coupling
US5352249A (en) 1992-08-28 1994-10-04 Hughes Aircraft Company Apparatus for providing consistent, non-jamming registration of semiconductor wafers
US5700297A (en) 1992-08-28 1997-12-23 Ipec Precision, Inc. Apparatus for providing consistent, non-jamming registration of notched semiconductor wafers
DE4231905C2 (de) * 1992-09-18 1999-05-20 Stiehl Hans Henrich Dr Vorrichtung zur Messung von Ionen in einem Gas
US5414238A (en) * 1992-10-02 1995-05-09 Martin Marietta Corporation Resonant power supply for an arcjet thruster
US5359180A (en) 1992-10-02 1994-10-25 General Electric Company Power supply system for arcjet thrusters
US5346578A (en) * 1992-11-04 1994-09-13 Novellus Systems, Inc. Induction plasma source
JPH0732078B2 (ja) 1993-01-14 1995-04-10 株式会社アドテック 高周波プラズマ用電源及びインピーダンス整合装置
US5401350A (en) * 1993-03-08 1995-03-28 Lsi Logic Corporation Coil configurations for improved uniformity in inductively coupled plasma systems
US5372674A (en) 1993-05-14 1994-12-13 Hughes Aircraft Company Electrode for use in a plasma assisted chemical etching process
US5298103A (en) 1993-07-15 1994-03-29 Hughes Aircraft Company Electrode assembly useful in confined plasma assisted chemical etching
US5430355A (en) * 1993-07-30 1995-07-04 Texas Instruments Incorporated RF induction plasma source for plasma processing
US5364496A (en) 1993-08-20 1994-11-15 Hughes Aircraft Company Highly durable noncontaminating surround materials for plasma etching
US5610102A (en) 1993-11-15 1997-03-11 Integrated Process Equipment Corp. Method for co-registering semiconductor wafers undergoing work in one or more blind process modules
US5419803A (en) 1993-11-17 1995-05-30 Hughes Aircraft Company Method of planarizing microstructures
US5467013A (en) * 1993-12-07 1995-11-14 Sematech, Inc. Radio frequency monitor for semiconductor process control
US5468296A (en) * 1993-12-17 1995-11-21 Lsi Logic Corporation Apparatus for igniting low pressure inductively coupled plasma
US5565036A (en) 1994-01-19 1996-10-15 Tel America, Inc. Apparatus and method for igniting plasma in a process module
JP3279038B2 (ja) 1994-01-31 2002-04-30 ソニー株式会社 プラズマ装置およびこれを用いたプラズマ処理方法
JP3365067B2 (ja) 1994-02-10 2003-01-08 ソニー株式会社 プラズマ装置およびこれを用いたプラズマ処理方法
US5798016A (en) 1994-03-08 1998-08-25 International Business Machines Corporation Apparatus for hot wall reactive ion etching using a dielectric or metallic liner with temperature control to achieve process stability
US5406177A (en) 1994-04-18 1995-04-11 General Electric Company Gas discharge lamp ballast circuit with compact starting circuit
US5556549A (en) 1994-05-02 1996-09-17 Lsi Logic Corporation Power control and delivery in plasma processing equipment
US5514246A (en) 1994-06-02 1996-05-07 Micron Technology, Inc. Plasma reactors and method of cleaning a plasma reactor
EP0697467A1 (en) * 1994-07-21 1996-02-21 Applied Materials, Inc. Method and apparatus for cleaning a deposition chamber
US5637279A (en) 1994-08-31 1997-06-10 Applied Science & Technology, Inc. Ozone and other reactive gas generator cell and system
US5515167A (en) 1994-09-13 1996-05-07 Hughes Aircraft Company Transparent optical chuck incorporating optical monitoring
US5563709A (en) 1994-09-13 1996-10-08 Integrated Process Equipment Corp. Apparatus for measuring, thinning and flattening silicon structures
US5567255A (en) 1994-10-13 1996-10-22 Integrated Process Equipment Corp. Solid annular gas discharge electrode
US5576629A (en) * 1994-10-24 1996-11-19 Fourth State Technology, Inc. Plasma monitoring and control method and system
US5585766A (en) 1994-10-27 1996-12-17 Applied Materials, Inc. Electrically tuned matching networks using adjustable inductance elements
US5473291A (en) 1994-11-16 1995-12-05 Brounley Associates, Inc. Solid state plasma chamber tuner
DE69509046T2 (de) * 1994-11-30 1999-10-21 Applied Materials Inc Plasmareaktoren zur Behandlung von Halbleiterscheiben
US5468955A (en) 1994-12-20 1995-11-21 International Business Machines Corporation Neutral beam apparatus for in-situ production of reactants and kinetic energy transfer
JP3426382B2 (ja) 1995-01-24 2003-07-14 アネルバ株式会社 プラズマ処理装置
US5568015A (en) 1995-02-16 1996-10-22 Applied Science And Technology, Inc. Fluid-cooled dielectric window for a plasma system
JP3257328B2 (ja) 1995-03-16 2002-02-18 株式会社日立製作所 プラズマ処理装置及びプラズマ処理方法
US5688415A (en) 1995-05-30 1997-11-18 Ipec Precision, Inc. Localized plasma assisted chemical etching through a mask
US5834905A (en) 1995-09-15 1998-11-10 Osram Sylvania Inc. High intensity electrodeless low pressure light source driven by a transformer core arrangement
US6253704B1 (en) * 1995-10-13 2001-07-03 Mattson Technology, Inc. Apparatus and method for pulsed plasma processing of a semiconductor substrate
US5965034A (en) 1995-12-04 1999-10-12 Mc Electronics Co., Ltd. High frequency plasma process wherein the plasma is executed by an inductive structure in which the phase and anti-phase portion of the capacitive currents between the inductive structure and the plasma are balanced
US5756400A (en) * 1995-12-08 1998-05-26 Applied Materials, Inc. Method and apparatus for cleaning by-products from plasma chamber surfaces
US5767628A (en) 1995-12-20 1998-06-16 International Business Machines Corporation Helicon plasma processing tool utilizing a ferromagnetic induction coil with an internal cooling channel
JP3328498B2 (ja) 1996-02-16 2002-09-24 株式会社荏原製作所 高速原子線源
US5630880A (en) 1996-03-07 1997-05-20 Eastlund; Bernard J. Method and apparatus for a large volume plasma processor that can utilize any feedstock material
US5892198A (en) 1996-03-29 1999-04-06 Lam Research Corporation Method of and apparatus for electronically controlling r.f. energy supplied to a vacuum plasma processor and memory for same
USD384173S (en) 1996-07-19 1997-09-23 Osram Sylvania Inc. Electrodeless compact fluorescent lamp
US5814154A (en) 1997-01-23 1998-09-29 Gasonics International Short-coupled-path extender for plasma source
US5914278A (en) 1997-01-23 1999-06-22 Gasonics International Backside etch process chamber and method
US6424232B1 (en) * 1999-11-30 2002-07-23 Advanced Energy's Voorhees Operations Method and apparatus for matching a variable load impedance with an RF power generator impedance

Patent Citations (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4431898A (en) * 1981-09-01 1984-02-14 The Perkin-Elmer Corporation Inductively coupled discharge for plasma etching and resist stripping
JPS59221694A (ja) * 1983-05-31 1984-12-13 株式会社日立製作所 核融合装置用真空容器
JPS6048195U (ja) * 1983-09-09 1985-04-04 三菱重工業株式会社 真空容器の絶縁シ−ル装置
JPS6056298U (ja) * 1983-09-26 1985-04-19 株式会社日立製作所 核融合装置用真空容器
JPS611024A (ja) * 1984-06-12 1986-01-07 Mitsubishi Electric Corp 半導体回路製造装置
JPS6180088A (ja) * 1984-09-28 1986-04-23 株式会社東芝 核融合装置の真空容器
JPS63210797A (ja) * 1987-02-27 1988-09-01 株式会社東芝 核融合装置
JPS64699A (en) * 1987-03-06 1989-01-05 Perkin Elmer Corp:The Induced plasma generator and its method
JPH01122363A (ja) * 1987-10-31 1989-05-15 Japan Atom Energy Res Inst 加速電源装置の保護回路
JPH0270063A (ja) * 1988-09-02 1990-03-08 Nippon Telegr & Teleph Corp <Ntt> プラズマ/イオン生成源およびプラズマ/イオン処理装置
JPH02260399A (ja) * 1989-03-31 1990-10-23 Fuji Denpa Koki Kk 高気圧プラズマアーク発生方法
JPH0367497A (ja) * 1989-08-07 1991-03-22 Jeol Ltd 誘導プラズマ発生装置
JPH0492414A (ja) * 1990-08-08 1992-03-25 Mitsubishi Electric Corp 薄膜形成装置
JPH05106053A (ja) * 1991-10-16 1993-04-27 Masuhiro Kokoma グロープラズマ反応方法
JPH07183097A (ja) * 1993-10-25 1995-07-21 Applied Materials Inc 半導体作製のための、プラズマと、プラズマ点火装置及び誘導結合装置を有するプロセス反応装置を用いたワ−クピ−スの処理方法
JPH07176524A (ja) * 1993-11-05 1995-07-14 Tokyo Electron Ltd 真空処理装置用素材及びその製造方法
JPH10508985A (ja) * 1994-11-15 1998-09-02 マットソン テクノロジー インコーポレーテッド 誘導性プラズマリアクター
JPH08227800A (ja) * 1994-12-05 1996-09-03 Tokyo Electron Ltd プラズマ処理装置及びプラズマ処理方法
JPH08292278A (ja) * 1995-04-21 1996-11-05 Hitachi Ltd プラズマ対抗材料
JPH097795A (ja) * 1995-06-21 1997-01-10 Mitsubishi Electric Corp Ecrプロセス装置

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2014007472A1 (en) * 2012-07-03 2014-01-09 Plasmart Inc. Plasma generation apparatus and plasma generation method
KR101446159B1 (ko) 2012-07-03 2014-10-02 주식회사 플라즈마트 플라즈마 발생 장치 및 플라즈마 발생 방법
KR101548922B1 (ko) * 2014-03-13 2015-09-02 주식회사 테라텍 고밀도 구속 플라즈마 소스 장치

Also Published As

Publication number Publication date
EP1313131A1 (en) 2003-05-21
DE69841961D1 (de) 2010-12-02
EP1313129A1 (en) 2003-05-21
EP1310980A1 (en) 2003-05-14
US7161112B2 (en) 2007-01-09
EP2256781B1 (en) 2012-12-26
US20020125225A1 (en) 2002-09-12
EP1313130B1 (en) 2011-01-12
JP4608583B2 (ja) 2011-01-12
EP1313128B1 (en) 2011-05-04
JP2008218431A (ja) 2008-09-18
EP1313131B1 (en) 2010-10-20
US6559408B2 (en) 2003-05-06
JP2009176750A (ja) 2009-08-06
JP4791941B2 (ja) 2011-10-12
JP4431183B2 (ja) 2010-03-10
DE69841964D1 (de) 2010-12-02
EP1313132A1 (en) 2003-05-21
US6150628A (en) 2000-11-21
DE69842098D1 (de) 2011-02-24
DE69811497T2 (de) 2003-12-18
DE69841963D1 (de) 2010-12-02
EP1313132B1 (en) 2010-10-20
EP1313130A1 (en) 2003-05-21
DE69842259D1 (de) 2011-06-16
JP2007165325A (ja) 2007-06-28
DE69841965D1 (de) 2010-12-02
WO1999000823A1 (en) 1999-01-07
EP1313129B1 (en) 2010-10-20
US20040079287A1 (en) 2004-04-29
EP0992059A1 (en) 2000-04-12
EP1310980B1 (en) 2010-10-20
EP1310981B1 (en) 2010-10-20
EP2256781A1 (en) 2010-12-01
DE69841962D1 (de) 2010-12-02
JP2002507315A (ja) 2002-03-05
DE69811497D1 (de) 2003-03-27
EP0992059B1 (en) 2003-02-19
US6664497B2 (en) 2003-12-16
JP4070152B2 (ja) 2008-04-02
US6486431B1 (en) 2002-11-26
EP1313128A1 (en) 2003-05-21
EP1310981A1 (en) 2003-05-14
US20020125226A1 (en) 2002-09-12

Similar Documents

Publication Publication Date Title
JP4431183B2 (ja) トロイダル・プラズマ・チャンバ
US6924455B1 (en) Integrated plasma chamber and inductively-coupled toroidal plasma source
US7569790B2 (en) Method and apparatus for processing metal bearing gases
US6815633B1 (en) Inductively-coupled toroidal plasma source
US7541558B2 (en) Inductively-coupled toroidal plasma source
US6552296B2 (en) Toroidal low-field reactive gas source
EP1831425B1 (en) Method of disposing metal bearing gases
US8779322B2 (en) Method and apparatus for processing metal bearing gases

Legal Events

Date Code Title Description
A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20070613

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20070803

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20071105

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080219

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080514

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080709

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20081009

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20081015

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20081107

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20081225

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090424

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20090512

A912 Re-examination (zenchi) completed and case transferred to appeal board

Free format text: JAPANESE INTERMEDIATE CODE: A912

Effective date: 20091002

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20101022

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20101027

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20110516

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20110519

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110602

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20110722

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140729

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term