JP2005528776A - バリア層とシード層の一体化 - Google Patents

バリア層とシード層の一体化 Download PDF

Info

Publication number
JP2005528776A
JP2005528776A JP2003531517A JP2003531517A JP2005528776A JP 2005528776 A JP2005528776 A JP 2005528776A JP 2003531517 A JP2003531517 A JP 2003531517A JP 2003531517 A JP2003531517 A JP 2003531517A JP 2005528776 A JP2005528776 A JP 2005528776A
Authority
JP
Japan
Prior art keywords
seed layer
layer
copper
chamber
atomic percent
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2003531517A
Other languages
English (en)
Inventor
ハー チャン,
リン チェン,
ジック ユ,
メイ チャン,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US09/965,373 external-priority patent/US6936906B2/en
Priority claimed from US09/965,370 external-priority patent/US20030059538A1/en
Priority claimed from US09/965,369 external-priority patent/US20030057526A1/en
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2005528776A publication Critical patent/JP2005528776A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C30/00Coating with metallic material characterised only by the composition of the metallic material, i.e. not characterised by the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/02Pretreatment of the material to be coated
    • C23C14/024Deposition of sublayers, e.g. to promote adhesion of the coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/04Coating on selected surface areas, e.g. using masks
    • C23C14/046Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/14Metallic material, boron or silicon
    • C23C14/16Metallic material, boron or silicon on metallic substrates or on substrates of boron or silicon
    • C23C14/165Metallic material, boron or silicon on metallic substrates or on substrates of boron or silicon by cathodic sputtering
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/58After-treatment
    • C23C14/5806Thermal treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/2855Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by physical means, e.g. sputtering, evaporation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76862Bombardment with particles, e.g. treatment in noble gas plasmas; UV irradiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76864Thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76873Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroplating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D7/00Electroplating characterised by the article coated
    • C25D7/12Semiconductors
    • C25D7/123Semiconductors first coated with a seed layer or a conductive layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1068Formation and after-treatment of conductors
    • H01L2221/1073Barrier, adhesion or liner layers
    • H01L2221/1084Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L2221/1089Stacks of seed layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Abstract

本発明は、一般に、バリア層を堆積し、そのバリア層を覆ってシード層を堆積し、更に、そのシード層を覆って導電層を堆積することにより特徴部を埋め込むことに関する。一実施形態において、シード層は、バリア層を覆って堆積された銅合金のシード層で構成される。例えば、銅合金のシード層は、銅と、アルミニウム、マグネシウム、チタン、ジルコニウム、スズ、及びその組み合せのような金属とを含んでもよい。別の実施形態では、シード層は、バリア層を覆って堆積された銅合金のシード層と、この銅合金のシード層を覆って堆積された第2のシード層とで構成される。銅合金のシード層は、銅と、アルミニウム、マグネシウム、チタン、ジルコニウム、スズ、及びその組み合せのような金属とを含んでもよい。第2のシード層は、非ドープの銅のような金属で構成される。更に別の実施形態では、シード層は、第1シード層と、第2シード層とを含む。第1シード層は、アルミニウム、マグネシウム、チタン、ジルコニウム、スズ、及びその組み合せのような金属で構成されてもよい。第2シード層は、非ドープ銅のような金属で構成されてもよい。

Description

発明の内容
技術分野
本発明は、一般に、バリア層を堆積し、そのバリア層を覆ってシード層を堆積する装置及び方法に関する。より詳細には、本発明は、バリア層を堆積し、そのバリア層を覆って銅及び別の金属を含むシード層を堆積する装置及び方法に関する。
背景技術
サブミクロン以下の特徴部を高い信頼性で製造することは、半導体デバイスの次世代の超大規模集積(VLSI)及び極超大規模集積(ULSI)のための重要な技術の1つである。しかしながら、回路技術のフリンジがプレスされるときには、VLSI及びULSI技術における相互接続部の寸法収縮により処理能力に付加的な要求が課せられる。この技術の中心に位置する多レベルの相互接続部は、ビア及び他の相互接続部のようなアスペクト比の高い特徴部の高精度処理を必要とする。これらの相互接続部を高い信頼性で製造することは、VLSI及びULSIの成功にとって非常に重要であると共に、個々の基板の回路密度及び品質を高める努力を続けるためにも非常に重要である。
回路密度が高まるにつれて、ビア、コンタクト及び他の特徴部、並びにそれらの間の誘電体材料の巾がサブミクロン寸法(例えば、0.20マイクロメーター未満)へと減少するが、誘電体層の厚みは実質的に一定のままであり、その結果、特徴部のアスペクト比、即ち高さを巾で除算したものが高くなる。多くの慣習的な堆積プロセスでは、アスペクト比が4:1を越える場合、特に、アスペクト比が10:1を越える場合には、サブミクロン特徴部を埋め込むことが困難である。それ故、アスペクト比の高いサブミクロン特徴部は実質的に空所がなく且つ継ぎ目がないものを形成するように多大な努力が払われ続けている。
現在、銅及びその合金が、サブミクロン相互接続技術に対して選択された金属となっている。というのは、銅はアルミニウムより抵抗率が低く(アルミニウムが3.1μΩ−cmであるのに対して1.7μΩ−cm)、且つ通電容量が高いと共に、電子移動抵抗が著しく高いからである。これらの特性は、高い集積レベル及び高いデバイス速度において経験する高い電流密度をサポートするために重要である。更に、銅は、熱伝導率が良く、高純度の状態で入手できる。
銅の金属化は、種々の技術により行うことができる。典型的な方法は、一般的に、特徴部を覆ってバリア層を物理的に気相堆積し、そのバリア層を覆って銅のシード層を物理的に気相堆積し、次いで、その銅のシード層を覆って銅の導電性材料層を電気メッキして特徴部を埋め込むことを含む。最終的に、気相堆積された層と誘電体層が、例えば、化学的機械的研磨(CMP)により平坦化され、導電性相互接続特徴部が画成される。
しかしながら、銅の使用に伴う1つの問題は、銅がシリコンや二酸化シリコンや他の誘電体材料へ拡散してデバイスの完全性を危うくすることである。それ故、銅の拡散を防止するために適合バリア層が益々重要になってきている。窒化タンタルは、銅がその下の層へ拡散するのを防止するためのバリア材料として使用されている。しかしながら、窒化タンタル及び他のバリア層の公知使用に伴う1つの問題は、これらバリア層が、銅を堆積するには濡れ性に乏しい物質であり、多数の問題を引き起こすことである。例えば、これらバリア層を覆って銅のシード層を堆積する間に、銅のシード層が凝集して不連続となり、銅のシード層上への銅の導電性材料層の均一堆積(即ち銅層の電気メッキ)を妨げることになる。別の例では、これらバリア層を覆って銅の層が堆積された基板構造体をその後に高温度で処理したときに、水分が抜けて銅層に空所が形成される。更に別の例では、形成されたデバイスの使用中にデバイスの熱ストレスにより銅層に空所が生じ、デバイスの故障を招く。従って、改良された相互接続構造体、及び相互接続構造体を堆積する方法が要望される。
発明の開示
本発明は、一般に、バリア層を堆積し、そのバリア層を覆ってシード層を堆積し、更に、そのシード層を覆って導電層を堆積することにより特徴部を埋め込むことに関する。一実施形態において、シード層は、バリア層を覆って堆積された銅合金のシード層で構成される。例えば、銅合金のシード層は、銅と、アルミニウム、マグネシウム、チタン、ジルコニウム、スズ、及びその組み合せのような金属とを含む。別の実施形態では、シード層は、バリア層を覆って堆積された銅合金のシード層と、この銅合金のシード層を覆って堆積された第2のシード層とで構成される。銅合金のシード層は、銅の他に、アルミニウム、マグネシウム、チタン、ジルコニウム、スズ、及びその組み合せのような金属とを含む。第2のシード層は、非ドープの銅のような金属で構成される。更に別の実施形態では、シード層は、第1シード層と、第2シード層とを含む。第1シード層は、アルミニウム、マグネシウム、チタン、ジルコニウム、スズ、及びその組み合せのような金属で構成される。第2シード層は、非ドープ銅のような金属で構成される。
上記で簡単に述べた本発明の特徴、効果及び目的をいかに達成するかを詳細に理解できるようにするため、添付図面に示した本発明の実施形態を参照して、本発明を詳細に説明する。
しかしながら、添付図面は、本発明の典型的な実施形態を例示するに過ぎず、本発明の範囲を何ら限定するものではなく、本発明は、それ以外にも等しく有効に実施できることに注意されたい。
好ましい実施形態の詳細な説明
バリア層を堆積するためのプロセスチャンバー
図1は、本発明の態様に基づき原子層堆積によって1つ以上のバリア層を形成するのに使用される処理システム10の一実施形態を示す概略断面図である。もちろん、他の処理システムも使用できる。
処理システム10は、一般に、プロセスチャンバー100と、ガスパネル130と、制御ユニット110と、電源106と、真空ポンプ102とを備えている。プロセスチャンバー100は、一般に、支持ペデスタル150を収容し、これは、半導体ウェア190のような基板をプロセスチャンバー100内に支持するのに使用される。
チャンバー100において、支持ペデスタル150は、埋設された加熱素子170により加熱される。例えば、ペデスタル150は、AC電源から加熱素子170へ電流を通流することにより抵抗加熱される。次いで、ウェハ190がペデスタル150により加熱され、希望の処理温度範囲、例えば、特定のプロセスに基づき約20℃から約1000℃の範囲に維持される。
ペデスタルの温度を監視するために、熱電対のような温度センサ172がウェハ支持ペデスタル150に埋め込まれる。例えば、測定された温度は、フィードバックループに使用され、電源106から加熱素子170へ供給される電流を制御して、ウェハの温度を希望の温度又はあるプロセス用途に適した希望の温度範囲内に維持し又は制御することができる。ペデスタル150は、放射熱(図示せず)又は他の加熱方法を使用して加熱されてもよい。
真空ポンプ102は、プロセスチャンバー100からプロセスガスを排気するのに使用されると共に、チャンバー100内に希望の圧力又は圧力範囲内の希望の圧力を維持する助けをするのに使用される。チャンバー100の壁を貫通するオリフィス120は、プロセスチャンバー100へプロセスガスを導入するのに使用される。オリフィス120のサイズは、従来、プロセスチャンバー100のサイズに依存する。
オリフィス120は、その一部がバルブ125によりガスパネル130へ結合される。ガスパネル130は、2つ以上のガス源135、136から合成プロセスガスを受け取ってオリフィス120及びバルブ125を経てプロセスチャンバー100へ供給するように構成される。ガス源135、136は、液相の先駆物質を室温で保管してもよく、それらは、後でガスパネル130内において加熱されて、蒸気相に変換され、チャンバー100へ導入される。又、ガス源135、136は、キャリアガスの使用により先駆物質を供給するようにされてもよい。ガスパネル130は、更に、パージガス源138からパージガスを受け取ってオリフィス120及びバルブ125を経てプロセスチャンバー100へ供給するようにも構成されてもよい。シャワーヘッド160がオリフィス120に結合されてもよく、プロセスガス、パージガス又は他のガスを支持ペデスタル150上のウェハ190に向けて配送する。
シャワーヘッド160及び支持ペデスタル150は、離間された電極として機能してもよく、プラズマを点火するための電界を発生する。RF電源162がシャワーヘッド160に結合されるか、RF電源163が支持ペデスタル150に結合されるか、或いはRF電源162、163が各々シャワーヘッド160及び支持ペデスタル150に結合される。RF電源162、163にはマッチングネットワーク164が結合され、このネットワークは、RF電源162、163に供給される電力を制御するために制御ユニット110に結合されてもよい。
プログラムされたパーソナルコンピュータやワークステーションコンピュータ等の制御ユニット110は、ウェハ処理シーケンスの異なる段階中にガスパネル130及びバルブ125を通る種々のプロセスガスの流れを制御するように構成することもできる。説明上、制御ユニット110は、中央処理ユニット(CPU)112と、サポート回路114と、関連制御ソフトウェア113を含むメモリ116とを備えている。ガスパネル130を通るプロセスガスの制御に加えて、制御ユニット110は、ウェハ処理に使用される他の活動、とりわけ、ウェハの搬送や温度制御やチャンバー排気を自動的に制御する役割も果たすように構成され、その幾つかは本明細書で別途に説明する。
制御ユニット110は、種々のチャンバーを制御するための工業用設定に使用できる任意の形式の汎用コンピュータプロセッサ及び下位のプロセッサの1つでよい。CPU112は、ランダムアクセスメモリやリードオンリメモリやフロッピーディスクドライブやハードディスクや、他の形式のローカル又はリモートのデジタル記憶装置のような適当なメモリ116を使用してもよい。種々のサポート回路は、システム10をサポートするためにCPU112に結合されてもよい。ソフトウェアルーチン113は、必要に応じて、メモリ116に記憶されるか、又はリモート配置の第2のコンピュータプロセッサ(図示せず)により実行される。制御ユニット110とウェハ処理システム10の他の種々の要素との間の双方向通信は、信号バス118として集合的に示された多数の信号ケーブルを経て取り扱われ、図1には幾つかのケーブルしか示されていない。
バリア層の形成
図1に例示されたチャンバーは、次のプロセスを実施するのに使用可能である。もちろん、他のプロセスチャンバーも使用できる。図2A−図2Bは、本発明の1つ以上の態様に基づく相互接続構造体を製造するためのバリア層形成の一実施形態を示す。
図2Aは、誘電体層202が堆積された基板200の一実施形態を示す概略断面図である。処理段階に基づき、基板200は、シリコン半導体ウェハであるか、又はウェハ上に形成された他の材料層でもよい。誘電体層202は、基板200の露出面部分202Tへと延びるコンタクトホール又はビア202Hを形成するように成形及びパターン化された酸化物、酸化シリコン、炭素−シリコン−酸化物、フルオロ−シリコン、多孔性誘電体又は他の適当な誘電体でもよい。明瞭化のために、基板200は、膜処理が実行される任意のワークピースを指し、そして基板構造体250は、基板200と、この基板200に形成された他の材料層、例えば、誘電体層202とを示すために使用される。又、当業者であれば、本発明がデュアルダマシンプロセス流にも使用できることが理解されよう。
図2Bは、原子層堆積(ALD)により図2Aの基板構造体250を覆って形成されたバリア層204の一実施形態を示す概略断面図である。バリア層は、窒化タンタル層で構成されるのが好ましい。使用することのできる他のバリア層材料は、例えば、チタン(Ti)、窒化チタン(TiN)、窒化チタンシリコン(TiSiN)、タンタル(Ta)、窒化タンタルシリコン(TaSiN)、タングステン(W)、窒化タングステン(WN)、窒化タングステンシリコン(WSiN)、及びその組合せを含む。
明瞭化のため、窒化タンタルバリア層で構成されたバリア層の一実施形態を参照して、バリア層の堆積を詳細に説明する。1つの態様において、窒化タンタルバリア層の原子層堆積は、図1のプロセスチャンバーのようなプロセスチャンバーへタンタル含有化合物及び窒素含有化合物を順次供給することを含む。タンタル含有化合物及び窒素含有化合物を順次供給すると、基板構造体250上にタンタル含有化合物の単層及び窒素含有化合物の単層が交互に化学吸着される可能性がある。
図3A−図3Cは、集積回路製造のある段階、特に、バリア層形成段階において基板300の例示部分にタンタル含有化合物及び窒素含有化合物の単層を交互に化学吸着する一実施形態を示す。図3Aにおいて、タンタル含有化合物305のパルスを図1に示すプロセスチャンバーのようなプロセスチャンバーに導入することによりタンタル含有化合物の単層が基板300に化学吸着される。タンタル含有化合物305の単層を吸着するのに使用される化学吸着プロセスは、基板の表面にはタンタル含有化合物を化学吸着する場所が一定数しかないので所与のパルス中に基板300の表面には1つの単層しか化学吸着されないという点で自己制限的であると考えられる。一定数の場所がタンタル含有化合物305によって占有されると、タンタル含有化合物のそれ以上の化学吸着は阻止される。
タンタル含有化合物305は、通常、タンタル原子310を1つ以上の反応種315と共に含む。一実施形態では、タンタル含有化合物は、タンタル系有機金属先駆物質又はその派生物である。有機金属先駆物質は、ペンタジメチルアミノタンタル(PDMAT:Ta(NMe)であるのが好ましい。PDMATは、多数の理由で効果的に使用できる。PDMATは、比較的安定している。PDMATは、充分な蒸気圧を有し、供給を容易にする。特に、PDMATは、低いハロゲン化物含有量で製造できる。PDMATは、そのハロゲン化物含有量が100ppm未満で製造でき、又、ハロゲン化物含有量が30ppm未満又は5ppm未満でも製造できる。理論で束縛されることを望まないが、バリア層に組み込まれるハロゲン化物(塩素のような)が、それを覆って堆積された銅の層を侵食するために、ハロゲン化物含有量の低い有機金属先駆物質が有益であると考えられる。
タンタル含有化合物は、他の有機金属先駆物質又はその派生物でもよく、例えば、ペンタエチルメチルアミノ−タンタル(PEMAT:Ta[N(CCH)、ペンダジエチルアミノ−タンタル(PDEAT:Ta(NEt)、並びにPEMAT、PDEAT又はPDMATのいずれか及び全ての派生物でもよいが、これらに限定されない。他のタンタル含有化合物は、TBTDET(Ta(NEtNC又はC1639Ta)及びハロゲン化タンタル、例えば、Xをフッ素(F)、臭素(Br)、又は塩素(Cl)、及びその派生物とすればTaXを含むが、これに限定されない。
タンタル含有化合物は、ガスとして供給されてもよいし、又はキャリアガスの助けで供給されてもよい。使用することのできるキャリアガスは、例えば、ヘリウム(He)、アルゴン(Ar)、窒素(N)及び水素(H)を含むが、これらに限定されない。
タンタル含有化合物の単層が基板300に化学吸着された後に、パージガスのパルスを導入することにより余計なタンタル含有化合物がプロセスチャンバーから除去される。使用することのできるパージガスは、例えば、ヘリウム(He)、アルゴン(Ar)、窒素(N)、水素(H)及び他のガスを含んでもよいが、これらに限定されない。
図3Bを参照すれば、プロセスチャンバーがパージされた後に、窒素含有化合物325のパルスがプロセスチャンバーに導入される。窒素含有化合物325は、単独で供給されてもよいし、又はキャリアガスの助けで供給されてもよい。窒素含有化合物325は、窒素原子330を1つ以上の反応種335と共に含む。窒素含有化合物は、アンモニアガス(NH)で構成されるのが好ましい。使用することのできる他の窒素含有化合物は、x及びyを整数とすれば、N(例えば、ヒドラジン(N))、ジメチルヒドラジン((CH)、t−ブチルヒドラジン(C)、フェニルヒドラジン(C)、他のヒドラジン派生物、窒素プラズマソース(例えば、N、N/H、NH又はNプラズマ)、2,2’アゾイソブタン((CH)、エチルアジド(C)、及び他の適当なガスを含んでもよいが、これらに限定されない。必要に応じて、キャリアガスを使用して、窒素含有化合物を配送してもよい。
窒素含有化合物325の単層が、タンタル含有化合物305の単層に化学吸着されてもよい。原子層堆積(ALD)中の表面における先駆物質の組成及び構造は、正確には分からない。理論で束縛されることは望まないが、窒素含有化合物325の化学吸着された単層が、タンタル含有化合物305の単層と反応して、窒化タンタル層309を生成すると考えられる。反応種315、335は、副産物340を生成し、これは、基板面から真空システムにより搬送される。窒素含有化合物325とタンタル含有化合物305との反応は、タンタル含有化合物305の1つの単層しか基板面に化学吸着されないので、自己制限されると考えられる。別の理論では、先駆物質は、基板の表面上にあるときに中間状態となる。更に、堆積された窒化タンタル層は、タンタル(Ta)又は窒素(N)の単純な元素以上のものも含んでもよく、むしろ、窒化タンタル層は、炭素(C)、水素(H)、及び/又は酸素(O)を有する更に複雑な分子も含んでもよい。
窒素含有化合物325の単層がタンタル含有化合物の単層に化学吸着された後に、パージガスの別のパルスを導入することにより余計な窒素含有化合物がプロセスチャンバーから除去される。その後、図3Cに示すように、タンタル含有化合物及び窒素含有化合物の単層の交互の化学吸着の窒化タンタル層堆積を、希望の窒化タンタル厚みに達するまで、必要に応じて繰り返すことができる。
図3A−図3Cでは、窒化タンタル層の生成は、基板上にタンタル含有化合物の単層を化学吸着させることから始め、その後、窒素含有化合物の単層を化学吸着させるとして説明した。或いは又、窒化タンタル層の生成は、基板に窒素含有化合物の単層を化学吸着させることから始め、その後、タンタル含有化合物の単層を化学吸着させるようにしてもよい。更に、別の実施形態では、反応ガスのパルスとパルスとの間にポンプ排気だけを使用して反応ガスの混合を防止することもできる。
タンタル含有化合物、窒素含有化合物及びパージガスの各パルスに対する時間巾は、可変であり、使用する堆積チャンバー及びそれに結合された真空システムの容積容量に依存する。例えば、(1)ガスのチャンバー圧力が低いと、長いパルス時間を必要とし、(2)ガス流量が低いと、チャンバー圧力を上昇して安定化するのに長い時間を要し、従って、長いパルス時間が必要となり、(3)大容積のチャンバーは、充填するに長時間を要し、チャンバー圧力を安定化するのに長時間がかかり、従って、長いパルス時間を必要とする。同様に、各パルスとパルスとの間の時間も可変であり、プロセスチャンバー及びそれに結合された真空システムの容積容量に依存する。一般に、タンタル含有化合物又は窒素含有化合物のパルスの時間巾は、化合物の単層の化学吸着に充分な長さでなければならない。一般に、パージガスのパルス時間は、プロセスチャンバーに残留する反応副産物及び/又は残留材料を除去するに充分な長さでなければならない。
一般に、基板上に交互の単層を化学吸着するのに、タンタル含有化合物に対して約1.0秒以下のパルス時間、及び窒素含有化合物に対して約1.0秒以下のパルス時間で通常充分である。プロセスチャンバーに残留する反応副産物及び/又は残留材料を除去するのに、パージガスに対して約1.0秒以下のパルス時間で通常充分である。もちろん、タンタル含有化合物及び窒素含有化合物の化学吸着を確保すると共に、反応副産物の除去を確保するのに、長いパルス時間を使用してもよい。
原子層堆積中に、基板は、選択されたタンタル含有化合物の熱分解温度以下にほぼ維持される。ここに示すタンタル含有化合物に使用されるヒータ温度範囲は、例えば、チャンバー圧力が約100torr未満で、好ましくは、50torr未満であるときに、約20℃から約500℃である。タンタル含有ガスがPDMATであるときには、ヒータ温度は、好ましくは、約100℃から約300℃であり、更に好ましくは、約175℃から約250℃である。別の実施形態では、他の温度も使用できることが理解されよう。例えば、熱分解温度より高い温度を使用することができる。しかしながら、温度は、堆積活動の50%以上が化学吸着プロセスであるように選択されねばならない。別の例では、熱分解温度より高い温度は、各先駆物質堆積中の分解量を制限して、成長モードが原子層堆積の成長モードと同様になるように使用されてもよい。
図1のプロセスチャンバーのようなプロセスチャンバーにおいて原子層堆積により窒化タンタル層を堆積する1つの例示的プロセスは、先ず、ペンタジメチルアミノ−タンタル(PDMAT)を、約100sccmから約1000sccmの流量、好ましくは、約200sccmから500sccmの流量で、約1.0秒以下の時間中供給し、次いで、アンモニアを、約100sccmから約1000sccmの流量、好ましくは、約200sccmから500sccmの流量で、約1.0秒以下の時間中供給し、更に、パージガスを、約100sccmから約1000sccmの流量、好ましくは、約200sccmから500sccmの流量で、約1.0秒以下の時間中供給することを含む。ヒータ温度は、約1.0から約5.0torrのチャンバー圧力において約100℃から約300℃に維持されるのが好ましい。このプロセスは、サイクル当たり約0.5Åから約1.0Åの厚みで窒化チタン層を生成する。希望の厚みが得られるまで交互のシーケンスが繰り返されてもよい。
一実施形態では、窒化タンタルバリア層のようなバリア層が約50Å未満の側壁カバレージに堆積される。別の実施形態では、バリア層が約20Å未満の側壁カバレージに堆積される。更に別の実施形態では、バリア層が約10Å未満の側壁カバレージに堆積される。銅の拡散を防止するには、厚みが約10Å未満のバリア層で充分であると考えられる。1つの態様では、アスペクト比の高いサブミクロン以下の特徴部を埋め込むのに薄いバリア層が効果的に使用されてもよい。もちろん、側壁カバレージが50Åより大きいバリア層を使用してもよい。
バリア層は、更に、プラズマアニールされてもよい。一実施形態では、バリア層は、アルゴンプラズマ又はアルゴン/水素プラズマでプラズマアニールされてもよい。RF電極に供給されるRF電力は、約100Wから約2000Wであり、好ましくは、200mm直径の基板の場合に約500Wから約1000Wであり、更に、好ましくは、300mm直径の基板の場合に約1000Wから約2000Wでよいが。チャンバーの圧力は、100torr未満でよいが、好ましくは、0.1torrから約5torrであり、更に好ましくは、約1torrから3torrである。ヒータ温度は、約20℃から約500℃でもよい。プラズマアニールは、1サイクルの後、複数のサイクルの後、又はバリア層生成の後に実行される。
バリア層の原子層堆積の実施形態は、基板上に反応物質の単層を化学吸着させるものとして以上に述べた。本発明は、反応物質が単層以上のもの又はそれ以下のものに堆積される実施形態も包含する。又、本発明は、反応物質が自己制限的に堆積されない実施形態も包含する。又、本発明は、反応物質が逐次に又は同時に供給される化学気相堆積プロセスにおいてバリア層204が主として堆積されるような実施形態も包含する。又、本発明は、ターゲットが堆積されるべき材料で構成される(即ち窒化タンタルを堆積するための窒素雰囲気中におけるタンタルターゲット)物理的気相堆積プロセスにおいてバリア層204が堆積されるような実施形態も包含する。
シード層を堆積するためのプロセスチャンバー
一実施形態において、シード層は、物理的気相堆積、化学的気相堆積、無電解堆積のような適当な技術又はこれら技術の組み合せにより堆積される。シード層を堆積するのに適した物理的気相堆積技術は、高密度プラズマ物理的気相堆積(HDP PVD)或いはコリメート式又は長射程(long throw)スパッタリングのような技術を含む。HDP PVDの一形式は、自己イオン化プラズマ物理的気相堆積である。シード層を自己イオン化プラズマ物理的気相堆積することのできるチャンバーは、例えば、カリフォルニア州サンタクララのアプライド・マテリアルズ社から入手できるSIPTMチャンバーである。自己イオン化物理的気相堆積することのできるチャンバーの実施例は、本発明と矛盾しない程度に参考としてここに援用する「Rotating Sputter Magnetron Assembly」と題する米国特許第6,183,614号に開示されている。
図4は、シード層の堆積に使用される物理的気相堆積を行うことのできる処理システム410の一実施形態を示す概略断面図である。もちろん、他の処理システム及び他の形式の物理的気相堆積も使用できる。
処理システム410は、真空チャンバー412を含み、これは、ヒータペデスタル418に保持されたウェハ416に堆積されるスパッタリング材料で構成されたPVDターゲット414に対して密封されている。チャンバー内に保持されたシールド420は、チャンバー412の壁をスパッタ材料から保護すると共に、アノード接地平面を形成する。選択可能なDC電源422がターゲット414をシールド420に対して負にバイアスする。
ガス源424は、スパッタ作用ガス、通常、化学的に不活性なガスであるアルゴンを、質量流量コントローラ426を経てチャンバー412へ供給する。真空システム428は、チャンバーを低圧力に維持する。コンピュータベースのコントローラ430は、DC電源422及び質量流量コントローラ426を含む反応炉を制御する。
アルゴンがチャンバーに導入されると、ターゲット414とシールド420との間のDC電圧によりアルゴンがプラズマへと点火され、正に荷電されたアルゴンイオンが、負に荷電されたターゲット414へ引き付けられる。これらイオンは、相当のエネルギーでターゲット414に当たり、ターゲットの原子又は原子クラスターをターゲット414からスパッタリングさせる。ターゲット粒子のあるものがウェハ416に当たってそこに堆積され、ターゲット材料の膜を形成する。
効率的なスパッタリングを行うために、ターゲット414の後部にマグネトロン432が配置されている。これは、逆極性の磁石434、436を有し、チャンバー内でこれら磁石434、436の近傍に磁界が形成される。この磁界は、電子を捕獲し、電荷中性化のために、イオン密度も高まって、チャンバー内でマグネトロン432の付近に高密度プラズマ領域438を形成する。マグネトロン432は、通常、ターゲット414の中心にある回転軸458の周りで回転し、ターゲット414のスパッタリングにおける全カバレージを達成する。
ペデスタル418は、DC自己バイアスを発生し、これは、イオン化スパッタ粒子を、プラズマから、ウェハ416の付近のプラズマシースを横切って引き付ける。その結果は、ペデスタル電極418の付加的なDC又はRFバイアスで強調され、プラズマシースを横切ってウェハ416に向って抽出されるイオン化粒子を更に加速させ、スパッタ堆積の方向性を制御することができる。
シード層の形成
図4に例示したチャンバーを使用して、次のプロセスが実施される。もちろん、他のプロセスチャンバーが使用されてもよい。図5A−図5Cは、バリア層を覆ってシード層を堆積する実施形態を示す概略断面図である。
図5Aに示す一実施形態は、図2Bのバリア層204を覆って銅合金のシード層502を堆積し、この銅合金のシード層502を覆って銅の導電性材料層506を堆積して、特徴部を埋め込むことを含む。ここで使用する「銅の導電性材料層」という語は、銅又は銅合金で構成された層として定義される。銅合金のシード層502は、その上にその後に材料を堆積する上で助けとなる銅金属合金で構成される。銅合金のシード層502は、銅及び第2の金属、例えば、アルミニウム、マグネシウム、チタン、ジルコニウム、スズ、他の金属及びその組合せで構成される。第2の金属は、好ましくは、アルミニウム、マグネシウム、チタン及びその組合せで構成され、更に好ましくは、アルミニウムで構成される。ある実施形態では、銅合金のシード層は、その第2金属の濃度の下限が、約0.001原子パーセント、約0.01原子パーセント、又は約0.1原子パーセントであり、その上限が、約5.0原子パーセント、約2.0原子パーセント又は約1.0原子パーセントである。いずれの下限からいずれの上限までの範囲内の第2金属の濃度も、本発明の範囲内である。銅合金シード層502における第2金属の濃度は、その銅合金シード層502の抵抗を下げるためには約5.0原子パーセント未満であるのが好ましい。ここで使用する「層」という語は、1枚以上の層として定義される。例えば、銅合金シード層502が、銅と、濃度が約0.001原子パーセントから約5.0原子パーセントの範囲の第2金属とで構成される場合に、この銅合金シード層502は、層の合計組成が、銅と、濃度が約0.001原子パーセントから約5.0原子パーセントの第2金属とを含む複数の層で構成されてもよい。説明上、層の合計組成が、銅と、濃度が約0.001原子パーセントから約5.0原子パーセントの第2金属とを含む複数の層で構成される銅合金シード層502は、例えば、その第2金属で構成された第1シード層及び銅で構成された第2シード層を備えてもよいし、銅/第2金属合金で構成された第1シード層及び銅/第2金属合金で構成された第2シード層を備えてもよいし、或いは銅/第2金属合金で構成された第1シード層及び銅等で構成された第2シード層を備えてもよい。
銅合金シード層502は、特徴部の側壁の少なくとも約5Åカバレージの厚みに堆積されるか、又は特徴部の側壁の少なくとも連続的なカバレージの厚みに堆積される。一実施形態では、銅合金シード層502は、フィールドエリアにおいて、約10Åから約2000Åの厚みに堆積され、物理的気相堆積により堆積される銅合金シード層502で、約500Åから約1000Åに堆積されるのが好ましい。
図5Bに示す別の実施形態は、図2Bのバリア層204を覆って銅合金のシード層512を堆積し、この銅合金のシード層512を覆って第2のシード層514を堆積し、更に、この第2のシード層514を覆って銅の導電性材料層516を堆積して、特徴部を埋め込むことを含む。銅合金のシード層512は、銅及び第2の金属、例えば、アルミニウム、マグネシウム、チタン、ジルコニウム、スズ、他の金属及びその組合せで構成される。第2の金属は、好ましくは、アルミニウム、マグネシウム、チタン及びその組合せで構成され、更に好ましくは、アルミニウムで構成される。ある実施形態では、銅合金のシード層は、その第2金属の濃度の下限が、約0.001原子パーセント、約0.01原子パーセント、又は約0.1原子パーセントであり、その上限が、約5.0原子パーセント、約2.0原子パーセント又は約1.0原子パーセントである。いずれの下限からいずれの上限までの範囲内の第2金属の濃度も、本発明の範囲内である。一実施形態では、第2シード層514は、非ドープの銅(即ち純粋な銅)で構成される。1つの態様において、非ドープの銅で構成された第2シード層514が使用されるのは、その電気抵抗率が同じ厚みの銅合金シード層512より低く且つ表面酸化に対する抵抗が高いためである。
銅合金シード層512は、特徴部の側壁を覆って単層未満の厚み(即ち下位の単層厚み又は不連続層)に堆積されてもよい。一実施形態では、フィールドエリアにおける銅合金シード層512及び第2シード層514の合成厚みは、約10Åから約2000Åであり、物理的気相堆積により堆積される銅合金シード層512及び第2シード層514については、約500Åから約1000Åであるのが好ましい。
図5Cに示す更に別の実施形態は、図2Bのバリア層204を覆って第1シード層523を堆積し、この第1シード層523を覆って第2シード層524を堆積し、更に、この第2シード層524を覆って銅の導電性材料層526を堆積して、特徴部を埋め込むことを含む。第1シード層523は、アルミニウム、マグネシウム、チタン、ジルコニウム、スズ及びその組合せより成るグループから選択された金属で構成される。この第1シード層523は、アルミニウムで構成されるのが好ましい。一実施形態では、第2シード層514は、非ドープの銅(即ち純粋な銅)で構成される。
第1シード層523は、特徴部の側壁を覆って単層未満の厚み(即ち下位の単層厚み又は不連続層)に堆積されてもよい。一実施形態では、第1シード層は、合成シード層の合計抵抗を下げるために、約50Å側壁カバレージ未満、好ましくは、約40Å側壁カバレージ未満の厚みに堆積される。フィールドエリアにおける第1シード層523及び第2シード層524の合成厚みは、約10Åから約2000Åであり、物理的気相堆積により堆積される第1シード層523及び第2シード層524については、約500Åから約1000Åであるのが好ましい。
銅合金シード層502、512、第1シード層523又は第2シード層514、524は、物理的気相堆積、化学的気相堆積、原子層堆積、無電解堆積を含む技術又はこれら技術の組み合せによって堆積することができる。一般に、シード層が、物理的気相堆積技術を使用して堆積される場合には、図4に示すチャンバー412のようなチャンバーは、堆積されるよう意図された金属又は金属合金と同様の組成を有するターゲット414のようなターゲットを備えている。例えば、銅合金シード層502、512を堆積するためには、ターゲットは、銅、及び第2の金属、例えば、アルミニウム、マグネシウム、チタン、ジルコニウム、スズ、他の金属及びその組合せで構成されてもよい。第2の金属は、アルミニウムで構成されるのが好ましい。ある実施形態では、ターゲットは、その第2金属の濃度の下限が、約0.001原子パーセント、約0.01原子パーセント、又は約0.1原子パーセントであり、その上限が、約5.0原子パーセント、約2.0原子パーセント又は約1.0原子パーセントである。いずれの下限からいずれの上限までの範囲内の第2金属の濃度も、本発明の範囲内である。別の例において、第1シード層523を堆積するためには、ターゲットは、アルミニウム、マグネシウム、チタン、ジルコニウム、スズ、及びその組合せより成るグループから選択された金属で構成される。シード層が化学的気相堆積又は原子層堆積により堆積される場合には、図1に示したチャンバーのようなチャンバーは、堆積されるべき金属又は金属合金の適当な先駆金属物質を与えるように構成される。
図4のプロセスチャンバーのようなプロセスチャンバー内で物理的気相堆積によりシード層を堆積する1つのプロセス例は、堆積されるべき材料のターゲットを使用することを含む。プロセスチャンバーは、約0.1mtorrから約10mtorrの圧力に維持されてもよい。ターゲットは、約5kWから約100kWの電力でDCバイアスされてもよい。ペデスタルは、約0から約1000Wの電力でRFバイアスされてもよい。ペデスタルは、加熱されなくてもよい(即ち、室温)。
銅の導電性材料層506、516、526は、電気メッキ、物理的気相堆積、化学的気相堆積、無電解堆積又はこれら技術の組み合せによって堆積することができる。銅の導電性材料層506、516、526は、電気メッキプロセスで得られるボトムアップ成長のために電気メッキで堆積されるのが好ましい。電気メッキ方法は、例えば、本発明と矛盾しない程度に参考としてここに援用する2000年9月5日付の「Electro Deposition Chemistry」と題する米国特許第6,113,771号に開示されている。
銅−アルミニウムシード層のような銅合金シード層は、バリア層上に非ドープ銅のシード層を設ける場合に比して、バリア層に対する接着性が改善されることが分かっている。銅合金シード層は、バリア層に対して良好な接着性を有するので、銅合金シード層は、そこに堆積される材料に対して良好な濡れ物質として作用する。理論で束縛されることを望まないが、銅シード層の銅及び他の金属の濃度が、シード層に良好な濡れ特性及び良好な電気的特性を与えると考えられる。更に、合計厚みが単層未満である銅合金シード層は、非ドープシード層のような第2シード層がその上に堆積されて少なくとも結合連続シード層を形成する限り、銅合金シード層が材料の堆積に対して改善された界面を与えるので、これを使用できると考えられる。
同様に、アルミニウムシード層のような金属シード層も、バリア層上に非ドープ銅のシード層を設ける場合に比して、バリア層に対する接着性が改善されることが観察されている。金属シード層は、バリア層に対して良好な接着性を有するので、金属シード層は、そこに堆積される材料に対して良好な濡れ物質として作用する。理論で束縛されることを望まないが、合計厚みが単層未満であるアルミニウムシード層のような金属シード層は、この金属層が、その上に堆積される非ドープ銅のシード層のような材料の堆積に対して改善された界面を与えるので、これを使用できると考えられる。
ここに開示するシード層は、バリア層に対する改善された接着性を有すると共に、その上に堆積される材料、例えば、その上に堆積される銅の導電性材料層に対して良好な濡れ特性を有する。それ故、シード層は、銅の導電性材料層を堆積する間、その後に高温度で処理する間、及びデバイス使用中にデバイスに熱ストレスがかかる間に、銅の導電性材料層における凝集、水分除去又は空所の形成のおそれを低減することによりデバイスの信頼性を高める。
1つの態様において、シード層は、いかなるバリア層と共に使用されてもよく、且ついかなる堆積技術で堆積されたバリア層と共に使用されてもよい。又、シード層は、いかなる堆積技術で堆積されてもよい。更に、銅の導電性材料層のような導電性材料層は、いかなる堆積技術によってシード層上に堆積されてもよい。
本発明のプロセスは、開口巾が約0.2ミクロン未満で且つアスペクト比が約4:1、約6:1又は約10:1以上のアパーチャーを埋め込むのに効果的に使用できる。
ここに開示するプロセスは、個別のチャンバーで実行されてもよいし、或いは複数のチャンバーを有する多チャンバー処理システムで実行されてもよい。図6は、ここに開示するプロセスを実行するように構成された多チャンバー処理システム600の一例を示す概略上面図である。この装置は、ENDURATMシステムであり、カリフォルニア州サンタクララのアプライド・マテリアルズ・インクから商業的に入手できるものである。同様の多チャンバー処理システムが、本開示と矛盾しない程度に参考としてここに援用する1993年2月16日付の「Stage Vacuum Wafer Processing System and Method」と題する(テップマン氏等の)米国特許第5,186,718号に開示されている。システム600の特定の実施形態は、本発明を例示するためのものであり、本発明の範囲をこれに限定するものではない。
システム600は、一般に、基板をシステム600へ及びそこから移送するためのロードロックチャンバー602、604を備えている。通常、システム600は真空状態にあるので、ロードロックチャンバー602、604は、システム600へ導入される基板を「ポンプダウン」する。第1ロボット610は、ロードロックチャンバー602、604と、プロセスチャンバー612、614と、移送チャンバー622、624と、他のチャンバー616、618との間で基板を移送可能である。第2ロボット630は、プロセスチャンバー632、634、636、638と、移送チャンバー622、624との間で基板を移送可能である。プロセスチャンバー612、614、632、634、636、638は、システム600により実行される特定のプロセスに対して必要がなければシステム600から除去されてもよい。
一実施形態において、システム600は、プロセスチャンバー634が銅合金シード層502を堆積するように構成される。例えば、銅合金シード層502を堆積するためのプロセスチャンバー634は、物理的気相堆積チャンバーでもよいし、化学的気相堆積チャンバーでもよいし、又は原子層堆積チャンバーでもよい。システム600は、更に、プロセスチャンバー632がバリア層204を堆積するように構成され、上記銅合金シード層502はこのバリア層を覆って堆積されてもよい。例えば、バリア層204を堆積するためのプロセスチャンバー632は、原子層堆積チャンバーでもよいし、化学的気相堆積チャンバーでもよいし、又は物理的気相堆積チャンバーでもよい。1つの特定の実施形態では、プロセスチャンバー632は、図1に示すチャンバーのような原子層堆積チャンバーでもよく、又、プロセスチャンバー634は、図4に示すチャンバーのような物理的気相堆積チャンバーでもよい。
別の実施形態では、システム600は、プロセスチャンバー634が銅合金シード層512を堆積すると共に、プロセスチャンバー636がその銅合金シード層512を覆って第2のシード層514を堆積するように構成される。例えば、銅合金シード層512を堆積するためのプロセスチャンバー634及び/又は第2のシード層を堆積するためのプロセスチャンバー636は、物理的気相堆積チャンバーでもよいし、化学的気相堆積チャンバーでもよいし、又は原子層堆積チャンバーでもよい。システム600は、更に、プロセスチャンバー632がバリア層204を堆積するように構成されてもよく、銅合金シード層512がこのバリア層を覆って堆積されてもよい。例えば、バリア層204を堆積するためのプロセスチャンバー632は、原子層堆積チャンバーでもよいし、化学的気相堆積チャンバーでもよいし、又は物理的気相堆積チャンバーでもよい。1つの特定の実施形態では、プロセスチャンバー632は、図1に示すチャンバーのような原子層堆積チャンバーでもよいし、プロセスチャンバー634、636は、図4に示すチャンバーのような物理的気相堆積チャンバーでもよい。
別の実施形態では、システム600は、プロセスチャンバー634が金属シード層523を堆積してもよく、プロセスチャンバー636がその金属シード層523を覆って第2のシード層524を堆積するように構成されてもよい。例えば、金属シード層523を堆積するためのプロセスチャンバー634及び/又は第2のシード層524を堆積するためのプロセスチャンバー636は、物理的気相堆積チャンバーでもよいし、化学的気相堆積チャンバーでもよいし、又は原子層堆積チャンバーでもよい。システムは、更に、プロセスチャンバー632がバリア層204を堆積するように構成されてもよいし、金属シード層523がこのバリア層を覆って堆積されてもよい。例えば、バリア層204を堆積するためのプロセスチャンバー632は、原子層堆積チャンバーでもよいし、化学的気相堆積チャンバーでもよいし、又は物理的気相堆積チャンバーでもよい。1つの特定の実施形態では、プロセスチャンバー632は、図1に示すチャンバーのような原子層堆積チャンバーでもよいし、プロセスチャンバー634、636は、図4に示すチャンバーのような物理的気相堆積チャンバーでもよい。
1つの態様において、バリア層204と、シード層(銅合金シード層502、銅合金シード層512及び第2シード層514、又は金属シード層523及び第2シード層524)との堆積は、空気及び他の不純物が層に入り込むのを防止すると共に、バリア層204を覆ってシード構造体を維持するために、多チャンバー処理システムにおいて真空状態のもとで実行可能である。
システム600の他の実施形態も本発明の範囲内である。例えば、システムにおける特定のプロセスチャンバーの位置が変更されてもよい。別の例では、単一のプロセスチャンバーが2つの異なる層を堆積するように構成されてもよい。
実施例
実施例1
TaN層を原子層堆積により基板上に約20Åの厚みまで堆積した。このTaN層を覆ってシード層を物理的気相堆積により約100Åの厚みまで堆積した。シード層は、1)非ドープの銅を含むターゲットを使用して堆積された非ドープの銅、2)約2.0原子パーセントの濃度のアルミニウムを含む銅−アルミニウムターゲットを使用して堆積された約2.0原子パーセントの濃度のアルミニウムを含む銅合金、3)約2.0原子パーセントの濃度のスズを含む銅−スズターゲットを使用して堆積された約2.0原子パーセントの濃度のスズを含む銅合金、又は4)約2.0原子パーセントの濃度のジルコニウムを含む銅−ジルコニウムターゲットを使用して堆積された約2.0原子パーセントの濃度のジルコニウムを含む銅合金で構成された。それにより得られた基板を、窒素(N)及び水素(H)雰囲気中で約380℃の温度で約15分間アニールした。
走査電子顕微鏡写真は、アニール後に非ドープ銅層の凝集を示した。銅−ジルコニウム合金は、非ドープ銅層より僅かな凝集を示した。銅−スズ合金は、銅−ジルコニウム合金より僅かな凝集を示した。銅−アルミニウム合金は、著しい凝集を示さなかった。
実施例2
2.0原子パーセントの濃度のアルミニウムを含む銅−アルミニウムターゲットを使用して、約2.0原子パーセントのアルミニウムを含む銅−アルミニウム合金膜を、物理的気相堆積により種々の基板上に堆積した。それにより得られた基板は、1)ALD TaN層を覆って約50Åの厚みまで堆積された銅−アルミニウム層、2)約100ÅのTa層を覆って約50Åの厚みまで堆積された銅−アルミニウム層、3)ALD TaN層を覆って約100Åの厚みまで堆積された銅−アルミニウム層、4)窒化シリコン(SiN)層を覆って約100Åの厚みまで堆積された銅−アルミニウム層、及び5)酸化シリコン層を覆って約100Åの厚みまで堆積された銅−アルミニウム層を含むものであった。それにより得られた基板は、窒素(N)及び水素(H)雰囲気中で約380℃の温度で約15分間アニールされた。走査電子顕微鏡写真は、種々の基板上の銅−アルミニウム合金に著しい凝集がないことを示した。
実施例3
2.0原子パーセントの濃度のアルミニウムを含む銅−アルミニウムターゲットを使用して、約2.0原子パーセントのアルミニウムを含む銅−アルミニウム合金膜を、物理的気相堆積により、ALD TaN層を覆って50Å又は100Åの厚みまで堆積した。それにより得られた基板を、窒素(N)及び水素(H)雰囲気中で、約380℃、約450℃又は約500℃の温度で約15分間アニールした。走査電子顕微鏡写真は、約380℃又は約450℃の温度でアニールした基板の場合に銅−アルミニウム合金に著しい凝集がないことを示した。約500℃の温度でアニールした基板の場合には銅−アルミニウム合金がある程度の水分除去の発生を示した。
実施例4
約2.0原子パーセントの濃度のアルミニウムを含む銅−アルミニウムターゲットを使用して、約2.0原子パーセントのアルミニウムを含む銅−アルミニウム合金膜を、物理的気相堆積により、ALD TaN層を覆って50Å又は100Åの厚みまで堆積した。それにより得られた基板を、窒素(N)及び水素(H)雰囲気中で約450℃の温度で約30分間アニールした。走査電子顕微鏡写真は、約450℃の温度で約30分間アニールした基板の場合に銅−アルミニウム合金に著しい凝集がないことを示した。
以上、本発明の好ましい実施形態を説明したが、本発明の基本的な範囲を逸脱せずに他の及び更に別の実施形態を案出することができ、従って、本発明の範囲は、特許請求の範囲によって限定される。
原子層堆積により1枚以上のバリア層を形成するのに使用できる処理システムの一実施形態を示す概略断面図である。 誘電体層が堆積された基板の一実施形態を示す概略断面図である。 図2Aの基板構造体上に形成されたバリア層の一実施形態を示す概略断面図である。 バリア層形成段階において基板の一部分上にタンタル含有化合物と窒素含有化合物の単層を交互に化学吸着する一実施形態を示す図である。 バリア層形成段階において基板の一部分上でタンタル含有化合物と窒素含有化合物の単層を交互に化学吸着する一実施形態を示す図である。 バリア層形成段階において基板の一部分上でタンタル含有化合物と窒素含有化合物の単層を交互に化学吸着する一実施形態を示す図である。 銅合金シード層を堆積するのに使用される物理的気相堆積可能なプロセスシステムの一実施形態を示す概略断面図である。 図2Bのバリア層を覆ってシード層を堆積する実施形態の概略断面図である。 図2Bのバリア層を覆ってシード層を堆積する実施形態の概略断面図である。 図2Bのバリア層を覆ってシード層を堆積する実施形態の概略断面図である。 多チャンバー処理システムの一例を示す概略上面図である。
符号の説明
10…処理システム、100…プロセスチャンバー、102…真空ポンプ、106…電源、110…制御ユニット、112…CPU、113…制御ソフトウェア、114…サポート回路、116…メモリ、120…オリフィス、125…バルブ、130…ガスパネル、135、136…ガス源、138…パージガス源、150…支持ペデスタル、160…シャワーヘッド、162、163…RF電源、164…マッチングネットワーク、170…加熱素子、172…温度センサ、190…半導体ウェハ、200…基板、202…誘電体層、202H…コンタクトホール又はビア、202T…露出表面部分、204…バリア層、410…処理システム、412…真空チャンバー、414…ターゲット、416…ウェハ、418…ヒータペデスタル、420…シールド、424…ガス源、426…質量流量コントローラ、428…真空システム、430…コントローラ、432…マグネトロン、438…高密度プラズマ領域、458…回転軸、502…シード層、506…導電性材料層

Claims (76)

  1. バリア層を堆積するステップと、
    上記バリア層を覆って(over)シード層を堆積するステップであって、該シード層は、銅と、アルミニウム、マグネシウム、チタン、ジルコニウム、スズ及びその組合せより成るグループから選択された金属とで構成される前記ステップと、
    上記シード層を覆って銅の導電性材料層を堆積するステップと、
    を備えた特徴部を埋め込む方法。
  2. バリア層を覆ってシード層を堆積し、その後、該シード層を覆って導電性材料層を堆積する方法において、
    上記バリア層を覆って銅合金シード層を堆積するステップであって、該銅合金シード層は、銅と、濃度が約0.001原子パーセントから約5.0原子パーセントの金属とで構成され、該金属は、アルミニウム、マグネシウム、チタン、ジルコニウム、スズ及びその組合せより成るグループから選択された前記ステップを備えた方法。
  3. バリア層を覆ってシード層を堆積し、その後、該シード層を覆って導電性材料層を堆積する方法において、
    上記バリア層を覆って銅合金シード層を堆積するステップであって、該銅合金シード層は、銅と、アルミニウム、マグネシウム、チタン、ジルコニウム、スズ及びその組合せより成るグループから選択された金属とで構成された前記ステップと、
    上記銅合金シード層を覆って第2のシード層を堆積するステップと、
    を備えた方法。
  4. 上記銅合金シード層は、濃度が約0.001原子パーセントから約5.0原子パーセントの金属を含む、請求項3に記載の方法。
  5. 上記銅合金シード層は、濃度が約0.01原子パーセントから約2.0原子パーセントの金属を含む、請求項2又は3に記載の方法。
  6. 上記銅合金シード層は、濃度が約0.1原子パーセントから約1.0原子パーセントの金属を含む、請求項2又は3に記載の方法。
  7. バリア層を覆ってシード層を堆積し、その後、該シード層を覆って導電性材料層を堆積する方法において、
    上記バリア層を覆って第1シード層を堆積するステップであって、該第1シード層は、アルミニウム、マグネシウム、チタン、ジルコニウム、スズ及びその組合せより成るグループから選択された金属で構成されたものであるステップと、
    上記第1シード層を覆って第2シード層を堆積するステップと、
    を備えた方法。
  8. 上記第1シード層は、下位の単層(a sub-monolayer)から約50Åの側壁カバレージまで堆積される請求項7に記載の方法。
  9. 上記第1シード層は、下位の単層から約40Åの側壁カバレージまで堆積される、請求項7に記載の方法。
  10. 上記銅の導電性材料層は、上記第2シード層を覆って堆積される、請求項3又は7に記載の方法。
  11. 約50Å以下の側壁カバレージまでバリア層を堆積するステップと、
    上記バリア層を覆ってシード層を堆積するステップであって、該シード層は、銅と、アルミニウム、マグネシウム、チタン、ジルコニウム、スズ及びその組合せより成るグループから選択された金属とで構成された前記ステップと、
    を備えた銅金属化のための基板構造体を準備する方法。
  12. 上記バリア層は、約20Å以下の側壁カバレージまで堆積される、請求項11に記載の方法。
  13. 上記バリア層は、約10Å以下の側壁カバレージまで堆積される、請求項11に記載の方法。
  14. 上記シード層は、上記銅及び金属の銅合金シード層で構成される、請求項1又は11に記載の方法。
  15. バリア層を堆積するステップと、
    上記バリア層を覆って銅合金シード層を堆積するステップであって、該銅合金シード層は、銅と、濃度が約0.01原子パーセントから5.0原子パーセントの金属とで構成され、該金属は、アルミニウム、マグネシウム、チタン、ジルコニウム、スズ及びその組合せより成るグループから選択された前記ステップと、
    上記銅合金シード層を覆って銅の導電性材料層を堆積するステップと、
    を備えた特徴部を埋め込む方法。
  16. 上記バリア層は、原子層堆積、化学的気相堆積、物理的気相堆積、及びその組合せより成るグループから選択された技術によって堆積される、請求項1、11又は15に記載の方法。
  17. 原子層堆積によりバリア層を堆積するステップと、
    上記バリア層を覆って銅合金シード層を堆積するステップであって、該銅合金シード層は、銅と、濃度が約0.01原子パーセントから5.0原子パーセントの金属とで構成され、該金属は、アルミニウム、マグネシウム、チタン、ジルコニウム、スズ及びその組合せより成るグループから選択された前記ステップと、
    上記銅合金シード層を覆って第2シード層を堆積するステップと、
    上記第2シード層を覆って銅の導電性材料層を堆積するステップと、
    を備えた特徴部を埋め込む方法。
  18. 上記銅合金シード層は、物理的気相堆積、化学的気相堆積、原子層堆積、無電解堆積、及びその組合せより成るグループから選択された技術によって堆積される、請求項2、3、15又は17に記載の方法。
  19. 原子層堆積によりバリア層を堆積するステップと、
    上記バリア層を覆ってアルミニウムを含む第1シード層を下位の単層から約50Åの側壁カバレージまで堆積するステップと、
    上記第1シード層を覆って第2シード層を堆積するステップと、
    上記第2シード層を覆って導電性材料層を堆積するステップと、
    を備えた特徴部を埋め込む方法。
  20. 上記第1シード層は、物理的気相堆積、化学的気相堆積、原子層堆積、無電解堆積及びその組合せより成るグループから選択された技術により堆積される、請求項7又は19に記載の方法。
  21. 上記第2シード層は、物理的気相堆積、化学的気相堆積、原子層堆積、無電解堆積及びその組合せより成るグループから選択された技術により堆積される、請求項3、7、17又は19に記載の方法。
  22. 上記銅の導電性材料層は、電気メッキ、無電解堆積、化学的気相堆積、物理的気相堆積及びその組合せより成るグループから選択された技術により堆積される、請求項1、15、17又は19に記載の方法。
  23. 原子層堆積によりバリア層を堆積するステップと、
    上記バリア層を覆って銅及びアルミニウムを含むシード層を堆積するステップと、
    を備えた銅の電気メッキのための基板構造体を準備する方法。
  24. 上記シード層は、銅及びアルミニウムの銅合金シード層で構成され、該銅合金シード層に存在するアルミニウムは、濃度が約0.001原子パーセントから約5.0原子パーセントである、請求項23に記載の方法。
  25. 上記シード層は、上記バリア層を覆って堆積された第1シード層と、該第1シード層を覆って堆積された第2シード層とで構成される、請求項1、11又は23に記載の方法。
  26. 上記第1シード層は、銅及びアルミニウムの銅合金シード層で構成され、該銅合金シード層に存在するアルミニウムは、濃度が約0.001原子パーセントから約5.0原子パーセントであり、更に、上記第2シード層は、非ドープの銅で構成される、請求項25に記載の方法。
  27. 上記銅合金シード層は、約0.01原子パーセントから約2.0原子パーセントの濃度でアルミニウムを含む、請求項24に記載の方法。
  28. 上記銅合金シード層は、約0.01原子パーセントから約2.0原子パーセントの濃度でアルミニウムを含む、請求項26に記載の方法。
  29. 上記銅合金シード層は、約0.1原子パーセントから約1.0原子パーセントの濃度でアルミニウムを含む、請求項24に記載の方法。
  30. 上記銅合金シード層は、約0.1原子パーセントから約1.0原子パーセントの濃度でアルミニウムを含む、請求項26に記載の方法。
  31. 上記第1シード層は、下位の単層から約50Åの側壁カバレージまでアルミニウムを含み、更に、上記第2シード層は、非ドープの銅を含む、請求項25に記載の方法。
  32. 上記バリア層は、チタン、窒化チタン、窒化チタンシリコン、タンタル、窒化タンタル、窒化タンタルシリコン、タングステン、窒化タングステン、窒化タングステンシリコン及びその組合せより成るグループから選択された材料で構成される、請求項1、11、15、17、19又は23に記載の方法。
  33. 上記シード層は、物理的気相堆積、化学的気相堆積、原子層堆積、無電解堆積及びその組合せより成るグループから選択された技術により堆積される、請求項1又は11に記載の方法。
  34. 上記第1シード層は、銅及び金属の銅合金シード層で構成される、請求項25に記載の方法。
  35. 上記第1シード層は、金属で構成される、請求項25に記載の方法。
  36. 上記第2シード層は、非ドープの銅で構成される、請求項3、7又は17に記載の方法。
  37. 上記第2シード層は、非ドープの銅で構成される、請求項34に記載の方法。
  38. 上記第2シード層は、非ドープの銅で構成される、請求項35に記載の方法。
  39. シード層を物理的気相堆積するためのターゲットにおいて、
    銅と、
    アルミニウム、マグネシウム、チタン、ジルコニウム、スズ及びその組合せより成るグループから選択された金属と、
    を含み、上記金属は、上記ターゲットに約0.001原子パーセントから約5.0原子パーセントの濃度で存在するようにされたターゲット。
  40. 上記金属は、上記ターゲットに約0.01原子パーセントから約2.0原子パーセントの濃度で存在する、請求項39に記載のターゲット。
  41. 上記金属は、約0.1原子パーセントから約1.0原子パーセントの濃度で存在する、請求項39に記載のターゲット。
  42. シード層を堆積するためのチャンバーにおいて、
    銅と、アルミニウム、マグネシウム、チタン、ジルコニウム、スズ及びその組合せより成るグループから選択された金属とで構成されたターゲットを備え、上記金属は、上記ターゲットに約0.001原子パーセントから約5.0原子パーセントの濃度で存在するようにされたチャンバー。
  43. 上記金属は、上記ターゲットに約0.01原子パーセントから約2.0原子パーセントの濃度で存在する、請求項42に記載のチャンバー。
  44. 上記金属は、約0.1原子パーセントから約1.0原子パーセントの濃度で存在する、請求項42に記載のチャンバー。
  45. 基板を処理するためのシステムにおいて、
    銅合金シード層を堆積するための第1チャンバーを備え、上記銅合金シード層は、銅の他に、アルミニウム、マグネシウム、チタン、ジルコニウム、スズ及びその組合せより成るグループから選択された金属とで構成され、上記金属は、上記銅合金に約0.01原子パーセントから約2.0原子パーセントの濃度で存在するようにされたシステム。
  46. バリア層を堆積するための第2チャンバーを更に備え、上記銅合金シード層は、該バリア層を覆って堆積される、請求項45に記載のシステム。
  47. 上記第2チャンバーは、原子層堆積チャンバー、化学的気相堆積チャンバー、及び物理的気相堆積チャンバーより成るグループから選択される、請求項46に記載のシステム。
  48. 上記第1チャンバーは、物理的気相堆積チャンバーであり、上記第2チャンバーは、原子層堆積チャンバーである、請求項46に記載のシステム。
  49. 上記第1チャンバー及び上記第2チャンバーに連通する移送チャンバーを更に備えた、請求項46に記載のシステム。
  50. 上記チャンバーの少なくとも2つは、単一チャンバーである、請求項46に記載のシステム。
  51. 基板を処理するためのシステムにおいて、
    銅合金シード層を堆積するための第1チャンバーであって、上記銅合金シード層は、銅の他に、アルミニウム、マグネシウム、チタン、ジルコニウム、スズ及びその組合せより成るグループから選択された金属とで構成されるものである第1チャンバーと、
    上記銅合金シード層を覆って非ドープ銅のシード層を堆積するための第2チャンバーと、
    を備えたシステム。
  52. バリア層を堆積するための第3チャンバーを更に備え、上記銅合金シード層は、該バリア層を覆って堆積される請求項51に記載のシステム。
  53. 基板を処理するためのシステムにおいて、
    金属シード層を堆積するための第1チャンバーであって、上記金属シード層は、アルミニウム、マグネシウム、チタン、ジルコニウム、スズ及びその組合せより成るグループから選択された金属で構成されるものである第1チャンバーと、
    上記金属シード層を覆って非ドープ銅のシード層を堆積するための第2チャンバーと、
    を備えたシステム。
  54. バリア層を堆積するための第3チャンバーを更に備え、上記金属シード層は、該バリア層を覆って堆積される、請求項53に記載のシステム。
  55. 上記第1チャンバーは、物理的気相堆積チャンバー、化学的気相堆積チャンバー、原子層堆積チャンバー、及び無電解堆積チャンバーより成るグループから選択される、請求項45、51又は53に記載のシステム。
  56. 上記第2チャンバーは、物理的気相堆積チャンバー、化学的気相堆積チャンバー、原子層堆積チャンバー、及び無電解堆積チャンバーより成るグループから選択される、請求項51又は53に記載のシステム。
  57. 上記第3チャンバーは、原子層堆積チャンバー、化学的気相堆積チャンバー及び物理的気相堆積チャンバーより成るグループから選択される、請求項52又は54に記載のシステム。
  58. 上記第1チャンバーは、物理的気相堆積チャンバーであり、上記第2チャンバーは、物理的気相堆積チャンバーであり、上記第3チャンバーは、原子層堆積チャンバーである、請求項52又は54に記載のシステム。
  59. 上記第1チャンバー、上記第2チャンバー及び上記第3チャンバーと連通する移送チャンバーを更に備えた、請求項52又は54に記載のシステム。
  60. 上記チャンバーの少なくとも2つは、単一チャンバーである、請求項52又は54に記載のシステム。
  61. 上部に誘電体層が形成された基板であって、上記誘電体層には上記基板の上面へとアパーチャーが形成されている基板と、
    上記誘電体層を覆って形成された少なくとも1つのバリア層と、
    上記少なくとも1つのバリア層を覆って形成された銅合金のシード層であって、該銅合金のシード層は、銅と、濃度が約0.001原子パーセントから約5.0原子パーセントの金属とで構成され、該金属は、アルミニウム、マグネシウム、チタン、ジルコニウム、スズ及びその組合せより成るグループから選択されたものである銅合金のシード層と、
    上記銅合金のシード層を覆って形成された銅の導電性材料層と、
    を備えた構造体。
  62. 上記銅合金のシード層は、濃度が約0.01原子パーセントから約2.0原子パーセントの金属を含む、請求項61に記載の構造体。
  63. 上記銅合金のシード層は、濃度が約0.1原子パーセントから約1.0原子パーセントの金属を含む、請求項61に記載の構造体。
  64. 上部に誘電体層が形成された基板であって、上記誘電体層には上記基板の上面へとアパーチャーが形成されている基板と、
    上記誘電体層を覆って形成された少なくとも1つのバリア層と、
    上記少なくとも1つのバリア層を覆って形成された銅合金のシード層であって、該銅合金のシード層は、銅の他に、アルミニウム、マグネシウム、チタン、ジルコニウム、スズ及びその組合せより成るグループから選択された金属で構成される銅合金のシード層と、
    上記銅合金のシード層を覆って形成された第2シード層と、
    上記第2シード層を覆って形成された銅の導電性材料層と、
    を備えた構造体。
  65. 上記第2シード層は、非ドープ銅で構成される、請求項64に記載の構造体。
  66. 上記銅合金のシード層は、濃度が約0.001原子パーセントから約5.0原子パーセントの金属を含む、請求項64に記載の構造体。
  67. 上記銅合金のシード層は、濃度が約0.01原子パーセントから約2.0原子パーセントの金属を含む、請求項64に記載の構造体。
  68. 上記銅合金のシード層は、濃度が約0.1原子パーセントから約1.0原子パーセントの金属を含む、請求項64に記載の構造体。
  69. 誘電体層が形成された基板であって、上記誘電体層には上記基板の上面へとアパーチャーが形成されている基板と、
    上記誘電体層を覆って形成された少なくとも1つのバリア層と、
    上記少なくとも1つのバリア層を覆って形成された第1シード層であって、該第1シード層は、アルミニウム、マグネシウム、チタン、ジルコニウム、スズ及びその組合せより成るグループから選択された金属で構成される第1シード層と、
    上記第1シード層を覆って形成された第2シード層と、
    上記第2シード層を覆って形成された銅の導電性材料層と、
    を備えた構造体。
  70. 上記第2シード層は、非ドープ銅で構成される、請求項69に記載の構造体。
  71. 上記第1シード層は、下位の単層から約50Åの側壁カバレージを有する、請求項69に記載の構造体。
  72. 上記第1シード層は、下位の単層から約40Åの側壁カバレージを有する、請求項69に記載の構造体。
  73. 上記バリア層は、チタン、窒化チタン、窒化チタンシリコン、タンタル、窒化タンタル、窒化タンタルシリコン、タングステン、窒化タングステン、窒化タングステンシリコン及びその組合せより成るグループから選択された材料で構成される、請求項61、64又は69に記載の構造体。
  74. 上記バリア層は、約50Å以下の側壁カバレージを有する、請求項61、64又は69に記載の構造体。
  75. 上記バリア層は、約20Å以下の側壁カバレージを有する、請求項61、64又は69に記載の構造体。
  76. 上記バリア層は、約10Å以下の側壁カバレージを有する、請求項61、64又は69に記載の構造体。
JP2003531517A 2001-09-26 2002-09-09 バリア層とシード層の一体化 Pending JP2005528776A (ja)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US09/965,373 US6936906B2 (en) 2001-09-26 2001-09-26 Integration of barrier layer and seed layer
US09/965,370 US20030059538A1 (en) 2001-09-26 2001-09-26 Integration of barrier layer and seed layer
US09/965,369 US20030057526A1 (en) 2001-09-26 2001-09-26 Integration of barrier layer and seed layer
PCT/US2002/028715 WO2003028090A2 (en) 2001-09-26 2002-09-09 Integration of barrier layer and seed layer

Publications (1)

Publication Number Publication Date
JP2005528776A true JP2005528776A (ja) 2005-09-22

Family

ID=27420751

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2003531517A Pending JP2005528776A (ja) 2001-09-26 2002-09-09 バリア層とシード層の一体化

Country Status (5)

Country Link
EP (1) EP1433202A2 (ja)
JP (1) JP2005528776A (ja)
KR (1) KR20040045007A (ja)
CN (2) CN102361004B (ja)
WO (1) WO2003028090A2 (ja)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008288574A (ja) * 2006-11-28 2008-11-27 Kobe Steel Ltd 半導体装置のCu配線およびその製造方法
JP2012060015A (ja) * 2010-09-10 2012-03-22 Hitachi Cable Ltd 電子デバイス配線用Cu合金スパッタリングターゲット材、及び素子構造
JP2012151417A (ja) * 2011-01-21 2012-08-09 Japan Display Central Co Ltd 薄膜トランジスタ回路基板及びその製造方法
JP2014123605A (ja) * 2012-12-20 2014-07-03 Tokyo Electron Ltd Cu配線の形成方法
JP2017008353A (ja) * 2015-06-19 2017-01-12 東京エレクトロン株式会社 めっき処理方法及びめっき処理部品並びにめっき処理システム
JP2019135330A (ja) * 2019-05-09 2019-08-15 東京エレクトロン株式会社 めっき処理方法及びめっき処理部品並びにめっき処理システム

Families Citing this family (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7186385B2 (en) 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
KR101104058B1 (ko) * 2003-05-27 2012-01-06 어플라이드 머티어리얼스, 인코포레이티드 반도체 처리 장치를 위한 프리커서를 생성하는 방법 및장치
KR100968312B1 (ko) * 2004-06-02 2010-07-08 인터내셔널 비지네스 머신즈 코포레이션 저-k 물질 상의 TaN 확산장벽 영역의 PE-ALD
US20100255625A1 (en) * 2007-09-07 2010-10-07 Fujifilm Manufacturing Europe B.V. Method and apparatus for atomic layer deposition using an atmospheric pressure glow discharge plasma
JP5135002B2 (ja) * 2008-02-28 2013-01-30 ルネサスエレクトロニクス株式会社 半導体装置
JP2010087094A (ja) * 2008-09-30 2010-04-15 Nec Electronics Corp 半導体装置及び半導体装置の製造方法
CN101937864B (zh) * 2009-07-03 2012-03-07 中芯国际集成电路制造(上海)有限公司 接触孔填充方法
WO2011046517A1 (en) 2009-10-16 2011-04-21 Empire Technology Development Llc Apparatus and method of applying a film to a semiconductor wafer and method of processing a semiconductor wafer
KR101139696B1 (ko) * 2010-04-20 2012-05-02 엘아이지에이디피 주식회사 화학기상 증착장치
KR101357171B1 (ko) * 2010-11-12 2014-01-29 엘아이지에이디피 주식회사 화학기상증착장치
CN102790009B (zh) * 2011-05-16 2015-04-29 中芯国际集成电路制造(上海)有限公司 降低铜电镀工艺中边缘效应的方法及铜互连结构制造方法
US8729702B1 (en) * 2012-11-20 2014-05-20 Stmicroelectronics, Inc. Copper seed layer for an interconnect structure having a doping concentration level gradient
US8981564B2 (en) * 2013-05-20 2015-03-17 Invensas Corporation Metal PVD-free conducting structures
CN105845620A (zh) * 2015-01-16 2016-08-10 中芯国际集成电路制造(上海)有限公司 铜互连结构的制作方法、半导体器件及电子装置
US9859157B1 (en) 2016-07-14 2018-01-02 International Business Machines Corporation Method for forming improved liner layer and semiconductor device including the same

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5913147A (en) * 1997-01-21 1999-06-15 Advanced Micro Devices, Inc. Method for fabricating copper-aluminum metallization
JPH11297696A (ja) * 1998-04-09 1999-10-29 Fujitsu Ltd 半導体装置及びその製造方法
JPH11340229A (ja) * 1998-04-27 1999-12-10 Internatl Business Mach Corp <Ibm> 金属シ―ド層を挿入する構造の銅の相互接続
WO2001015220A1 (en) * 1999-08-24 2001-03-01 Asm America, Inc. Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
JP2001254181A (ja) * 2000-01-06 2001-09-18 Tokyo Electron Ltd 成膜装置および成膜方法

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6251759B1 (en) * 1998-10-03 2001-06-26 Applied Materials, Inc. Method and apparatus for depositing material upon a semiconductor wafer using a transition chamber of a multiple chamber semiconductor wafer processing system
KR20010001543A (ko) * 1999-06-05 2001-01-05 김기범 구리 배선 구조를 가지는 반도체 소자 제조 방법

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5913147A (en) * 1997-01-21 1999-06-15 Advanced Micro Devices, Inc. Method for fabricating copper-aluminum metallization
JPH11297696A (ja) * 1998-04-09 1999-10-29 Fujitsu Ltd 半導体装置及びその製造方法
JPH11340229A (ja) * 1998-04-27 1999-12-10 Internatl Business Mach Corp <Ibm> 金属シ―ド層を挿入する構造の銅の相互接続
WO2001015220A1 (en) * 1999-08-24 2001-03-01 Asm America, Inc. Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
JP2001254181A (ja) * 2000-01-06 2001-09-18 Tokyo Electron Ltd 成膜装置および成膜方法

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008288574A (ja) * 2006-11-28 2008-11-27 Kobe Steel Ltd 半導体装置のCu配線およびその製造方法
JP2012060015A (ja) * 2010-09-10 2012-03-22 Hitachi Cable Ltd 電子デバイス配線用Cu合金スパッタリングターゲット材、及び素子構造
JP2012151417A (ja) * 2011-01-21 2012-08-09 Japan Display Central Co Ltd 薄膜トランジスタ回路基板及びその製造方法
JP2014123605A (ja) * 2012-12-20 2014-07-03 Tokyo Electron Ltd Cu配線の形成方法
JP2017008353A (ja) * 2015-06-19 2017-01-12 東京エレクトロン株式会社 めっき処理方法及びめっき処理部品並びにめっき処理システム
US10179950B2 (en) 2015-06-19 2019-01-15 Tokyo Electron Limited Plating method, plated component, and plating system
JP2019135330A (ja) * 2019-05-09 2019-08-15 東京エレクトロン株式会社 めっき処理方法及びめっき処理部品並びにめっき処理システム

Also Published As

Publication number Publication date
CN1575518A (zh) 2005-02-02
CN102361004A (zh) 2012-02-22
EP1433202A2 (en) 2004-06-30
KR20040045007A (ko) 2004-05-31
WO2003028090A3 (en) 2003-09-12
WO2003028090A2 (en) 2003-04-03
CN102361004B (zh) 2016-02-10

Similar Documents

Publication Publication Date Title
US6936906B2 (en) Integration of barrier layer and seed layer
US20030059538A1 (en) Integration of barrier layer and seed layer
US20030057526A1 (en) Integration of barrier layer and seed layer
JP2005528776A (ja) バリア層とシード層の一体化
US7244683B2 (en) Integration of ALD/CVD barriers with porous low k materials
US9012334B2 (en) Formation of a tantalum-nitride layer
US7262133B2 (en) Enhancement of copper line reliability using thin ALD tan film to cap the copper line
US6268288B1 (en) Plasma treated thermal CVD of TaN films from tantalum halide precursors
US6841044B1 (en) Chemically-enhanced physical vapor deposition
US20070099415A1 (en) Integration process of tungsten atomic layer deposition for metallization application
US20020117399A1 (en) Atomically thin highly resistive barrier layer in a copper via
EP1094504A2 (en) PVD-IMP tungsten and tungsten nitride as a liner, barrier, and/or seed layer
TWI385730B (zh) 銅金屬化用之具有變化組成的阻障層之製造方法
JP2005531918A (ja) 誘電体材料上のバリヤ層の選択的堆積
US6455421B1 (en) Plasma treatment of tantalum nitride compound films formed by chemical vapor deposition
TWI354321B (en) Method and system for depositing barrier layer ont
JP2005528808A (ja) 銅膜の堆積
TWI223867B (en) Method for forming a metal interconnect on a substrate

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20050909

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090707

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20091001

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20091001

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20100921