JP2004533098A - 複合型加熱/冷却装置のための加熱部材及び加熱方法 - Google Patents

複合型加熱/冷却装置のための加熱部材及び加熱方法 Download PDF

Info

Publication number
JP2004533098A
JP2004533098A JP2003502427A JP2003502427A JP2004533098A JP 2004533098 A JP2004533098 A JP 2004533098A JP 2003502427 A JP2003502427 A JP 2003502427A JP 2003502427 A JP2003502427 A JP 2003502427A JP 2004533098 A JP2004533098 A JP 2004533098A
Authority
JP
Japan
Prior art keywords
heating
layer
heating element
heating member
cooling
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2003502427A
Other languages
English (en)
Inventor
ラマナン ナタラジャン
ビー. シムズ ジェームス
Original Assignee
エフエスアイ インターナショナル インコーポレイテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by エフエスアイ インターナショナル インコーポレイテッド filed Critical エフエスアイ インターナショナル インコーポレイテッド
Publication of JP2004533098A publication Critical patent/JP2004533098A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05BELECTRIC HEATING; ELECTRIC LIGHT SOURCES NOT OTHERWISE PROVIDED FOR; CIRCUIT ARRANGEMENTS FOR ELECTRIC LIGHT SOURCES, IN GENERAL
    • H05B3/00Ohmic-resistance heating
    • H05B3/20Heating elements having extended surface area substantially in a two-dimensional plane, e.g. plate-heater
    • H05B3/22Heating elements having extended surface area substantially in a two-dimensional plane, e.g. plate-heater non-flexible
    • H05B3/28Heating elements having extended surface area substantially in a two-dimensional plane, e.g. plate-heater non-flexible heating conductor embedded in insulating material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Resistance Heating (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Surface Heating Bodies (AREA)
  • Physical Deposition Of Substances That Are Components Of Semiconductor Devices (AREA)

Abstract

【課題】加工物の加熱および冷却を均一かつ迅速に実行する加熱部材、加熱/冷却装置、およびその方法を提供すること。
【解決手段】マイクロエレクトロニックデバイスのような基板13の処理のために有用な、加熱部材および関連する方法。この加熱部材は、好ましくはセラミック材料を使用して、優れた平坦度および熱特性を有するように形成され、それによって、迅速、鋭敏、かつ均一な熱伝達を可能にする。この加熱部材には、多層化加熱体が含まれる。
【選択図】図1a

Description

【技術分野】
【0001】
本発明は、加熱部材、加熱体、および加熱部材および加熱体が組み込まれかつ加工物の加熱および冷却に有用な装置に関する。このような装置には、マイクロエレクトロニックデバイスの製造において使用される焼成(bake)/冷却装置およびプライム(prime)/冷却装置が含まれる。加熱部材は、比較的高い平坦度を有するように形成することができ、それによって処理中の熱伝達の効率および均一性が改善される。
【背景技術】
【0002】
多くの製品の製造では、温度および温度変化の正確な制御が要求される。たとえば、集積回路、フラットディスプレイパネル、薄膜ヘッド等のマイクロエレクトロニックデバイスの製造には、半導体ウエハ(集積回路の場合)のような基板の表面に、フォトレジストのような特定の材料の層を付加する工程が含まれる。特に、フォトレジストは、選択された部分を硬化するため、その処理の間に、焼成してその後冷却しなければならないが、フォトレジストの選択された部分を良好な解像度で適切に硬化するために、加熱工程および冷却工程を厳密な温度条件の範囲内で正確に制御する必要がある。近年、加工物上に形成される構造物(feature)のサイズが微小化してサブミクロンオーダーに近付くにつれて、加工物の温度の精度および均一な加熱の重要性がさらに増大している。
【0003】
高精度な温度条件を要する他の製品および処理には、調薬、機器の殺菌、および生体工学を含む医薬品およびその処理、加速寿命試験技術、射出成形作業、圧電素子、写真フィルムの処理、スパッタリングやプレーティングのような材料付着処理、マイクロマシンの製造、インクジェット印刷、燃料射出などがある。
【0004】
マイクロエレクトロニックデバイスの加熱工程および冷却工程は、通常、加工物が晒される温度を所望の温度プロファイルに従って一巡させることを含み、この処理において、加工物は、高い平衡温度に維持され、相対的に低い平衡温度に冷却され、さらにそれらの平衡温度間において様々な変化率(℃/s)での温度変化を受ける。従来の焼成/冷却作業では、加熱工程および冷却工程を遂行するために個別の加熱プレート(bakeplate)および冷却プレートが使用され、加工物自体を物理的に持ち上げて1つのプレートから別のプレートへ移送する搬送機構を使用する必要があった。この方法は、次のような多くの欠点を有している。第1に、加熱プレートと冷却プレートとの間を移送される間は、加工物の温度が制御されない。第2に、プレート間を加工物が移送される時間の変動により、焼成/冷却処理を完了するために必要な全体の時間を高精度に制御することができない。第3に、必要な移送に時間がかかり、製造工程における処理能力が低下する。第4に、装置のコストにプレート間の移送時に加工物を操作するための構成部品のコストが含まれる。第5に、プレート間の機械的な移送によって、加工物の汚染の可能性が発生する。したがって、加熱部材から別体の冷却プレートへ、また、冷却プレートから加熱部材へ、加工物を物理的に持ち上げて搬送することなく、焼成および冷却の両方の作業を遂行できることが望ましい。
【0005】
温度制御に関する最近の取り組みは、「低熱容量で、熱伝導性の加熱プレートを含む加熱/冷却装置(Combination Bake/Chill Apparatus Incorporating Low Thermal Mass, Thermally Conductive Bakeplate)」と称する特許文献1に記載されている。特許文献1には、焼成および冷却の両方の作業の間に加工物を支持する低熱容量の加熱部材を備えた単一の装置を使用する方法が開示されている。この方法では、加熱部材の一面上に加工物を支持しながら、加熱部材の他方の面を、相対的に熱容量の大きな冷却プレートに対して熱接触させたり、またはその熱接触から切り離したりすることができる。単純な機構を使用して、加熱部材と冷却プレートとを物理的に分離して急速な加熱を実施し、また、加熱部材と冷却部材とを結合して急速な冷却を実施する。この方法によって、加熱部材から別体の冷却プレートへ加工物を持ち上げて移送する作業の必要性がなくなり、有利なことには、冷却作業および加熱作業の両方を加工物の下方向から実施することが可能となる。
【特許文献1】
米国特許第6,072,163号明細書
【発明の開示】
【発明が解決しようとする課題】
【0006】
加熱および冷却の機能を併せ持つ装置、たとえば焼成/冷却装置またはプライム/冷却装置による加熱作業および冷却作業において、高い平坦度が加熱部材の重要な特性であることが発見された。加熱部材の支持面とその加熱部材によって支持される加工物との間の典型的な間隙寸法は、数千分の1インチ、たとえば1/6000インチ(約1/2400cm)以下とすることができる。加熱部材と加工物との間に熱が均一に伝達されるためには、両者の間の間隙が、加熱部材と加工物との間の全領域に渡って均一に広がっていることが重要である。
【0007】
非均一な熱伝達の影響の例として、マイクロエレクトロニックデバイス上に、フォトレジストのような反応性の化学物質層を付着させる場合を考える。上述したように、マイクロレクトロニックデバイス上に配置される構造物は益々微細になり、0.13μm、さらにはそれ以下に達している。構造物のサイズの微小化に伴って、処理の非均一性に対する許容度が低減する。構造物が小さくなるにつれて、処理される加工物の最終品質に対して以前はその効果を無視し得た影響が重要になってきた。このような極小の構造物を形成するために使用されるフォトレジストの場合、その感温性が製品の最終品質に影響を及ぼす場合がある。特に、フォトレジスト層に渡る温度が非均一であると、たとえその差が僅かであっても、溶剤蒸発の非均一性、または現像、化学増幅、あるいはフォトレジストの光化学反応等の反応速度論的非均一性によって、付着されるフォトレジスト層の厚みの非均一性、または現像される構造物のサイズの非均一性が生じる可能性がある。これらの非均一な処理によって、化学物質を使用して製造される製品の細部(たとえば、構造物のサイズ)に非均一性および不完全性が生じる可能性がある。加工物の加熱の均一性を改善する方法によれば、製品の品質を向上させ、不良品を低減することができる。
【0008】
反応速度、構造物のサイズ、均一性、そして最終的には製品の品質に影響を及ぼす可能性のある他の変数は、冷却工程および加熱工程のタイミングである。多くの化学反応は感温性であり、したがって特定の温度で生じるように意図されている。最適な温度制御には、加工物およびその化学物質(たとえば、フォトレジスト)を所望の温度に急速に加熱し、最適温度以下の温度で経過する時間を最小化して、所望の温度で反応する時間を最大化することが含まれる。これによって、反応の精度と反応する化学物質の均一性が増大する。加熱部材は、急速かつ高精度な加熱および冷却を可能にするような特性を有することが特に望ましい。加工物の高い処理能力および品質のため、加熱および冷却が機敏に実施できることが望ましく、また有用である。
【0009】
加工物の加熱における高度な均一性は、次のうちの1つまたは複数の特性を有する低熱容量の加熱部材を選択することによって達成できることが発見された。すなわち、支持面の高い平坦性、熱伝導層の高い熱伝導性、独立に温度制御される領域、所望の平坦度および熱伝導率を達成するための捩り剛性、曲げ剛性、および熱特性を有する熱伝導層である。加工物の加熱の均一性を改善することによって、加工物の全面に渡る化学的処理(たとえば、溶剤蒸発または化学反応)の均一性を改善することができ、それによって構造物のサイズの均一性が改善され、最終的には製品の品質および歩留まりが向上する。
【0010】
従来、加熱部材の形成において使用された典型的な工程には、加熱部材の材料に高圧をかけることが含まれていた。加熱部材は、たとえば複数の金属層のような多数の層および高温・高圧を使用して結合された加熱体から構成されていた。たとえばアルミニウム等の金属のような加熱部材中の層として使用される多くの材料は、比較的高い柔軟性を有している。加熱部材を形成するために使用される高圧は、加熱部材の最終的な平坦度に対して悪影響を及ぼす傾向があった。たとえば、薄く平坦なアルミニウムのシートに加熱体を結合することによって、アルミニウムのシートに最大で100分の1インチ(約40分の1cm)の変形が生じることが分かった。結果として、加熱部材の全体的な平坦度が低下して反りが生じ、それによって、加熱部材の使用中に、加熱部材と加工物との間に非均一な間隙が生じる。その間隙によって、今度は、加熱部材による加工物の加熱が非均一になる。さらなる問題として、金属の特性には比較的低い剛性および比較的高い柔軟性が含まれるため、一般に、まず薄くかつ平坦な金属シートを形成することが困難である。
【課題を解決するための手段】
【0011】
低熱容量加熱部材を、上述した寸法安定性の問題を有さない比較的高い剛性を有するセラミック材料から構成でき、その加熱部材を、比較的高い平坦度を有するように構成でき、同時に、低熱容量、および高くかつ均一な熱伝導性などの所望の熱特性を有するように選択できることが発見された。有用なセラミック材料は、通常は、少なくとも200GPaのヤング率によって特徴付けられる剛性を有し、好適な平坦度に加工可能なものである。好適な平坦度は、約0.01インチ(約0.254mm)よりも値が小さく、さらに好適には約0.005インチ(約0.127mm)、さらに好適には約0.002インチ(約0.0508mm)よりも値が小さい。
【0012】
好適な加熱部材は、加熱体に結合し、高い平坦度を備えたセラミックの熱伝導層を含むことができる。加熱部材は、たとえば、一方の面が非常に高い平坦度を有するように仕上げられた剛性を有する単一のセラミック層により構成され、他方の面は加熱体に結合するものである。別の実施形態では、加熱部材は、加熱体を挟む2つのセラミック層を含むことができる。これらの加熱部材は、セラミックの熱伝導層の平坦度にはそれほど悪影響を与えない方法を使用して製造および組立てることによって、優れた平坦度を有する加熱部材を製造することができ、その使用により、平坦度の劣る加熱部材よりも均一な熱伝達が提供される。セラミックは、複合型焼成/冷却装置における使用のために、比較的低比熱(したがって、低熱容量)であること、および高熱伝導性を有することの1つまたは複数のような、さらに有利な特性を有する可能性がある。
【0013】
1つまたは複数のこのような利点を提供できる好適なセラミック材料の1つは、炭化ケイ素として知られ、特に、95重量%よりも高い純度(たとえば、少なくとも98重量%または99重量%、さらに99.999重量%またはそれ以上)を有する炭化ケイ素である。このような高純度によって、均一性が向上し、より均一な熱伝達が達成される。熱伝導層は、他の材料から形成することもでき、特に、窒化アルミニウム(AlN)、ベリリウム銅、ベリリウム、黒鉛フォーム等のような、好適な剛性および熱特性を有する他のセラミックス材料が使用できる。
【0014】
本発明に係る加熱部材の他の利点には、次のうちの1つまたは複数が含まれる。平坦度を向上することによって、他の加熱部材ではしばしば必要な加熱部材に対する加工物の真空吸引の必要がなくなる(真空の使用が有用かつ所望の場合もある)。これによって、加熱部材を使用する装置および加熱部材自体の複雑性を低減することができる。また、加熱部材の表面に配置される突起の数を低減するか、または突起の必要性をなくすことができ、より効率的な熱伝達が達成される。
【0015】
本発明に係る好適な加熱部材には、多層化加熱体(すなわち、加熱体の2層以上の層に分離された加熱体セグメントを含む加熱体を意味する)を含めることができる(もちろん、単一層の加熱体が有用な場合もある)。
【0016】
好適な加熱部材には、次のものを含めることができる。すなわち、1層または複数層の熱伝導層(1層を加工物支持面を含む最上面に配置し、さらに、第2の熱伝導層を、反対側の、冷却プレートとの熱接触状態に置かれる場合がある面に配置することもできる)、好ましくは多層化されてその各層に1つまたは複数の加熱体セグメントを含む加熱体、および接着剤である。さらに、たとえば温度センサ(好ましくは、加熱体の一層として形成してもよい)などの任意に選択可能な構成要素、または他の構成要素を含んでもよいことは、当業者にとって明らかである。電気抵抗加熱体セグメントを温度センサ層から分離するために、接地層が好適である。
【0017】
本発明の好適な実施形態は、加熱工程および冷却工程を通じて、加熱部材および加工物の温度を正確に制御可能な制御装置および制御方法を組込み、それによって加工物製造のための正確な温度仕様を確実に満足させることが好ましい。たとえば、1°C/s〜50°C/sの加熱速度または冷却速度が使用される場合、制御システムは、加工物の温度をそのような高速な温度変化に同等な鋭敏性を有して制御可能なものとすることができる。
【0018】
本発明の一態様は、0.01インチよりも値の小さい平坦度を備えた支持面を有する熱伝導層を含む低熱容量加熱部材に関する。熱伝導層は、加熱体に熱接触する反対側の面も有する。
【0019】
本発明の別の態様は、熱伝導層に熱接触する加熱体を含む低熱容量加熱部材に関し、ここで、熱伝導層は、少なくとも約98重量%の純度を有する炭化ケイ素を含む。
【0020】
本発明の別の態様は、熱伝導層に熱接触する加熱体を含む低熱容量加熱部材に関し、ここで、熱伝導層は窒化アルミニウムである。熱伝導層は、窒化アルミニウムからなるものであっても、または主成分が窒化アルミニウムからなるものであってもよい。
【0021】
本発明の別の態様は、熱伝導層に熱接触する多層化加熱体を含む低熱容量加熱部材に関する。多層化加熱体は、複数の電気抵抗型加熱体セグメントを含み、多層化加熱体の少なくとも2つの異なる層はそれぞれ加熱体セグメントを含む。
【0022】
本発明のさらに別の態様は、多層化加熱体に関する。多層化加熱体は、複数の電気抵抗型加熱体セグメントを含み、多層化加熱体の少なくとも2つの異なる層は、それぞれ加熱体セグメントを含む。加熱体は、好ましくは、ほぼ平坦であり、平坦な基板を加熱するために使用することができる。
【0023】
本発明のさらに別の態様は、0.01インチ(約0.254mm)よりも値の小さい平坦度を備えた支持面を有する熱伝導性炭化ケイ素層と、この炭化ケイ素層の反対側の面に熱接触する多層化加熱体と含む加熱部材に関し、ここで、炭化ケイ素は少なくとも100W/m・Kの熱伝導率を有し、多層化加熱体は複数の電気抵抗加熱体セグメントを含み、さらに、多層化加熱体の少なくとも2つの異なる層のそれぞれは、1つまたは複数の加熱体セグメントを含む。
【0024】
本発明のさらに別の態様は、加工物の温度を制御するのに適した装置に関する。この装置は、(a)熱伝導性の低熱容量加熱部材と熱接触状態で加工物を支持するための加工物支持面を有し、加熱部材からの熱が加工物に伝達され、支持面が0.01インチ(約0.254mm)の平坦度を有している低熱容量加熱部材と、(b)高熱容量冷却部材とを含む。この装置は、冷却部材が加熱部材に熱接触している、少なくとも第1の状態において、加熱部材および冷却部材を支持するものである。
【0025】
本発明のさらに別の態様は、加工物の温度を制御するのに適した装置に関する。この装置は、(a)熱伝導性の低熱容量加熱部材と熱接触状態で加工物を支持するための加工物支持面を有する熱伝導性のセラミック層を含み、加熱部材からの熱が加工物に伝達され、セラミック層が、少なくとも98重量%の純度を有する炭化ケイ素である低熱容量加熱部材と、(b)高熱容量冷却部材とを含む。この装置は、冷却部材が加熱部材に熱接触している、少なくとも第1の状態において、加熱部材および冷却部材を支持するものである。
【0026】
本発明のさらに別の態様は、加工物の温度を制御するのに適した装置に関する。この装置は、(a)熱伝導性の低熱容量加熱部材と熱接触状態で加工物を支持するための加工物支持面を有し、加熱部材からの熱が加工物に伝達され、反対側の面は複数の電気抵抗型加熱体セグメントを含む多層化加熱体と熱接触状態にあり、多層化加熱体の少なくとも2つの異なる層は、それぞれ加熱体セグメントを含む低熱容量加熱部材と、(b)高熱容量冷却部材とを含む。この装置は、冷却部材が加熱部材に熱接触している、少なくとも第1の状態において、加熱部材および冷却部材を支持するものである。
【0027】
本発明のさらに別の態様は、0.01インチ(約0.254mm)よりも値の小さい平坦度を有する表面を備え、かつ少なくとも98重量%の炭化ケイ素からなる炭化ケイ素熱伝導層を有する低熱容量加熱部材を含む複合型焼成/冷却装置に関する。
【0028】
0.01インチ(約0.254mm)よりも値の小さい平坦度を有する表面を備えた窒化アルミニウム熱伝導層を有する低熱容量加熱部材を含む複合型プライム/冷却装置に関する。
【発明を実施するための最良の形態】
【0029】
本発明は、熱伝導層と熱接触する加熱体を含む低熱容量加熱部材に関する。この熱伝導層は、処理中に加工物を支持するための支持面と、加熱体に隣接する支持面とは反対側の第2面とを有する。本発明において、この熱伝導層を形成するために選択される材料は、比較的高い剛性、高平坦度への加工可能性、高い熱伝導率、比較的低い比熱、および比較的低い熱容量の1つまたは複数の特性を有する材料である。
【0030】
加熱部材の熱伝導層の支持面が高い平坦度を有することによって、加熱部材と加工物との間の熱伝達の均一性が改善され、それによって加工物の処理が改善できることが発見された。加熱部材を使用して加工物を処理する際に、加熱部材の支持面とその加工部材によって支持される加工物との間の間隙を、数千分の1インチのスケール(たとえば、1/6000インチ(約1/2400cm)以下)にすることができる。重要なことは、この間隙を加熱部材と加工物との間の全領域に渡って可能な限り均一にすることによって、加熱および冷却の間にこれらの加熱部材と加工物との間で熱エネルギーが均一に伝達されるようにすることである。加熱部材の全領域に渡る均一な熱伝達によって、最適な処理を実行することができる。たとえば、加工物の表面に付着された化学物質の処理においては、熱伝達の均一性によって、特に加工物の全領域に渡る均一な溶剤蒸発および均一な反応速度を含む化学物質の均一な挙動が得られるため、その処理が最適化される。今日、加工物によってはその構造物が微小であるため、処理中の基板の全領域に渡る熱の非均一性を、たとえそれが僅かなものであっても回避することによって、処理される加工物の製造品質を顕著に向上させることができる。
【0031】
したがって、本発明によれば、加熱部材は、0.01インチ(約0.254mm)よりも値の小さい平坦度を有する支持面を備えることができる。好適な加熱部材は、0.005インチ(約0.127mm)、さらに好ましくは、0.002インチ(約0.0508mm)よりも値の小さい平坦度を有する支持面を備えることができる。この平坦度は、後述する周知の方法によって測定することができ、加熱部材の製造直後に測定することが最も便利であるが、たとえば加熱および冷却装置に加熱部材を組込む時、またはその装置において加熱部材を使用する時など、後で測定することもできる。
【0032】
所望の平坦度と効率的な熱伝導特性を有する熱伝導層は、好ましくは、比較的高い剛性を有するセラミック材料によって形成される。他の所望の熱特性を達成するため、このセラミック材料は、さらに高熱伝導率および低熱容量を有するものであってもよい。
【0033】
熱伝導層が比較的高い剛性を有することによって、その熱伝導層を高い平坦度を有するように形成することが可能になる。剛性は、周知の様々な方法および装置を使用した様々な標準的な試験によって、ヤング率として測定できる。好適な熱伝導層は、少なくとも約200GPa(たとえば、300または400GPa)のヤング率を有するものとすることができる。たとえば、本発明に係る熱伝導性として使用するために好適な炭化ケイ素材料は、410GPa以上のヤング率を有することができ、窒化アルミニウムは、少なくとも約344GPaのヤング率を有することができる。
【0034】
また、加熱部材は、好ましくは比較的低い熱容量を有するものである。低い熱容量とは、加熱部材によって支持された加工物が、加熱部材を介して冷却部材との熱接触状態になることができる熱容量、たとえば、加熱部材が加工物をある程度加熱していていても、冷却部材が加熱部材の下からその加熱部材を介して加工物を冷却できる熱容量とすることができる。低熱容量を有する加熱部材の例は特許文献1に開示されており、その開示内容の全体は参考として本説明に含まれる。別の言い方をすれば、「低い熱容量」とは、加熱部材の熱容量の大きさが、処理されている加工物の熱容量に対して最大でもほぼ同じオーダーであることを意味するものである。好ましくは、加熱部材の熱容量の加工物の熱容量に対する比は、およそ1:100からおよそ5:1の範囲内にあり、さらに好ましくは、およそ1:10からおよそ2:1の範囲内にある。
【0035】
「熱容量」は、物体の温度を1°C上昇させるために必要なエネルギーである。たとえば、加工物(たとえば、半導体ウエハ)、加熱部材、あるいは冷却部材のような固体の熱容量Qは、次式で表される。
Q=MCp (I)
ここで、Mは物体の質量(kg)、Cpは所望の温度範囲における物体の平均比熱(J/kg・°C)である。
【0036】
セラミック材料の好適な比熱Cpは、1000J/kg・°Cよりも小さく、さらに好ましくは、500J/kg・°Cよりも小さくてもよい。多くのセラミック材料の比熱は、参考文献によって調べることも、または周知の方法によって測定することもできる。
【0037】
一般に、加熱部材の質量は約500g〜約1500グラムの範囲、たとえば約1000g、とすることができる。
【0038】
上記式(I)は、熱容量Qが加熱部材の質量に関連することを示しており、質量は、たとえば厚みおよび直径のようなそのサイズに関連する。本発明に係る好適な加熱部材は、通常は5000J/°C(直径300mmの基板に対して使用する場合)を超える従来の加熱部材の熱伝導層の熱容量よりも著しく小さな熱容量を有することができる。たとえば、本発明に係る低熱容量加熱部材の熱容量は、2000J/°Cよりも小さく、好ましくは2000J/°Cよりも小さく、さらに好ましくは500J/°Cよりも小さくすることができる。これらの値は、8〜13インチ(約203〜約330mm)の直径を有し、好ましくは厚さが0.5インチ(約12.7mm)よりも薄い加熱部材、さらに好ましくは厚さが0.06〜0.25インチ(約1.52〜6.35mm)である加熱部材に対する値である。加熱部材の熱容量は、通常、その大部分が1層または複数層の熱伝導層によるものであり、加熱部材の加熱体部分は、熱伝導層の全熱容量に対して、たとえば約10または5パーセト、あるいは1パーセント以下の小さな割合を占めるに過ぎない。
【0039】
加熱部材の熱伝導層は、加工物の効率的かつ急速な加熱および冷却の実施を容易にするために、低熱容量であって、好ましくは高熱伝導率を兼ね備えていることが望ましい。このことは、焼成/冷却装置あるいはプライム/冷却装置の動作において、冷却工程の間に、加工物から低熱容量熱伝導加熱部材を介して冷却装置へと熱エネルギーが流出する時に、特に有利となり得る。
【0040】
熱伝導層は、加熱部材の他の層を支持および保護する構造層として機能し、加熱部材を通じた横方向および縦方向の熱伝導を促進して、加熱部材の表面に支持された加工物の均一な加熱を容易にする機能も果たすものである。したがって、熱伝導層は、上述した好適な特性に加えて、好ましくは、加熱部材の他の層を構造的に支持すると共に、歪、弛み、皺、張力の損失、または他の望ましくない劣化が生じることなく、加熱動作および冷却動作の温度変化に耐えるために好適な材料、またはそのような複数の材料の組合せによって形成されるものである。後述するように、本発明に係る加熱部材は、1層または2層(所望の場合は、それ以上)の熱伝導層を含み、たとえば1層を加熱部材の最上部に配置するものであり、さらに、第2層を加熱部材の最下部に配置してもよい。
【0041】
熱伝導層の厚さは、その組成、熱特性、たとえば加熱体のような加熱部材の他の要素の性質、および加熱部材に含まれる熱伝導層の数および組成といった、種々の要因に依存する。機能的には、熱伝導層は、その固有の剛性に基づいて、加熱部材に所望の一体構造、捩り剛性、および曲げ剛性を与えるために十分厚くなければならない。一方、熱伝導層は、加熱部材を通じた熱エネルギーの効率的な流れが可能となるために十分薄くなければならず、さらに比較的低い熱容量を維持する必要がある。したがって、熱伝導層は、比較的低い熱容量を有し、加熱作用および冷却作用を支持された加工物に熱伝導層を介して急速に伝達できるために十分薄くなければならない。しかし、熱伝導層が薄過ぎると、加熱部材の機械的強度および耐久性が劣化し、その耐用寿命が短くなる。厚さの減少に伴って、横方向の熱伝導性、したがって加熱の均一性も低下するが、一方、熱伝導層を厚くすると、横方向の熱伝導特性が改善され、支持された加工物の加熱の均一性が向上する傾向がある。しかし、熱伝導層が厚過ぎ、したがって質量が大き過ぎると、熱容量が増大し、支持された加工物の加熱および冷却の速度が低下する。
【0042】
これらの考慮事項を調和させるため、加熱部材は、好ましくは1層または2層の熱伝導層を含み、1層を上側の加工物支持面に配置するものであり、さらに、他の層を冷却プレートと熱接触可能な反対側の面に配置してもよい。1層または2層の熱伝導層の厚さは、熱伝導層の組成および加熱部材に含まれる熱伝導層が1層または2層か(もしくは、それ以上か)どうかを含む種々の要因に依存する。結果として、加熱部材の総厚は、0.25インチ(約6.35mm)よりも薄いことが好ましく、さらに好ましくは0.125インチ(約3.18mm)よりも薄いものである。したがって、個々の熱伝導層の好適な厚さは、約40ミル〜約0.125インチ(約1.02mm〜約3.18mm)の範囲とすることができる。2層の熱伝導層を有する加熱部材では、各層の厚さは、好ましくは約0.10インチ(約2.54mm)を超えないものとし、さらに好ましくは、加熱部材の総厚が0.25インチ(約6.35mm)よりも薄く、好ましくは約0.125インチ(約3.18mm)よりも薄くなるように、約0.04〜約0.08インチ(約1.02mm〜約2.03mm)の範囲とする。加工物支持面上に1層の熱伝導層のみを有する加熱部材では、その厚さを2倍またはそれ以上にしてもよく、この場合にも、加熱部材の総厚が0.25インチ(約6.35mm)よりも薄く、好ましくは約0.125インチ(約3.18mm)よりも薄くなるように、好ましくは約0.10〜約0.20インチ(約2.54mm〜5.08mm)とするものである。
【0043】
熱伝導層として有用な材料には、高い平坦度に加工可能なセラミックス、好適な熱特性を有する材料、さらに好ましくは、高い平坦度への加工性と好適な熱特性の両方を有する材料が含まれる。
【0044】
多くのセラミック材料は、高い平坦度を有するように加工することができる。具体的なセラミックスとしては、炭化ケイ素(SiC)を含む種類のセラミック材料が挙げられる。本発明に係る好適な熱伝導層には炭化ケイ素を含めることができ、特に好適な熱伝導層は、様々な純度の炭化ケイ素から形成される、すなわち、その炭化ケイ素からなるかもしくは本質的にその炭化ケイ素からなるもである。
【0045】
炭化ケイ素は多様な形態を有する。種々の形態は、とりわけその純度または熱伝達特性によって特徴付けられ、この二つは多少関連している。本発明によれば、好適な炭化ケイ素材料は、比較的高い純度であり、比較的高い熱伝導率を有し、ケイ素および炭素の組成に関して比較的高い均一性を有するものとすることができる。組成の均一性は、比較的高い熱伝導率が、熱伝導層の全面に渡ってほぼ均一であることを意味する。
【0046】
炭化ケイ素の1つの種類として、通常、反応焼結(reaction-bonded)炭化ケイ素と呼ばれるものがある。反応焼結炭化ケイ素は、たとえばアーカンソー州ベントンのアルミナ・セラミックス社(Alumina Ceramics, Inc.)およびその他の業者から市販されている。反応焼結炭化ケイ素は、典型的には、最大で97.5重量%、たとえば約95〜97重量%の範囲の炭化ケイ素純度を有する。この純度において、炭化ケイ素材料は、通常、約100W/m・K未満の熱伝導率を有する。従って、この種の炭化ケイ素および反応焼結炭化ケイ素の純度は本発明において有用となり得るが、より高い純度を有する他の種類の炭化ケイ素、およびより高くかつ均一な熱伝導率が好ましい。
【0047】
第2の種類の炭化ケイ素は、通常、焼結(sintered)炭化ケイ素と呼ばれるものである。この種の炭化ケイ素も、アルミナ・セラミックス社またはその他の業者から市販されている。焼結炭化ケイ素は、少なくとも98重量%の純度を有し、微量元素として、ホウ素、遊離炭素、ニッケル、ヴァナジウム、鉄、およびその他の元素が含まれる。焼結炭化ケイ素は、しばしば約100〜約150W/m・Kの範囲の熱伝導率を有する場合がある。
【0048】
さらにもう1種類の炭化ケイ素は、CVD(化学蒸着)炭化ケイ素と呼ばれるものである。CVD炭化ケイ素は、一般に、少なくとも99重量%の純度、さらには99.999重量%までの、あるいはそれを超える超高純度を有し、その際、99.9995重量%を含むかまたはそれを超える場合もある。CVD炭化ケイ素は、しばしば、150W/m・Kを超える(たとえば最大で約300W/m・Kの)熱伝導率を有する場合がある。CVD炭化ケイ素は、たとえばローム・アンド・ハース社(Rohm and Haas)および他の業者から市販されている。
【0049】
熱伝導層として有用な他の材料には、窒化アルミニウム(AlN)、通常はニッケル等でコーティングされたベリリウム銅(Be−Cu:Be 90−95%、Cu 5−10%)、強い毒性を除けば単独で使用可能なベリリウム、黒鉛フォーム(graphite foam)(テキサス州デカテュアのポコ・グラファイツ社(Poco Graphites)から市販されている)、および同等の材料が含まれる。
【0050】
上述したセラミックスのような材料は、加熱プレートおよび材料の技術分野において周知の方法によって、平坦な熱伝導層に形成することができる。たとえば、型成形、機械加工、熱処理(たとえば、焼結または焼成)、研削、ラッピング、および他の処理方法によって、セラミック材料から、0.01インチ(約0.254mm)、0.005インチ(約0.127mm)、または0.002インチ(約0.0508mm)、あるいはそれよりも高い平坦度を備えた支持面を有するような均一な厚さの平坦な熱伝導層を形成することができる。
【0051】
特に、炭化ケイ素材料の場合には、選択された炭化ケイ素の種類に応じて種々の処理方法のいずれかを使用することができる。反応焼結炭化ケイ素の場合、固体シリカを出発材料とし、シリカ中に炭素が拡散される。この固体材料は、平板状に機械加工することができ、さらに、高精細仕上げのためにラップ装置または手作業によりラッピングすることもできる。焼結炭化ケイ素の場合、粉体を出発材料とし、比較的平坦で均一な厚さの平板状に型成形することができる。この平板は、さらに、機械加工、研削、および手作業またはラップ装置によるラッピングによって、非常に平坦な面を有するように加工できる。CVD炭化ケイ素の場合、固体を出発材料とし、機械加工、研削、およびラッピングによって、非常に平坦な面を有する平板に加工することができる。
【0052】
加熱部材はさらに加熱体を含んでおり、この加熱体は、通常は電気抵抗に基づいて急速かつ高精度に熱を発生するために有用な任意の周知の材料とすることができる。本発明に係る加熱部材での使用のために好適な加熱体は、比較的薄く、さらに最も好ましくは高熱伝導率と低熱容量とを有するものである。このような加熱体は、加熱部材および加熱プレートの技術分野において周知である。
【0053】
好適な加熱部材は、複数の加熱ゾーンを有することができる。このような好適な実施形態では、加熱体は複数の加熱体セグメントを含み、加熱部材の各加熱ゾーンに対して1つまたは複数の加熱体セグメントが対応するものである。最も好ましくは、各加熱体セグメントは、個別の電気配線を介して個別の(ただし、単一のプロセス制御装置に共通に接続された)温度制御機構に個々に接続されるものである。
【0054】
したがって、本発明に係る好適な加熱部材は、1層または複数層の熱伝導層(1層を加工物支持面を含む最上面に配置し、さらに、第2の熱伝導層を、反対側の、冷却プレートとの熱接触状態に置かれる場合がある面に配置することもできる)、好ましくは多層化されてその各層に1つまたは複数の加熱体セグメントを含む加熱体、および接着剤を含むものである。さらに、たとえば温度センサなどの任意に選択可能な構成要素を含んでもよいことは、当業者にとって明らかである。
【0055】
任意に選択可能な構成要素の例には、測温抵抗体(resistance temperature detector:RTD)のような温度監視要素が含まれる。任意に選択可能な構成要素の別の例には、熱伝導層として電気絶縁性セラミックが使用された場合、加熱部材のための保護グランドとして機能する電気接地層が含まれる。また、加熱体と温度監視要素との間に配置された場合には、この接地層は、ノイズを生成しかつ温度監視要素の測定値を不正確にする可能性のある加熱体からの電磁放射から、温度監視要素をシールドすることができる。接地層は、任意の導電材料から形成することができ、好ましくは、銅のような高導電性材料から形成される。
【0056】
別の任意に選択可能な要素は、誘電層または絶縁層である。誘電層または絶縁層は、異なる導電層の間に配置されてそれらの間を電気的に絶縁するか、別の態様では、1層または複数層の比較的きめの粗い表面を有する層の間に配置されて、それらの面同士の接触を防止するものである。通常、熱伝導性であって電気絶縁性かつ耐熱性を有する接着層が、個々の層を結合するために使用される。
【0057】
1層または複数層の熱伝導層を、周知の方法によって、加熱体および加熱部材の他の任意に選択可能な構成要素と組合せて、加熱部材として組立てることができる。加熱体および1つまたは複数の熱伝導層から加熱部材を形成する1つの方法によれば、加熱体層(たとえば、接着剤および電気抵抗加熱体セグメントを含む1つまたは複数の層)、任意に選択可能な接地板もしくは接地層、任意に選択可能な1つまたは複数の温度センサ、および1つまたは複数の熱伝導層を含む積層される個々の層は、調風装置のように(registrably)積層される。配置ピンは、調風装置のように、層が適切な配置をとって積層されるために積層された層を貫通する。積層された層は、次に、真空バッグ中に配置され、グラスファイバー繊維に包まれて、熱伝導層が損傷から保護される。真空吸引が実施されて、350psi(24bars(2.4MPa))の高圧容器中でプレスされ、その後、350°Cで、層が互いに十分に結合するために十分な時間(通常2〜24時間)だけ加熱される。
【0058】
この加熱部材は、マイクロエレクトロニックデバイスを含む加工物を加熱および冷却すするために有用な装置において有用である。そのような装置には、たとえば、複合型焼成/冷却装置および複合型プライム/冷却装置が含まれる。「複合型焼成/冷却装置」という用語は、「低熱容量で、熱伝導性の加熱プレートを含む加熱/冷却装置(Combination Bake/Chill Apparatus Incorporating Low Thermal Mass, Thermally Conductive Bakeplate)」と称する特許文献1に記載されているような、加熱作業と冷却作業の両方の間に加工物を支持する低熱容量の加熱部材を有する装置を意味する。一面上に加工物を支持する一方、加熱部材の他方の面は、比較的熱容量の大きな冷却プレートと熱接触したり、または熱接触から分離されたりして、加熱作業および冷却作業を容易に切替えることができる。加熱部材と冷却プレートとを物理的に分離して迅速な加熱を実施し、または加熱部材と冷却プレートを結合させて迅速な冷却を実施するには、単純な機構が使用される。この方法によって、加熱部材から別体の冷却プレートへと加工物を持ち上げて移送する作業に依存する必要がなくなり、有利なことに、冷却と加熱の両方を加工物の下方向から実施することが可能になる。
【0059】
図1a、図1b、および図1cは、複合型焼成および冷却装置10(以下、焼成/冷却装置10とも呼ぶ)の好適な実施形態における3つの状態を、それぞれ概略的に示す図である。この装置は、半導体デバイス12のような加工物を、少なくとも一度の加熱処理および少なくとも一度の冷却処理を含む温度プロファイルに従ってサイクル処理するために適した装置である。焼成/冷却装置10は、底部ハウジング部材16および頂部カバー18を有するハウジング14を含む。底部ハウジング部材16と頂部カバー18を、図1aに示すように互いに分離したり、図1b(焼成状態)および図1c(冷却状態)に示すように閉じ合わせたりして、ハウジング14を繰返し開閉することができる。ハウジング14が開いているときに、加熱処理および冷却処理の準備として、半導体デバイス12をハウジング14内に挿入して固定支持ピン19上に配置することができる。
【0060】
ウエハ12をハウジング14内に挿入した後、図1bおよび図1cに示すようにハウジング14を閉じることができ、それによって、加熱処理および冷却処理の間に発生する可能性のある対流を回避するために十分小さい容積を有しかつ環境から封止された密閉処理室が形成される。また、環境から封止することによって、処理室およびその収容物が汚染から保護され、さらに、必要に応じて、(特定の雰囲気中で処理を実行したい場合には)処理室のガスによるパージ、および(真空または部分真空中で処理を実行したい場合には)処理室からの排気を、より効率的に実施することが可能になる。
【0061】
ハウジング14は、加熱プレート20の形態を有しかつ比較的低い熱容量を有する熱伝導性の加熱部材と、冷却部材26の形態を有しかつ比較的高い熱容量を有する吸熱部とを含む。ここで、「高い熱容量」とは、冷却部材26の熱容量の大きさが、少なくとも加熱プレート20および加工物のいずれの熱容量よりも大きなオーダーであることを意味する。好ましくは、冷却部材26の熱容量に対する加熱プレート20の熱容量および加工物の熱容量の比はいずれも、少なくとも10:1であり、さらに好ましくは10:1〜100:1、さらに好ましくは30:1〜50:1である。
【0062】
加熱プレート20は、加熱処理の間に加熱プレート20からの熱エネルギーが半導体デバイスに伝達され得るように半導体デバイス12を支持するための、第1主面22を有している。加熱プレート20は、冷却部材26との熱接触状態に置かれる場合がある第2主面24も含む。「熱接触」とは、冷却部材26の冷却作用が加熱プレート20を介して半導体デバイス20に伝達されるように、加熱プレート20と冷却部材26とが十分に近接している状態を意味する。最も急速な冷却は、図1cに示すように、第2主面24と冷却部材26が直接物理的に接触する場合に生じる。しかし、加熱プレート20と冷却部材26とがある程度物理的に分離(たとえば、好適な実施形態では最大約3mm)されていても、効果的な冷却は生じ得る。
【0063】
加熱プレート20は、1つまたは複数の加熱体(加熱体が複数の部分に分割される場合には、各部分を「加熱体セグメント」と呼ぶ)を含み、この加熱体は、好ましくは、電気接続部36によって加熱体に供給された電気エネルギーが、対応する量の熱エネルギーに変換されるタイプの抵抗加熱体(具体的な図示は省略する)の形態を有するものである。加熱プレート20は、比較的低い熱容量を有しかつ熱伝導性であるため、加熱プレート20に流入した熱エネルギーは半導体デバイス12に素早く伝達される。
【0064】
表面の面積に関しては、熱伝導層と熱接触する加熱体の面積(たとえば、すべての加熱体セグメントの総面積)は、好ましくは半導体デバイス12よりも大きく、ウエハ12の下側だけでなくウエハ12の縁13を超えて延在するものである。
【0065】
冷却部材26は、半導体デバイス12および加熱プレート20と比較して高い熱容量を有する。したがって、冷却部材26は、熱容量の大きな吸熱部として機能し、冷却のために効果的な所望の冷却温度(たとえば、通常は15°C〜23°C)を保つことができる。加熱プレート20が冷却部材26との熱接触状態に置かれると、熱伝導によって加熱プレート20、したがってウエハ12は、急速に冷却される。冷却部材26は、内部を通過する冷却流路28を備えていてもよい。その冷媒は、冷却部材26を腐食から保護するために防食剤を含んでいてもよい。
【0066】
好適な実施形態では、冷却部材26は、アルミニウム、ステンレス鋼、銅、およびこれらの組合せ等の、耐食性かつ熱伝導性の金属から形成することができる。冷却部材26を銅により形成する場合、銅を腐食から保護し、また銅イオンによるウエハ12の汚染を防止するため、Ni等によってコーティングされていることが好ましい。冷却部材26をアルミニウムにより形成する場合、アルミニウムを腐食から保護するため、たとえばポリテトラフルオロエチレンを含浸させた酸化アルミニウムからなる皮膜ようなコーティングによって、アルミニウムを皮膜保護することができる。
【0067】
もちろん、このような冷却部材26は1つの好適な実施形態に過ぎず、有用な他の種類の冷却構造および冷却装置もあり得る。たとえば、水のような液体の冷媒を使用する代りに、冷却されたガスを冷媒として使用することができる。しかし、必ずしも冷媒の使用が必要なわけではない。たとえば、冷却部材26はペルチェ素子であってもよい。この素子は、冷却のために冷媒を必要とせず、電気エネルギーを利用することによって冷却される。
【0068】
図1a、図1b、および図1cを参照すると、加熱プレート20と冷却部材26は、お互いに対して往復するように移動可能であり、加熱処理および冷却処理を制御しながら実施するために、加熱プレート20の第2主面24と冷却部材26の頂面20とを分離したり、あるいは熱接触するように近接させたりすることができる。半導体デバイス12の最も急速な焼成を達成するには、加熱プレート20と冷却部材26とを十分な間隔をあけて分離し、第2主面24と頂面30とが熱接触しないようにすることができる(図1b参照)。加熱プレート20と冷却部材26がこのように分離されると、冷却部材26の冷却作用は、事実上もしくは実質的には加熱プレート20および半導体デバイス12に伝達されない。半導体デバイス12の最も急速な冷却を達成するには、加熱プレート20の第2主面24と冷却部材26の頂面30とを互いに物理的に接触するように配置し、その間、抵抗加熱体には電気エネルギーを供給しないようにすることができる。冷却/焼成の中間的な速度は、冷却部材26と加熱プレート20の間隔の調整、もしくは加熱プレート20による発生熱量の変更、またはその両方によって達成することができる。たとえば、加熱プレート20の所望の温度プロファイルは、加熱プレート20と冷却部材26との熱接触を維持しながら、半導体デバイス12に対するある程度の加熱と冷却を同時に実施することによって達成できる。実際、加熱と冷却を同時に実施することは、加熱プレート20、したがってウエハ12を所望の平衡温度(必要に応じて、焼成および冷却のいずれの平衡温度でもよい)に維持するために特に有利な方法であり、この方法によって、加熱プレートの平衡温度を+/−0.01°C以内の精度で制御できる。
【0069】
加熱プレート20および冷却部材26の相対的な往復移動は、任意の便利な駆動機構を使用することによって達成できる。図1a、図1b、および図1cに示した1つの代表的な技術によれば、加熱プレート20は上昇および下降可能な加熱プレートポスト32上に支持され、その移動に応じて加熱プレート20単独での移動が達成される。好適な実施形態では、加熱プレートポスト32は中空であり、抵抗加熱体へ電気エネルギーを供給するための電気配線部36を収容するものである。有利なことに、中空の加熱プレートポスト32に収容された電気配線部36は、外から見えないために美観上好ましいだけでなく、加熱プレートポスト32の壁面によって十分に保護される。同様に、冷却部材26は上昇および下降可能な冷却部材ポスト34上に支持され、その移動に応じて冷却部材26単独での移動が達成される。ポスト32、34は、加熱プレート20および冷却部材26を協調的に移動させるため、必要に応じて同時に駆動することもできる。
【0070】
加熱プレート20によって支持された加工物の加熱処理または冷却処理において、温度の変動中、ウエハ12の縁13に近い部分はウエハ12の内側部分よりも“先行する”傾向があることを理解されたい。すなわち、縁13は、昇温中はウエハ12の内側部分よりも多少高温になり、降温中または平衡温度においてはウエハ12の内側部分よりも多少低温になる傾向がある。このような温度のエッジ効果を補償するため、加熱プレート20には少なくとも2つの独立した加熱ゾーンを組込むことが有利であり、この加熱ゾーンは、少なくとも加熱プレートすなわち加熱部材のウエハ12に関連する領域に位置する内側第1加熱ゾーンと、少なくとも加熱部材の内側第1加熱ゾーンを取り囲む領域の一部に位置して加工物によって覆われた領域を縁取る環状の外側第2加熱ゾーンと、を少なくとも含むものである。これらの2つの加熱ゾーンの境界は、好ましくはウエハ12の輪郭にほぼ一致するものとすることができる。
【0071】
このような第1および第2の加熱ゾーンは独立に制御できることが好ましく、内側ゾーンおよび外側ゾーンの熱出力を独立に調整することによって、半導体デバイス12の直径方向の温度プロファイルをより均一にすることができる。このようにして、温度のエッジ効果が観測された場合には、その効果を減少および好ましくは消滅させるため、加熱ゾーン間の温度差を調整することができる。所望の場合、各加熱ゾーンをそれぞれの異なる制御装置によって制御してもよい。あるいは、各加熱ゾーンは、それぞれのゾーンの熱出力を所望の仕方で制御可能な1つの共通の制御装置を共有するものであってもよい。
【0072】
加熱プレート20のウエハ支持面である第1主面22は、加熱プレート20と半導体デバイス12との間の間隙62を一定に保つため、複数の突起56を有していてもよい。従来の実施例によれば、突起56は、通常は10μm〜300μmの範囲、好ましくは50μm〜200μmの範囲の一様な高さを有している。個々の突起56は、0.01mm2〜約6mm2の範囲の面積を有して加工物を支持する水平面を有していもよい。突起56は多様な形状に形成することができ、それらの形状には、たとえば、平坦な頂面を備えた多角形状、平坦な頂面を備えた円筒形状、球状または楕円体状、中空の管状であってかつ管の軸が表面22に対してほぼ直交し、管状突起56の壁縁のみが半導体デバイス12に接触するような形状、およびこれらの組合せ等が含まれる。
【0073】
突起56は、寸法安定性および耐久性を有しかつ好ましくはウエハ12を傷つけない任意の材料により形成することができる。たとえば、突起56は、ポリマー、金属、セラミックス、またはそれらの組合せから形成してもよい。本実施形態では、アルミナ、ジルコニア、または熱伝導率の低い(たとえば、50W/m・K)その他のセラミック材料などのセラミックスが好ましい。突起56は、物理的な配置、エッチング、スパッタ蒸着、化学蒸着、適切なマスクを使用した噴霧、スクリーン印刷等を含む任意の適切な技術を使用して形成することができる。
【0074】
好ましくは、突起56は、半導体デバイス12と加熱プレートとの接触面積が、半導体デバイス12の下に位置する第1主面の面積の1%、好ましくは0.1%未満であるようにするために効果的な密度分布特性を備えて配置されるものである。図1dに示す突起分布の具体例では、直径300mmの半導体ウエハを処理するために有用なサイズの加熱プレート上に、高さ150μm、外径約1.6mm、および内径約0.8mmを有する15個の管状突起が、それぞれ異なる半径を有する3つの同心円をなすように配置されており、具体的には、半径2.375インチ(約60.325mm)に3個、半径6.3インチ(約160.02mm)に6個、半径10.625インチ(約269.875mm)に6個の突起が配置されている。この方法によれば、半導体デバイス12と加熱プレート20との全接触面積は無視し得る程度に小さいものであるが、半導体デバイス12は適切に支持される。
【0075】
加熱プレート20は、加熱プレート20と半導体デバイス12との間の間隙62に流体を連通させるための1つまたは複数の流路38を備えていてもよい。加熱プレート20と半導体デバイス12との間の熱伝導性を高めたい場合、通常の空気または空気よりも熱伝導性の高い気体などのガスを間隙62に充填することができる。たとえば、ヘリウムガスは、空気の約7倍の熱伝導性を有する。間隙62にガスを導入することによって、半導体デバイスの縁13が半導体デバイス12の他の部分に比べて過熱する傾向を抑えることもできる。あるいは、半導体デバイス12と加熱プレート20との間に適切な封止が存在する場合、ウエハ12をたとえば3000Pa〜14000Pa程度の真空度で僅かに真空吸引してウエハ12を固定するために、間隙62を使用することもできる。しかし、平坦度の高い支持面を有する加熱部材を使用することによって、好ましくは、真空圧を使用して加工物を固定する必要はなくなる。
【0076】
図1a、図1b、および図1cを参照すると、加熱処理および冷却処理において、望ましくは、半導体デバイス12の温度は直接的または間接的に監視され、PID制御などの適切なフィードバック制御手法を使用して、加熱プレート20の熱出力を制御するものである。ウエハ温度を監視するための直接的な方法によれば、適切な温度センサ(図示せず)を半導体デバイス12に直接取付けることができる。しかし、大量生産への応用に関しては、この方法は実用的もしくは望ましいとは言えない。
【0077】
代りに、1つまたは複数の温度センサを、加熱部材の表面または内部に取付けることができる。加熱部材の好適な実施形態では、加熱プレート20内に温度センサを組込むことによって、半導体デバイス12の温度を間接的に監視することが望ましい。このような状況において、半導体デバイス12の上面の実際の温度は、急速な温度変動時を含む加熱工程および冷却工程のほぼ全時間に渡って、加熱プレート20の測定温度にほぼ対応するかまたはその温度に関連付けることができる。実際、加熱プレート20の測定温度と半導体デバイス12の温度との差はほぼ一定であり、さらに好ましくは、実用上無視することができる。したがって、加熱プレート20に組込まれた温度センサを使用して半導体デバイス12の上面の温度を間接的に監視する場合、必要であれば、測定温度に対して簡単な補正を実施して、半導体デバイス12の上面の温度と測定温度との差を補償することができる。
【0078】
本発明において使用する温度センサは、長時間に渡る安定性および一貫性を有しかつ短時間での温度測定が可能な、任意の適切な温度センサとすることができる。適切な種々の温度センサが知られているが、中でも薄膜型の測温抵抗体(resistance temperature detector:RTD)センサが好適である。いくつかの適切な種類センサが、市販品として入手可能である。一例として、適切な薄膜RTDセンサが、ミネソタ州ミネアポリスのミンコ・プロダクツ社(Minco Products, Inc.)から型番517422PDX40Aとして市販されている。このセンサには、厚さ約100μmのポリアミド樹脂(商標名「KAPTON」)層によって被覆された直径約50μmの白金線(すなわち、被覆されたワイヤの全直径は約250μm)が組込まれている。このRTDセンサを、ポリアミド樹脂、ポリイミド樹脂、ポリイミドアミド樹脂、シリコーン樹脂、エポキシ樹脂、微細構造化(microtextured)テフロン(R)樹脂)、およびこれらの組合せ等の耐熱性接着剤を使用して、加熱部材の所望の位置に接着することができる。電気抵抗加熱体セグメントを含む加熱体層の近くにRTDを配置する場合、好ましくは、RTDと電気抵抗加熱体セグメントとの間に、例えば銅接地層などの接地層を配置することができる。接地層は、好ましくはRTDをシールドし、RTDからの温度測定値信号に存在するノイズを低減するものである。
【0079】
RTD温度センサを購入する代りに、スパッタ−エッチング処理などの公知の適切な製造技術を使用し、RTD特性を有する電気抵抗材料からその場でRTD温度センサを形成した後、所定位置に接着してもよい。たとえば、その場でRTDセンサを形成するには、白金のような適切な電気抵抗性の金属層を、多層化加熱体中の1層上の所望の位置に付着させ、次いでエッチングを実施してRTD温度センサを形成することができる。センサとセンサが付着される部分との間には、絶縁層を付着することが望ましい。絶縁層は、ポリテトラフルオロエチレン、ポリアミド、ポリイミド、ポリアミドイミド、二酸化シリコン、窒化シリコン、およびこれらの組合せ等の、マイクロエレクトロニクス産業において従来使用されている任意の絶縁材料からなるものであってもよい。
【0080】
図1eは、少なくとも3つの独立な温度センサを含む好適なRTD層を示す図である。ここで、第1の温度センサは、動作不良を検知して自動遮断を要求するものであり、第2の温度センサは第1領域の温度を測定し、第3の温度センサは第2領域の温度を測定するものである。
【0081】
図1a、図1b、図1cは、装置10の3つの状態を概略的に示す図であり、特に、加熱処理および冷却処理がどのように実施されるかを示すものである。図1aは、ハウジング14が開いた「準備」状態にある装置10を示しており、半導体デバイス12を装置10内に挿入して固定支持ピン19上に配置することができる。加熱プレート20は、初期的には好適な「アイドル」位置に配置され、冷却部材26上に静止している。
【0082】
図1bは、冷却部材26から離れて加熱プレート20の第2主面24が冷却部材26から熱分離された加熱プレート20を持ち上げることによって、焼成サイクルが開始された状態の装置10を示している。この状態において、加熱プレート20の第1主面は、少なくとも支持ピン19と同つら位置、または所望の場合それよりも高く持ち上げられ、それによって、半導体デバイス12は加熱プレート20の第1主面22と熱接触状態になる。所望の場合、低真空を使用して、半導体デバイス12を加熱プレート20上に固定することができるが、上述したように、好適な支持面は、その平坦度が改善されているため真空を必要としない。
【0083】
図1cは、装置10における急速な冷却を達成するために効果的な状態を示している。この状態において、冷却部材26は、加熱プレート20の第2主面24と熱接触するまで持ち上げられる。これによって、冷却部材26の冷却作用は、加熱プレート20を通じた熱伝導を介して半導体デバイス12に伝達される。最大の冷却速度は、冷却処理の間に加熱ゾーン25を完全にオフにすることによって達成でき、より緩やかな温度降下も、単に加熱ゾーン25の熱出力を調整することによって達成できる。図1cに示す状態は、加熱ゾーン25の熱出力を、所望の加熱速度を達成するために十分な程度に冷却部材26の冷却作用を上回るまで増大させることによって、比較的遅い加熱速度を達成するために使用することもできる。加熱プレート20および半導体デバイス12は、冷却処理が完了した時に冷却部材26から熱分離してもよい。この熱分離を達成するための最良の方法は、加熱プレート20と冷却部材26とを分離して図1bに示す状態にすることである。あるいは、比較的少量ではあるが十分なエネルギーを加熱ゾーン25に供給しながら加熱プレート20と冷却部材26との熱接触を保つことによって、ウエハ12を低温における所望の平衡温度に維持することができる。
【0084】
本発明に係る加熱プレート70の一実施形態を図2に示す。加熱プレート70は、中心部に配置された多層化加熱体72を含み、この多層化加熱体は、上から下に向かって、RTD層75、接地層71、誘電体層74、第1加熱体層79、誘電体層74、および第2加熱体層81を含み、すべての層は接着剤(図示せず)によって結合されている。多層化加熱体72の一面には、熱伝導層76に接着されたポリイミド層74が接着されており、多層化加熱体72の他の面(第2加熱体層81)には、第2の熱伝導層76が直に接着されている。ウエハ80を支持するための支持面78上には、突起77が設けられている。突起77は、図1a、図1b、および図1cに示す突起56に対応するものとしてもよい。図2およびその他の図において、積層されて加熱プレート70を構成する個々の層72、74、76、77の厚さは、正確な縮尺ではなく、説明のために拡大されて図示されている。
【0085】
加熱プレート70において、各熱伝導層76は、ラッピング前に60ミル(約1.52mm)の厚さを有する炭化ケイ素から形成され、各誘電体層74は、約50μmの厚さを有するポリアミドから形成される。各加熱体層79、81は、約0.008インチ(約0.203mm)の厚さを有しかつ好適にはエッチングされた、銅またはINCONELである。
【0086】
多層化加熱体の一実施形態を図5aに示す。図5aを参照すると、第1加熱体層504および第2加熱体層520は、導通路(「加熱体セグメント」とも称する)512、514、516、522、524、526を含み、これらの導通路によって、加熱部材の領域である環状の加熱ゾーン501および円状の加熱ゾーン502がそれぞれ定められる。(図5aに示す各加熱ゾーンは、3つの導通路すなわち加熱体セグメントを含んでいるが、この態様は単に例示のためのものであり、各加熱ゾーンは、1つから所望のまたは実用的な数までの任意の数の加熱体セグメントを含んでいてもよい。また、加熱体セグメントによって覆うべき面積が、セグメントの長さおよび抵抗値を決定する要因になり、それらが今度は、利用可能な線幅、間隔、および抵抗体の組成等を決定する要因になることにも留意されたい。)電気接続部(リード配線部)506、508、510、528、530、532を介して、電気が導通路512、514、516、522、524、526に導電される。導通路に沿って導電される電気は、ウエハ(図示せず)を加熱するための熱エネルギーに変換され、その量は、各セグメントに印加される電圧との相関を有する。各導通路は、各加熱体層504、520がウエハの領域またはゾーンを均一に加熱できるように、十分な密度でそれぞれの加熱領域に配線されている。
【0087】
加熱体を複数のゾーンに区画化するのは、加熱部材の全面に渡って面積当たりの熱出力を均一にし、異なるセグメントおよび異なるゾーン間での均一性を達成するためである。通常、各加熱体セグメントには、ほぼ等しい電圧が印加される。この場合、1つのセグメントにおける面積当たりの熱出力は、ヒータ線(加熱体)のサイズ(線幅)および密度(配置間隔)、および加熱体材料の抵抗値に依存する。各加熱体セグメントに印加される電圧が等しいことに基づき、かつ各セグメントによって覆われる特定の領域のサイズが与えられて、加熱体セグメントを形成するための線幅、間隔、および材料が、加熱ゾーンあるいは加熱部材の全面に渡って面積当たりの熱出力が均一になるように選択される。通常の熱出力は、平方インチ当たり約30W(約4.65W/cm2)とすることができる。
【0088】
ヒータ線のサイズは、熱伝導層の厚さを合わせて考慮することによって選択することもできる。熱伝導層の厚さが増大すると、熱が表面に達する途中において、熱伝導層の厚みを通じた熱の横方向の拡散が容易になり、したがって線幅の細いヒータ線を使用することができる。
【0089】
一般に、抵抗加熱体であるヒータ線は、加熱体セグメントに関連する全面積の50〜75%を覆うことが好ましい。
【0090】
本発明に係る多層化加熱体の好適な実施形態において、図5aおよび図5dに示す内側加熱ゾーンの3つの加熱体セグメントにおける加熱体として好適な材料として、CuNi(抵抗値60Ω)を使用することができる。図5aおよび図5cに示す外側加熱ゾーンの3つの加熱体セグメントのための好適な材料としては、INCONELを使用することができる。図5cおよび図5dから分かるように、この好適な実施形態において、外側の各セグメントの面積は、内側の各セグメントの面積よりも小さい。
【0091】
実際の実施例では、導通路の線幅は、通常、約0.2mmから2mmである。図5aおよび図5dに示す好適な態様では、導通路522、524、526は、通常はパイ形の内側セグメントの形態を有し、それらが集合することによって、加工物(通常は、半径約300mmもしくは200mm)のサイズにほぼ等しい円が形成され、図5aおよび図5cに示すように、集合して環形を形成する外側セグメント512、514、516によって囲まれている。他の態様を使用することも可能であり、たとえば、所望の場合、使用するセグメントの数を増減してもよく、その形状を円形または多角形としてもよい。
【0092】
図5aを参照すると、この図は、熱伝導層に隣接する多層化加熱体の分解斜視図である。熱伝導層500は、円状の内側ゾーン502と環状の外側ゾーン501からなる2つの加熱ゾーンに分けられる。各ゾーンは、3つの独立な加熱体セグメントに対応する3つの領域を含み、すなわち、内側加熱ゾーンを定める3つのパイ形内側セグメントは、加熱体層520中の同様の領域の3つのパイ形加熱体セグメントに対応し、環状の加熱ゾーンを定める3つの部分環形外側セグメントは、加熱体層504中の同様の領域の3つの同様の形状の加熱体セグメントに対応する。加熱部材の他の構成要素は、本説明の他の箇所で説明されるため、この多層化加熱体および熱伝導層の分解斜視図には図示されていない。
【0093】
熱伝導層500の下側には、この熱伝導層と熱接触する加熱体層504が存在する(図5cも参照)。この加熱体層は、環状の加熱ゾーン501を加熱するため、1つまたは複数の加熱体セグメントを含んでいる。図の例では、面積の等しい3つの別体の加熱体セグメント522、524、526が示されている。各加熱体セグメントには、加熱体セグメントへの一組のリード配線部506、508、510が取付けられている。
【0094】
熱伝導層500の下側であってかつ加熱体504の下側には、この熱伝導層と熱接触する加熱体層520が存在する(図5dも参照)。この加熱体層は、環状の加熱ゾーン502を加熱するため、1つまたは複数の加熱体セグメントを含んでいる。図の例では、面積の等しい3つの別体の加熱体セグメント520、522、524が示されている。各加熱体セグメントには、加熱体セグメントへの一組のリード配線部528、508、510が取付けられている。本発明の一実施形態において、加熱体層520の加熱体セグメント520、522、524のリード配線部528、530、532は、加熱体層504の加熱体セグメントの下側に隣接して走っている。
【0095】
多層化加熱体の加熱体セグメントは、図5aに示すように配置することも、あるいは、図5bに詳細に示すように、内側加熱ゾーンを外側加熱ゾーンよりも上側熱伝導層の近くに置くように配置することもできる。図5bは、突起77を備えた熱伝導層76の上面78を有する加熱部材70の別の実施形態を示す図である。熱伝導層の下側には、接着剤または誘電層を除いて、上から順に、接地層71(ここでは、銅とする)、たとえば図5dに示すような内側ゾーン加熱体層520、RTD層、たとえば図5cに示すような外側ゾーン加熱体層504、もう1つの接地層71(ここでは、銅とする)、およびその使用を任意に選択できる下側熱伝導層が積層されている。
【0096】
加熱ゾーンのための導通路すなわち加熱体セグメントは、多様な方法で形成することができる。1つの方法によれば、導通路は、最初は連続的な層である導電性材料から形成することもできる。特定の加熱ゾーンに関連する導通路すなわち加熱体セグメントは、任意の従来のエッチング技術を使用して、この連続的な層を精密エッチングすることによって形成してもよい。適切な導電性材料には、銅のような金属、カナダのインコ・アロイズ・インターナショナル社(Inco Alloys International, Ltd.)から商標名「INCONEL」として市販されているNi−Cr−Co合金、あるいはニュージャージー州フランクリンレイクのエド・ファーガン社(Ed Fagan Company)から商標名「KOVAR」として市販されているNi−Cr−Co合金のようなNi−Cr含有合金、白金、ニッケル銅(NiCu)合金、およびこれらの組合せ等が含まれる。導電性の加熱体セグメントとして特に好適な材料には、CuNiおよびINCONELから形成される材料が含まれる。これらの材料は、その温度係数が非常に低いためである。導電性の加熱体セグメントは、本発明の意図する種類の電気抵抗加熱を達成するために、任意の適切な厚さを有することができる。たとえば、0.8ミル(約0.02mm)の厚さを有するCuNi/INCONEL加熱体セグメントにより加熱ゾーン85を形成することが好適であることが見出された。
【0097】
再び、主として図2を参照すると、誘電層74は、2つの加熱体層79、81の間、RTD層75と熱伝導層76との間、および接地層71と加熱体層79との間に含まれている。誘電層は、異なる導電層の間を電気的に絶縁するものであり、また隣接する層同士の粗面もしくは構造面を分離するものでもある。誘電層74は、異なる層同士を結合するために使用される接着剤と協調して作用するものでもある。好ましくは、誘電層74は、10μm〜30μmの範囲の厚さを有し、マイクロエレクトロニクス産業で使用される任意の誘電性材料から形成することができる。適切な誘電性材料の代表的な例には、二酸化ケイ素、窒化ケイ素、雲母、アルカリ成分をほぼ含まないガラス化された磁器フリットのような無機誘電体、ポリテトラフルオロエチレン、ポリアミド、ポリイミド、ポリアミドイミドのような耐熱性ポリマー、焼成および冷却に関連する温度範囲において電気絶縁性を保持する他の電気絶縁性材料、およびこれらの組合せ等が含まれる。好適な誘電層74は、選択された接着剤と協調して作用するように選択される。
【0098】
約300°Cを超える温度を要する作業のために好適な誘電層74には、二酸化ケイ素、窒化ケイ素、窒化ケイ素、アルカリ成分をほぼ含まないガラス化された磁器フリット等が含まれる。約300°C未満の温度での作業で使用される誘電層を形成するには、ポリアミド樹脂がより好ましい。有利なことに、ポリアミド樹脂は、一般に優れた接着特性および誘電性を有するだけでなく、安価であってかつ積層構造中に容易に組込むことができる。ポリアミド樹脂は一般に柔軟性を有するため、所望の場合、このようなポリアミド樹脂が組込まれた加熱プレート70に形状順応性(conformability)を持たせることができる。本発明において有用であることが見出されたポリアミドの具体例は、E.I.デュポン・ド・ヌムール社(E.I. duPont de Nemours & Co.)からEKJポリアミド熱硬化性接着剤としてシート形態で市販されている。
【0099】
図3は、本発明に係る加熱プレート70の別の実施形態を示す図である。図3の加熱プレート70は、図2の加熱部プレート70とほぼ同様のものであるが、図3の加熱プレート70は、加熱プレート70の最上部にあってウエハ80を支持する単一の熱伝導層76のみを有し、第2の熱伝導層を有していない点で異なっている。具体的には、図3の加熱プレート70は、下から順に、第1加熱体層81、誘電層74、第2加熱体層79、誘電層74、接地層71、RTD層75、誘電層74、支持面78上に突起77を有する熱伝導層76から形成される積層構造体である。第2熱伝導層が無いことのほかに、図2の加熱プレートとの別の顕著な相違点は、図3の加熱プレートの熱伝導層76は、第2熱伝導層が無くても、単一の熱伝導層によって加熱プレート70に十分な構造的一体性を与えるように、好ましくはより厚く形成されることである。その他の点では、図3の加熱プレートの各層は、図2の対応する各層と同様もしくは同一のものとすることができる。図3に示すような単一の熱伝導層のみを有する加熱プレート構成が有し得るさらなる利点には、構成の単純化、熱伝達の均一性の改善の可能性、加熱部材の構造的強度および平坦度の改善の可能性が含まれる。
【0100】
図4は、本発明に係る加熱プレート70のさらに別の実施形態を示す図である。図4の加熱プレートは、図2の加熱プレート70とほぼ同様のものであるが、図4の加熱プレート70は、RTD層75または接地層71を含んでいない点で異なっている。図4の加熱プレート50は、RTD層の代りに、加熱部材の表面上に配置された温度センサを使用するものであり、図4には、加熱部材の最上面に接着された温度センサ83が示されている。温度センサ83は、任意の適切な温度センサとすることができる。したがって、図4の加熱プレート70は、下から順に、誘電層74、加熱体層81、誘電層74、加熱体層79、誘電層74、およびその支持面78上に突起77と支持面78に接着された温度センサ83とを有する熱伝導層76を含む複数層から形成された積層構造体である。接地層71、RTD層75、および第2熱伝導層76(図示されていないが、含まれていてもよい)が無い点を除けば、図4の加熱プレートの各層は、図2または図2のいずれかの加熱プレート70の対応する層と同様もしくは同一のものである。
【0101】
もちろん、加熱プレート70における材料や積層順に対してその他の修正および変更を実施することもできる。たとえば、所望に応じて、加熱体層の数を増減してもよく、あるいは1つまたは複数の誘電層を省いてもよい。
【0102】
次に、図1a、図1b、および図1cに示す装置10の冷却特性を、図6および図7の温度プロファイルに関連させて詳細に説明する。加工物を冷却する1つの方法として、冷却部材26の温度を、半導体デバイス12が冷却されるべき温度である温度Tcに維持してもよい。図6には、このような方法を使用して冷却している間の、半導体デバイス12の典型的な温度プロファイル96が示されている。半導体デバイス12は、まずその初期温度T0にあり、その後終期温度TCに漸近的に近付いて、時間tfの経過後にほぼその温度に到達する。図6に示すように、この従来の方法では、半導体デバイスの温度とTSとの差が比較的大きな領域98においては急速な初期冷却が生じるが、領域100に示されるように、デバイスの温度がTcに近付くにつれて、冷却速度が指数関数的に減少する。実際、図6に示す方法では、冷却時間の50%以上が、最後の2〜3%の熱を半導体デバイス12から取り除くために費やされる場合がある。
【0103】
もちろん、所望の場合には、本発明の実施例において図6の冷却方法を使用することもできる。しかし、図6の方法の非効率性を回避するため、本発明では、好ましくは、より好適な半導体デバイス12の冷却方法である「冷却ブースト(chill boost)」法を使用する。図7に示すように、冷却ブースト法では、冷却部材26の温度をTcよりも低温のTsに維持し、デバイス12がTcまで冷却された時に冷却を停止できるように、冷却中のデバイスの温度を動的に監視するものである。図7には、半導体デバイス12を、相対的に高温の初期温度T0から所望の終期温度Tcまで冷却するときの温度プロファイル104が示されている。ここで、望ましくは、冷却部材の設定点温度Tsは、Tcよりも低い温度に維持される。これによって、ほぼすべての冷却作業が領域106に示されるような急速な冷却速度に従って達成できるような仕方で、半導体デバイス12をTcまで冷却することが可能となる。したがって、領域108に示されるような漸近的かつ非常に低速な冷却作業は、完全に回避される。一般に、所望の程度の冷却ブーストを達成するために、TsをTcよりも約2°C〜3°C低温に設定することが適切であることが見出された。冷却ブースト法は、冷却を達成するための極めて高速かつ正確な方法である。冷却ブースト法によれば、主な理由として領域108に示されたような指数関数的かつ非常に低速な冷却が完全に回避されるため、冷却ブーストを使用しない従来の方法の場合と比較して、3倍程度高速に冷却作業を完了することが可能となる。
【0104】
デバイス12のTcへの冷却後、プロファイル領域109に示されるように、デバイス12を所定の時間だけ温度Tcの平衡状態に維持することが望ましい場合がしばしばある。これは、図1a、図1b、図1cに示す装置10を使用して容易に達成される。簡単に言えば、冷却を開始するには、加熱プレート20をオフにし、図1cに示すように、加熱プレートを冷却部材26に熱接触させる。その結果、デバイス12が冷却され、その温度はTcに向かって下降する。デバイス12の温度がTcに達すると、図1cの状態は維持したまま、加熱プレート20をオンにし、ウエハ12をTcに維持するために過不足のないエネルギーを供給する。加熱プレート20の熱出力を制御して、デバイス12の温度を所望の平衡温度Tcに維持するために、任意の適切なプロセス制御方法を使用することができる。
【0105】
平衡温度の維持もしくは温度プロファイルの制御、またはその両方のために、加熱プレート20の熱出力と冷却部材26の冷却作用とを組合わせて使用できることには、多くの顕著な利点がある。第1に、平衡温度を、長時間に渡って極めて高精度に維持することができる。たとえば、この方法によれば、特に、デジタルシグナルプロセッサ(digital signal processor:DSP)を備え、パルス幅変調、比例/積分/微分(proportional / integral / derivative:PID)制御手法に基づいた好適なプロセス制御システムによって実施された場合、ウエハの温度Tcを約+/−0.03°Cの精度で維持することができる。第2に、このような方法は、冷却の進行を迅速に停止させるために加熱プレート20からの熱を使用できるため、非常に高い応答性を有する。第3に、冷却部材26の温度をそれほど高精度に制御する必要がない。冷却部材26の温度がTcよりも低温である限り、加工物の温度を高精度に制御することは、加熱プレート20の熱出力を制御することによって達成できる。第4に、冷却部材26の温度を高精度に制御する必要がないため、通常は正確な温度で冷却部材26に冷媒を供給することに依存する機構を、省略することができる。
【0106】
一旦ウエハ12の温度がTcに達したならば、その後、温度を維持する必要がない場合、冷却を停止するための別の方法として、単に適切な時点で冷却部材26から加熱プレート20を分離することによって、冷却を停止することができる。この方法を使用すると、冷却処理において、冷却処理を停止させるための制御信号を発生すべき時点(「冷却終了点」)を予測できるために有利である。これによって、デバイス12を、終期冷却温度Tcにできるだけ近い温度にまで確実に冷却することができる。実用上、冷却終了点は、好ましくは信号が発生した後に装置がその信号に応答するために必要な有限の遅れ時間を考慮に入れて、予測する必要がある。
【0107】
たとえば、図1a、図1b、図1cに示す装置10に関して言えば、冷却停止の制御信号の発生に続いて、冷却部材26から加熱プレート20を物理的に分離するために、短いけれども無視できない時間がかかる場合がある。したがって、そのような遅れ時間を予期し、冷却処理を停止するための制御信号は、半導体デバイス12が実際に終期冷却温度Tcに到達する時点の少し前に、装置10に送信しなければならない。具体的には、遅れ時間が約500msの場合、分離信号は、半導体デバイス12がTcに到達すると予測される時点の、たとえば500ms前に送信することができる。
【0108】
遅れ時間を考慮に入れて適切な冷却終了点を決定することは、任意の適切な開ループあるいは閉ループプロセス制御方法を使用して達成することができる。たとえば、PID制御手法を使用した制御システムのような適切な制御システムを使用して、適切な時点で冷却を停止させるために制御信号を装置10に送信すべき冷却終了点を、動的に決定することができる。あるいは、冷却終了点に関連するパラメータ(たとえば、ウエハ温度、経過冷却時間、および遅れ時間等)を特徴付け、そのようなパラメータを監視して時間通りに冷却を停止することもできる。
【0109】
次に、図1a、図1b、および図1cに示す装置10の加熱特性を、図8および図9の温度プロファイルに関連させて詳細に説明する。図8には、半導体デバイス12が温度T0(たとえば、室温)から温度TB(たとえば、130°C)まで時間tfに渡って加熱される加熱作業における、加熱プレート20および半導体デバイス12それぞれの典型的な温度プロファイル130および132が示されている。このような加熱の間は、通常、加熱プレートの温度プロファイル130は、デバイスの温度プロファイル132に対して先行する。すなわち、加熱作業の間、加熱プレート20は、加熱プレート20およびデバイス12がTBにほぼ対応する平衡温度(好ましくは、TBに対して+/−0.03°Cの範囲内)に到達するまで、常にデバイス12よりも多少高温になっている。そのため、デバイス12の温度が確実にTBに達するために、加熱プレート20の温度は、TBよりも高温にオーバーシュートする必要がある。したがって、加熱処理のある時点において、加熱プレート20の熱出力を減少させて、デバイス12と加熱プレート20とが漸近的にほぼTBに到達できるようにするものである。これは、通常、時間tiの経過後、加熱プレート20の温度がTBよりも高温の最大温度Tmになり、一方、デバイスの温度はTBよりも低温であるときに生じる。加熱プレート20の熱出力を減少させる正確な時間tiは、システムの時定数に依存し、従来のPID制御装置の典型的な出力である。
【0110】
図8の加熱方法によれば、加熱プレート20の熱出力が減少する以前のプロファイル領域134、136では比較的高速な加熱速度が得られるが、時刻tiにおいて加熱プレートがパワーダウンした後のプロファイル領域138、140では、加熱速度は指数関数的に減少する。実際、一般にTBとTiとの温度差はT0からTBまでの全温度範囲の僅かな部分にもかかわらず、デバイス12をT0からTBまで加熱するために必要な時間の少なくとも約70%には、デバイス12をTiからTBに漸近的に加熱することが含まれる。言い換えれば、ほとんどの加熱時間は、デバイス12の温度に最後の数度を追加するために費やされる。多くの加熱時間が、デバイス12の温度のTBまでの緩やかな変化を達成するために注ぎ込まれる。
【0111】
もちろん、所望の場合には、本発明の実施例において図8の加熱方法を使用して、有利な結果を得ることもできる。実際、図8の加熱方法によって、デバイス12を40〜50秒の短時間でT0からTBまで加熱することができる。しかし、プロファイル領域138、140に関連する非効率性を、冷却部材26からの「冷却ブースト」を使用することによって大幅に低減することができる。一般に、加熱作業のための「冷却ブースト」法では、加熱プレート20をTmよりも高温にし、加熱プレート20をパワーダウンさせる前にデバイス12をTBにずっと近い温度まで加熱できるようにするものである。その後、冷却部材26を使用して、デバイス12の温度がほぼTBに到達しかつTBよりも高温にオーバーシュートしないようにするため、加熱プレート20から余分な熱を迅速に吸熱する。
【0112】
加熱における「冷却ブースト」法の利点は、図9に示されている。図9は、図8とほぼ同様のものであるが、加熱プレート20の温度はTmよりも高温の最大温度Tm2に達しており、デバイス12の温度は、時刻ti2において加熱プレート20の熱出力が減少する前に、Tiよりも高温のTi2に達している点で異なっている。仮に、補正作用がなく、この時点で冷却ブーストを使用しない図8の加熱方法を使用していたならば、加熱プレート20およびデバイス12の余分な熱によって、デバイス12の温度はTBよりも高温にオーバーシュートしてしまうであろう。すなわち、加熱プレート20およびデバイス12の温度は、TBよりも高温の何らかの平衡温度に漸近的に近付く。しかし、この例では、冷却部材26を、余分な熱が冷却部材26に確実に吸熱される条件の下で、加熱プレート20と熱接触させるものである。その結果、加熱プレート20およびデバイス12の温度は、tfよりもかなり短い時間tf2で、ほぼTBに到達する。この例においても、加熱プレート20のパワーダウン後は、デバイス12の温度は漸近的にTBに近付く傾向を有するが、そのような漸近的な加熱に関連する時間は、図8の方法と比較して大幅に短縮される。たとえば、図8の加熱方法では、作業の完了に40〜50秒かかるのに対して、図9の「冷却ブースト」法を使用すると、この時間が25〜35秒に短縮される。
【0113】
この加熱プレートでは、好ましくは、加熱部材の温度に対して高速かつ高精度な制御を実施する加熱制御方法が使用される。本発明に係る加熱部材で使用される好適な加熱制御システムは、特許文献1に記載されている種類の制御システムである。この制御システムは、高周波数(たとえば、好ましくは1000Hz以上)パルス幅変調(pulse width modulation:PWM)制御信号を使用して、加熱体セグメントに供給される直流電気エネルギーを変調するものである。単一の抵抗加熱体セグメントまたは複数の抵抗加熱体セグメントは、RLC(抵抗/インダクタ/キャパシタ)回路に組込まれており、電気抵抗、インダクタ、およびキャパシタによって、加熱体に印加される直流電圧が平滑化される。この方法によれば、加熱体に対して設定される電圧値を、0〜100%のダイナミックレンジで迅速かつ連続的に制御することができる。実際、加熱体に印加される直流電圧は、事実上限りなく小さい粒度(granularity)を有するものである。これによって、加熱体の温度を、広範なダイナミックレンジで敏速かつ高精度に制御することができる。
【0114】
したがって、本加熱部材で使用するための温度制御システムの好適な一実施形態では、高周波PWM制御信号を使用して直流電圧を変調し、加熱部材の加熱体中の1つまたは複数の加熱体セグメントからの熱量を制御するものである。概略としては、制御装置は、たとえば上述したRTD温度センサなどの温度センサによって発生された温度信号に応答し、高周波パルス幅変調制御信号を展開する。PWM制御信号は、制御信号の各パルスのデューティサイクルのオン部分に対してスイッチを作動させるために使用される。これによって、抵抗加熱体に対して、PWM制御信号のデューティサイクルに比例する対応する電圧が設定される。このとき、加熱体の熱出力はこの電圧の二乗に比例する。
【0115】
たとえば、300Vの直流電源が供給される場合、10%のデューティサイクルを有する20,000HzのPWM制御信号を使用すると、抵抗加熱体に対して30V(300Vの10%)の電圧が設定され、対応する熱出力は1%である。また、300Vの直流電源が供給されて、60%のデューティサイクルを有する20,000HzのPWM制御信号を使用すると、抵抗加熱体に対して180V(300Vの60%)の電圧が設定され、対応する熱出力は36%である。同様に、300Vの直流電源が供給されて、5%のデューティサイクルを有する20,000HzのPWM制御信号を使用すると、抵抗加熱体に対して15V(300Vの5%)の電圧が設定され、対応する熱出力は0.25%である。
【0116】
加熱部材の温度センサは、制御装置に温度信号を含む情報を送信する。制御装置は、望ましくは、従来のドライバ回路およびノイズフィルタを含み、温度センサから取得された温度データをそのデータが制御装置に到達する前に処理するものである。所望の場合、ドライバ回路を使用して、感知された温度信号の振幅を調整し、もしくは感知されたデータを後の処理のためにより適切な形式に変換し、またはその両方を実施する。制御装置における処理可能性を向上させるために、ノイズフィルタを使用して温度センサのノイズを低減、すなわち信号対ノイズ比を増大させることできる。
【0117】
制御装置は、温度センサからの入力に対してPWM制御信号を発生する制御装置として機能するために効果的な、任意のハードウェアおよびソフトウェア等の任意の組合せにより構成することができる。そのような情報からPWM出力を発生するには、任意の適切なプロセス処理手法および構成要素を使用することができるが、好ましくは、比例/積分/微分(PID)制御が使用される。PID制御、および一般にプロセス制御手法の原理については、コウアノールおよびコッペル著「プロセスシステム分析および制御」、マクグローヒル社(Coughanowr and Koppel, Process Systems Analysis and Control, McGraw-Hill Book Company))(1965)およびエフ.ジー.シンシキー著「プロセス制御システム」(F. G. Shinsky, Process Control Systems)(1988)に記載されている。
【0118】
制御装置は、ハードウェア、ソフトウェア、またはこれらの組合せにより構成することができ、さらに、デジタル装置もしくはアナログ装置、またはこの両方を含む装置であってもよい。制御装置として使用するために適切な多様なシステムが市販されており、それらを購入してプラグアンドプレイ方式により温度制御システムに組込むことができる。たとえば、一実施形態では、テキサス州オースチンのナショナル・インストルメンツ社(National Instruments)から商標名「LABVIEW」として市販されているPCベースの制御および分析システムを使用してもよい。あるいは、より好ましくは、1つまたは複数のマイクロプロセッサもしくはデジタルシグナルプロセッサ(DSP)、またはその両方が組込まれた埋込み型制御装置の形態を有する制御装置を使用することもできる。DSPは、高速であって高い信頼性を有し、かつ安価である。DSPについては、たとえば、Curran, L., Machine Design “DSPs Find a Place in Motor Control”(1997年、11月6日)の95〜102頁に記載されている。適切なDSPデバイスセットの代表例は、アナログ・デバイス社(Analog Device, Inc.)から市販されており、このセットには、(i)AD7715(16ビットのシグマデルタADC)デバイス、またはAD7711(RTD励磁電流を使用する信号調整ADC)デバイス、および(ii)ADSP−2100(デジタルプロセッサ)デバイスが含まれる。
【0119】
上述したように、加熱部材の好適な実施形態は、複数の加熱ゾーンを含むことができ、それぞれの加熱ゾーンは、加熱体の1つまたは複数の加熱体セグメントが対応する。好ましくは、温度制御システムおよび加熱部材に対して種々の抵抗加熱体を較正することによって、このような構成を温度制御システムに組込むことができる。特に、好ましくは、所望の加工物を加熱部材上の所定位置に配置し、加熱または冷却することによって、加熱部材を較正することができる。その後、加工物の温度プロファイルを実施し、加熱制御システムを、最も均一な温度プロファイルを形成するように調整する。この柔軟性によって、加熱部材の熱特性における微細な差を補償することができる。
【0120】
図10〜図13は、本発明に係る焼成/冷却装置400の特に好適な実施形態を示す図である。装置400は、ウエハ540の形態を有する加工物を処理するために適切な本発明の説明のための一実施形態である。概略として、装置400は、加熱作業および冷却作業を実施するための種々の部分組立体を含む。これらの部分組立体は、蓋組立体401、加熱プレート組立体403、冷却部材組立体404、および駆動トレイン組立体を含む。これらの組立体は、主ベース部上に支持されるかまたは取付けられている。駆動トレイン組立体は、加熱プレートおよび冷却部材を上昇および下降させ、装置400をロード/アンロード状態、冷却状態、および加熱状態を含む3つの作動状態の1つに配置するものである。
【0121】
ここで、主に図11および図11aを参照すると、蓋組立体401は、基本的に環状の側壁部材および頂部パネルの積層組立体を含む。これらの積層された部品によって、加熱作業および冷却作業中に、加熱プレート組立体の一部を受け入れるために適合するように、蓋組立体401が形成されている。
【0122】
蓋組立体401は、蓋支持ポスト422に支持されて固定され、したがって、蓋組立401は、加熱および冷却作業中に移動しない(図10参照)。各蓋支持ポスト422は、対応する肩部419または420に取付けられている。本明細書中に特に明示しない限り、蓋組立体401の部品およびポスト422は、好ましくは、ステンレス鋼により形成されるものとするが、アルミニウム、およびポリアミド、ポリイミド、ポリアミドイミド等といった耐熱性プラスチックのような他の材料を使用することもできる。
【0123】
焼成/冷却装置400は、図11〜図13に示すように、ウエハ支持組立体を含む。図11を参照すると、リフトピンベース426は、リフトピンベース426の周りに120°間隔で三角形に配置された3つ1組のリフトピンシャフト427を支持する。リフトピン428は、リフトピンシャフト427からウエハ540を受けるために適切な高さまで延びている。各シャフト427およびリフトピン428は、スプリング430によってリフトピン428を所定の位置に固定できるように、協調して作動する構造を有する。リフトピンシャフト427およびリフトピン428は、所望の場合、リフトピン428上に支持されるウエハ540に対して真空吸引可能なように、中空であってもよい。装置な好適な実施形態では、真空の必要性を省くことができる。
【0124】
図11に示すように、冷却部材組立体404は装置400の最下部に位置する。装置400が開いている場合、処理するウエハ540を容易に挿入できる。加熱作業および冷却作業中、冷却部材組立体404の構成要素は、冷却部材組立体404が蓋組立体401に係合するまで上昇することができる。冷却部材組立体404がこれ以上上昇することは、この係合によって制約される。この動作によって装置400は閉じられ、環境から封止された処理室中でウエハを加熱および冷却することができる。加熱作業および冷却作業が終了すると、冷却部材組立体404を下降させて装置400を開き、処理されたウエハを取出して別のウエハを挿入することができる。
【0125】
より詳細には、冷却部材404は、上側冷却プレート436、下側冷却プレート437、および側壁部材438を含む。上側冷却プレート436の底面439には、上側および下側の冷却プレート436、437が組立てられたときに、冷媒流路440を形成するように溝が設けられている。上側および下側の冷却プレート436、437の両方は、望ましくは、金属、合金、またはステンレス鋼、アルミニウム合金、たとえばニッケルめっきによって皮膜保護された銅といった合金の組合せのような、熱伝導性材料から形成されるものである。
【0126】
側壁部材438の頂部には、側壁部材438の蓋組立体401への係合を封止するためのOリング(図示せず)を受け入れる溝(図示せず)が設けられていてもよい。側壁部材438は、図示されているように、上側冷却プレート436または下側冷却プレート437のいずれかと一体に形成するともでき、あるいは別体として形成することもできる。別体として形成する場合、側壁部材438は、ステンレス鋼やアルミニウムのような熱伝導性材料から形成することも、あるいはポリイミド、ポリアミド、ポリアミド意味度、超高分子量ポリエチレン等の絶縁性材料から形成することもできる。
【0127】
組立てに関しては、冷却プレート436、437、および側壁部材438(別体として形成されている場合)は、ネジ、ボルト、接着、または溶接等の任意の所望の手段を使用して、冷却部材404を形成するように組立てることができる。上側および下側冷却プレート436、437は、リフトピンシャフト427およびリフトピン428上に装着される構造を有するものであるが、冷却部材404の上昇および下降中にリフトピンシャフト427またはリフトピン428に摺動可能に係合しないことが好ましい。
【0128】
装置400は、通常、環状の加熱部材支持リング460を有し、加熱部材支持リング460は、その加熱部材支持リング460に固定された3つ1組の加熱部材支持シャフト464上に加熱プレート組立体403を支持するベースとして機能するものである。好ましくは、加熱部材支持シャフトの少なくとも1つは、加熱プレートに接続される電気配線等を案内する通路を形成するように、中空であってもよい。
【0129】
各加熱部材支持シャフト464の上端部には、任意の適切な固着手段を使用して、加熱プレート403が固定されている。1つの方法は、加熱部材取付けネジを使用し、各シャフトの上端部に対応するネジを受け入れるネジ付き開口部設をけることである。これによって、加熱部材支持リング460が上昇すると、加熱プレートも上昇する。同様に、加熱部材支持リング460が下降すると、加熱プレートも下降する。この移動によって、装置400は、ロード/アンロード状態、加熱状態、および冷却状態の間で容易に状態を変更することができる。
【0130】
冷却部材組立体404は、加熱部材支持シャフトに対して摺動可能に装着され、加熱プレートと加熱部材支持リングとの間でシャフト上に支持される。この配置によって、加熱プレートと冷却部材とを共に上昇させ、さらに、加熱作業および冷却作業の間に、加熱プレートのみを冷却部材に対して独立に下降または上昇させることができる。スプリング478は、加熱部材支持シャフト464上に装着され、加熱部材支持リング460と冷却部材404との間に位置する。図11および図11aに示すように、装置400がロード/アンロード状態にある場合、スプリング478は、冷却部材404と加熱プレート組立体とを接続させるように付勢する。スプリング478が特に有利なのは、装置400を3つの異なる作動状態に容易に配置するための、単純な単一のリフト機構が可能になるからである。
【0131】
加熱プレート組立体403の特徴は、図11および図11aに示されている。ここで、低熱容量の平板状加熱プレート403(分かり易くするため、2層の積層構造を有するものとして概略的に示されている)は、上側環状クランプ486と下側環状クランプ488との間に締結されている。上側環状クランプ486および下側環状クランプ488は、互いに係合するような構造を有し、さらにネジによって固定することができる。上側環状クランプ486および下側環状クランプ488は、複数の隔離スタンドを含み、それらの間に加熱プレート403を支持することによって、加熱プレート403と直接接触する面積を最小化することができる。隔離スタンドを使用することによって、より均一かつより制御性の良好な加熱作業および冷却作業が容易になる。
【0132】
上側環状クランプ486および下側環状クランプ488は、剛性および耐熱性を有する任意の適切な材料から形成することができる。特に好適な材料は、良好な熱絶縁体である。クランプ486、488を形成するために適切な材料の代表例には、ポリイミド、ポリアミド、アルミナ、合成水晶、またはアルカリ成分を含まない他のセラミック材料、およびこれらの組合せ等を含む。クランプの材料として好適なポリマーの一例は、テキサス州オースチンのボーデッカー・プラスチックス社(Bodecker Plastics Company)から市販されているポリエチルエチルケトンである。
【0133】
上側および下側クランプ486、488のような環状クランプの代わりに、他の固定機構を使用して加熱プレート403を固定してもよい。代替手段の一例は、加熱プレートの周り、すなわち支持ポスト422の上部に配置された一連のcクランプ(c-clamp)またはスプリングクランプである。しかし、加熱の均一性が向上するため、環状のクランプが好ましい。
【0134】
加熱プレート403は、3つ1組の貫通孔504を含み、それによって加熱プレートをリフトピン428に摺動可能に装着することができる(たとえば、図5d参照)。
【0135】
次に、図11〜図13を参照し、装置400の好適な作動方式を説明する。図11および図11aには、ロード/アンロード状態の装置400が示されており、この状態において、装置400は、ウエハ540を装置内に挿入および装置外に取出し可能なように開いている。この状態では、加熱プレート403は、スプリング478によって上側冷却プレート436の上部に引かれ、リフトピン428は加熱プレート組立体403よりも上方に突出ている。これによって、処理するウエハ540をリフトピン428上に配置することができる。
【0136】
図12は、冷却状態の装置400を示す図である。装置400のロード/アンロード状態から冷却状態への変更において、加熱プレート組立体403および冷却部材組立体404は共に上昇する。その結果、冷却状態では、ウエハ549は加熱プレート403上に支持され、加熱プレート403は、上側冷却プレート436に対して引かれる。実際上の効果として、上側冷却プレート436はウエハ540(図12には図示せず)と熱接触し、これによって、冷却作業が実施可能となる。
【0137】
図13は、加熱状態の装置400を示す図である。この状態では、加熱プレート403は、冷却プレート436と熱接触しないように持ち上げられ、これによって、加熱作業が実施可能となる。
【0138】
焼成/冷却装置は、当業者にとって周知のように、たとえばマイクロエレクトロニックデバイスのような種々の材料を、化学反応を誘導あるいは促進するか、またはコーティングされた材料から溶剤を揮発させるような種々の工程によって処理するために使用するこができる。たとえば、焼成/冷却装置は、フォトレジスト材料でコーティングし、現像するために使用できる。この応用例において、多くの工程は、通常は「ソフトベーク(soft bake)」工程、「後露出」ベーク工程、および「ハードベーク(hard bake)」工程を含む加工物の温度サイクル処理を含んでいる。本明細書に記載された加熱体によれば、加熱体、冷却プレート、および加工物との間でより均一な熱伝達が達成されるため、これらの処理工程において有利である。
【0139】
これまで、本発明に係る加熱部材を複合型焼成/冷却装置で使用することに焦点をおいて説明してきたが、本発明は加熱部材のこの応用例に限定されるものではなく、加工物の加熱作業および冷却作業を含み、特に熱的精度および鋭敏性が有利であるような、様々な他の応用および装置において有用であることを理解されたい。他の例の1つとして、この加熱部材は、その構成および使用方法が焼成/冷却装置と同様であるが、使用する化学物質、加工物の組成(特にその表面)、および場合によっては必要とする温度に関して多少の相違を有するプライム(prime)/冷却装置で使用してもよい。簡潔に記せば、プライム/冷却装置は、焼成/冷却装置と同様の構成を有する装置であるが、半導体ウエハの表面に後の処理のための前処理を実施するために設計された装置である。前処理工程は、通常、清浄かつ未コートの表面を有する純粋な半導体ウエハを処理室内に導入することを含む。処理室は排気され、装置および加熱プレートによって正確に制御された温度変化と共に、ヘキサンメチルジシラン(HMDS)が導入される。HMDSは、ウエハの表面から微少量のウエハを除去し、表面を疎水性にして後の処理を容易にするものである。
【0140】
本発明の他の実施形態は、本明細書の考察を基にして、または本明細書に開示されている実施例から、当業者にとって明らかであろう。当業者ならば、添付請求項によって示される本発明の真の範囲および思想を逸脱することなく、本明細書に記載されている原理および実施形態に対して様々な省略、修正、および変更を実施できる。
【実施例】
【0141】
平坦度の測定手順:
次の材料および手順を使用して基板の平坦度を測定した。
必要な材料:
花崗岩のテーブル(平坦度0.0001インチ(約0.00254mm)以上に較正済み)
高精度隔離スタンド(調整可能)
ダイアル指示器(0.0005インチ(約0.0127mm)以上の精度)
【0142】
手順:
高精度かつ調整可能な隔離スタンドを、その上と基板の縁とで基板が支持されるように120°間隔で配置した。図14に従って、隔離スタンドを配置した。基板の向きを、リフトピン孔が隔離スタンドに並ぶように調整した。支持点は、基板の円周から0.5インチ(約12.7mm)以内とした。ダイアル指示器を使用して、第1の隔離スタンドの近くの基板の表面上の位置で、指示器の読みのゼロを設定した。ダイアル指示器を第2の隔離スタンドの近くの表面に移動させ、ダイアル指示器の読みがゼロになるまでその隔離スタンドの高さを調整した。この手順を第3のスタンド上の点でも繰り返した。再び、ダイアル指示器を3つすべての隔離スタンドの近くの表面上に移動させ、ゼロの読みが変化しないことを確認した。
【0143】
ダイアル指示器を全表面に渡って走らせ、ゼロからの偏差の最小値および最大値を記録した。その範囲が、3つの隔離スタンドの表面上で形成された平面に関する基板表面の平坦度である。
【図面の簡単な説明】
【0144】
【図1a】図1aは、「準備」状態における本発明に係る焼成/冷却装置を概略的に示す側面図である。
【図1b】図1bは、「加熱」状態における本発明に係る焼成/冷却装置を概略的に示す側面図である。
【図1c】図1cは、「冷却」状態における本発明に係る焼成/冷却装置を概略的に示す側面図である。
【図1d】図1dは、ウエハ支持突起の配置を示す、本発明に係る焼成/冷却装置の平面図である。
【図1e】図1eは、本発明に係る加熱体および加熱部材を使用するための、温度センサの実施形態の平面図である。
【図2】図2は、本発明に係る加熱部材の積層構造を示す実施形態の断面図である。
【図3】図3は、本発明に係る加熱プレートの別の積層構造を示す別の実施形態の断面図である。
【図4】図4は、本発明に係る加熱プレートの別の積層構造を示す別の実施形態の断面図である。
【図5a】図5aは、加熱部材の多層化加熱体セグメントおよび熱伝導層を示す分解斜視図である。
【図5b】図5bは、図5aの多層化加熱体を示す側面図である。
【図5c】図5cは、多層化加熱体の加熱体セグメントを示す平面図である。
【図5d】図5dは、多層化加熱体の加熱体セグメントを示す平面図である。
【図6】図6は、所望の終期平衡温度と同じ温度に維持された冷却プレートを使用して冷却されている半導体デバイスの温度プロファイルである。
【図7】図7は、所望の終期平衡温度よりも低い温度に維持された冷却プレートを使用して冷却されている半導体デバイスの温度プロファイルである。
【図8】図8は、冷却ブーストを使用せずに平衡温度に加熱されている半導体デバイスの温度プロファイルである。
【図9】図9は、冷却ブーストを使用して平衡温度に加熱されている半導体デバイスの温度プロファイルである。
【図10】図10は、焼成/冷却ステーションの斜視図である。
【図11】図11は、図10の焼成/冷却ステーションの横断面図である。
【図11a】図11aは、図10の焼成/冷却ステーションの横断面図である。
【図12】図12は、図10の焼成/冷却ステーションの横断面図である。
【図13】図13は、図10の焼成/冷却ステーションの横断面図である。
【図14】図14は、平坦度の測定手順を説明するために使用され、下からの測定を可能にするように、スタンドによって下から支持される基板の平面を示す図である。

Claims (79)

  1. 0.01インチ(約0.254mm)より値が小さい平坦度の支持面を有する熱伝導層を含み、かつこの熱伝導層が、加熱体と熱接触状態にある、前記支持面と反対側の面を有することを特徴とする低熱容量加熱部材。
  2. 前記支持面は、0.005インチ(約0.127mm)より値が小さい平坦度を有することを特徴とする請求項1記載の加熱部材。
  3. 前記支持面は、0.02インチ(約0.508mm)より値が小さい平坦度を有することを特徴とする請求項1記載の加熱部材。
  4. 前記熱伝導層は、少なくとも400ギガパスカルのヤング率を有するセラミックからなることを特徴とする請求項1記載の加熱部材。
  5. 前記熱伝導層は、少なくとも100W/m・Kの熱伝導率を有するセラミックからなることを特徴とする請求項1記載の加熱部材。
  6. 前記セラミックは、重量比で純度97%またはそれ以上の純度を有する炭化ケイ素であることを特徴とする請求項5記載の加熱部材。
  7. 前記セラミックは、重量比で純度98%の炭化ケイ素であることを特徴とする請求項1記載の加熱部材。
  8. 前記セラミックは、焼結された炭化ケイ素であることを特徴とする請求項1記載の加熱部材。
  9. 前記セラミックは、窒化アルミニウムであることを特徴とする請求項1記載の加熱部材。
  10. 熱伝導層と熱接触状態にある加熱体を含み、かつ重量比で少なくとも約98%の純度を有する炭化ケイ素からなる低熱容量加熱部材。
  11. 前記炭化ケイ素は、約100〜約150W/m・Kの範囲の熱伝導率を有することを特徴とする請求項10記載の加熱部材。
  12. 前記炭化ケイ素は、焼結された炭化ケイ素であることを特徴とする請求項10記載の加熱部材。
  13. 前記炭化ケイ素は、99重量%よりも高い純度の炭化ケイ素であることを特徴とする請求項10記載の低熱容量加熱部材。
  14. 前記炭化ケイ素は、少なくとも150W/m・Kの熱伝導率を有することを特徴とする請求項13記載の低熱容量加熱部材。
  15. 前記炭化ケイ素は、化学蒸着された炭化ケイ素であることを特徴とする請求項14記載の低熱容量加熱部材。
  16. 熱伝導層と熱接触状態にある加熱体を含み、前記熱伝導層が窒化アルミニウムからなることを特徴とする低熱容量加熱部材。
  17. 前記熱伝導層は、主成分が窒化アルミニウムからなることを特徴とする請求項16記載の低熱容量加熱部材。
  18. 熱伝導層と熱接触状態にある多層化加熱体を含み、この多層化加熱体は、少なくとも2つの異なる層を有して、これらの各層に加熱体セグメントを含んでいる電気抵抗型多層化加熱体セグメントからなることを特徴とする低熱容量加熱部材。
  19. 各加熱体セグメントは、独立して制御されることを特徴とする請求項18記載の加熱部材。
  20. 前記多層化加熱体は、複数の層からなり、第1の層は、円形の内側加熱ソーンを形成する3つのパイ形状の加熱体セグメントからなり、第2の層は、環状の外側加熱ゾーンを形成する3つの加熱体セグメントからなることを特徴とする請求項18記載の加熱部材。
  21. 1つ以上の加熱体セグメントに関連する円形の内側加熱ゾーンと、1つ以上の加熱体セグメントと関連しかつ前記内側加熱ゾーンを取り囲んでいる環状の外側加熱ゾーンを含んでいることを特徴とする請求項18記載の加熱部材。
  22. 前記内側加熱ゾーンは、200mmまたは300mmのマイクロエレクトロニクス用加工物の面積にほぼ等しいことを特徴とする請求項21記載の加熱部材。
  23. 前記加熱部材は、前記内側加熱ゾーン用の1つ以上の加熱体セグメントを含む1つの層と、前記外側加熱ゾーン用の1つ以上の加熱体セグメントを含む他の層からなることを特徴とする請求項21記載の加熱部材。
  24. 前記加熱体セグメントは、電気導体のリード線に接続され、前記内側加熱ゾーン用の1つ以上の加熱体セグメントを含む前記層が、前記外側加熱ゾーン用の1つ以上の加熱体セグメントを含む前記層と前記熱伝導層との間に配置されていることを特徴とする請求項23記載の加熱部材。
  25. 抵抗型薄膜温度センサを有する層を含んでいることを特徴とする請求項18記載の加熱部材。
  26. 前記センサを有する層は、1つ以上のセンサを含んでいることを特徴とする請求項25記載の加熱部材。
  27. 前記多層化加熱体は、加熱体を含む1つの層を有し、該層は、抵抗型薄膜温度センサを有する層から接地層によって分離されていることを特徴とする請求項25記載の加熱部材。
  28. 前記接地層は、銅の層であることを特徴とする請求項27記載の加熱部材。
  29. 多層化加熱体が電気抵抗型の加熱体セグメントからなり、少なくとも2つの異なる層が、それぞれ加熱体セグメントを含んでいることを特徴とする多層化加熱体。
  30. 抵抗型薄膜温度センサを含むことを特徴とする請求項29記載の加熱体。
  31. 1つの接地層を含むことを特徴とする請求項30記載の加熱体。
  32. 炭化ケイ素の熱伝導層と多層化加熱体を含む加熱部材であって、
    前記炭化ケイ素の熱伝導層は、前記0.01インチ(約0.254mm)より値が小さい平坦度の支持面を有し、かつ前記炭化ケイ素が、少なくとも100W/m・Kの熱伝導率を有しており、
    前記多層化加熱体は、前記炭化ケイ素の前記支持面とは反対側の面と熱接触状態にあり、かつ複数の電気抵抗型加熱体セグメントを含み、前記多層化加熱体の少なくとも2つの異なる層が、それぞれ1つ以上の加熱体セグメントを含んでいることを特徴とする加熱部材。
  33. 前記炭化ケイ素の熱伝導層は、重量比で少なくとも98%の炭化ケイ素を有する炭化ケイ素層であり、かつ少なくとも約100W/m・Kの熱伝導率を有することを特徴とする請求項32記載の加熱部材。
  34. 前記支持面は、0.005インチ(約0.127mm)より値が小さい平坦度を有することを特徴とする請求項32記載の加熱部材。
  35. 前記加熱体は、円形の内側加熱ゾーン用の1つ以上の加熱体セグメントを有する第1の層と、環状の外側加熱ゾーン用の1つ以上の加熱体セグメントを有する第2の層とを含み、前記第2の層は、炭化ケイ素の熱伝導層と第1の層との間にあることを特徴とする請求項32記載の加熱部材。
  36. 加工物の温度を制御するのに適した装置であって、
    (a)熱伝導性の低熱容量加熱部材と熱接触状態で加工物を支持するための加工物支持面を有し、前記低熱容量加熱部材からの熱が前記加工物に伝達され、前記支持面が0.01インチ(約0.254mm)より値が小さい平坦度を有している前記低熱容量加熱部材と、
    (b)高熱容量冷却部材とを含み、
    前記冷却部材が前記加熱部材に熱接触している、少なくとも第1の状態において、
    前記装置は、前記加熱部材と前記冷却部材を支持していることを特徴とする装置。
  37. 前記加熱部材と前記冷却部材が熱的結合にない第2の状態をさらに含んでいることを特徴とする請求項36記載の装置。
  38. 前記加熱部材が、炭化ケイ素の層からなり、前記加熱部材は、約500J/°Cより小さい熱容量を有し、直径が約8インチ(約203.2mm)から約13インチ(330.2mm)の範囲にあり、全体の厚さが約0.25インチ(約6.35mm)よりも薄いことを特徴とする請求項37記載の装置。
  39. 前記加工物は、マイクロエレクトロニクスデバイスであることを特徴とする請求項37記載の装置。
  40. 前記加工物は、直径が約200〜約300mmの範囲にあるシリコンウエハからなることを特徴とする請求項39記載の装置。
  41. 前記装置は、焼成装置/冷却装置の組合せからなることを特徴とする請求項37記載の装置。
  42. 前記装置は、プライム/冷却装置からなることを特徴とする請求項37記載の装置。
  43. 前記熱伝導層は、窒化アルミニウムからなることを特徴とする請求項37記載の装置。
  44. 加工物の温度を制御するのに適した装置であって、
    (a)熱伝導性の低熱容量加熱部材と熱接触状態で加工物を支持するための加工物支持面を有する熱伝導性のセラミック層を含み、前記加熱部材からの熱が前記加工物に伝達され、前記セラミック層が、重量比で少なくとも純度98%の炭化ケイ素である前記低熱容量加熱部材と、
    (b)高熱容量冷却部材とを含み、
    前記冷却部材が前記加熱部材に熱接触している、少なくとも第1の状態において、
    前記装置は、前記加熱部材と前記冷却部材を支持していることを特徴とする装置。
  45. 前記炭化ケイ素は、少なくとも100W/m・Kの熱伝導率を有することを特徴とする請求項44記載の装置。
  46. 前記炭化ケイ素は、焼結された炭化ケイ素であることを特徴とする請求項44記載の装置。
  47. 前記炭化ケイ素は、99重量%よりも高い純度を有していることを特徴とする請求項44記載の装置。
  48. 前記炭化ケイ素は、化学蒸着された炭化ケイ素であることを特徴とする請求項44記載の装置。
  49. 加工物の温度を制御するのに適した装置であって、
    (a)熱伝導性の低熱容量加熱部材と熱接触状態で加工物を支持するための加工物支持面を有し、前記加熱部材からの熱が前記加工物に伝達され、前記反対側の面は複数の電気抵抗型加熱体セグメントを含む多層化加熱体と熱接触状態にあり、前記多層化加熱体の少なくとも2つの異なる層は、それぞれ加熱体セグメントを含む前記低熱容量加熱部材と、
    (b)高熱容量冷却部材とを含み、
    前記冷却部材が前記加熱部材に熱接触している、少なくとも第1の状態において、
    前記装置は、前記加熱部材と前記冷却部材を支持していることを特徴とする装置。
  50. 前記各加熱体セグメントの温度は、独立して制御できることを特徴とする請求項49記載の装置。
  51. 前記多層化加熱体の少なくとも2つの異なる層中に含まれた2つ以上の前記加熱体セグメントを含み、前記各加熱体セグメントはリード配線部を備え、
    異なる層の前記加熱体セグメントおよび前記リード配線部は、1層の前記加熱体セグメントが別の層の前記リード配線部よりも前記熱伝導層の近くに配置されていることを特徴とする請求項49記載の装置。
  52. 前記加熱部材は、内側加熱ゾーンおよび該内側加熱ゾーンを取り囲む外側加熱ゾーンを含むことを特徴とする請求項49記載の装置。
  53. 前記内側加熱ゾーンは、200mmの加工物または300mmの加工物のサイズにほぼ等しいことを特徴とする請求項52記載の装置。
  54. 前記加熱部材は、前記内側加熱ゾーンに対する1つまたは複数の加熱体セグメントを含む層と、前記外側加熱ゾーンに対する1つまたは複数の加熱体セグメントを含む別の層とを含むことを特徴とする請求項52記載の装置。
  55. 前記内側加熱ゾーンは円形であり、前記外側加熱ゾーンは環状であり、前記外側加熱ゾーンの前記加熱体セグメントを含む層は、前記熱伝導層と前記内側加熱ソーンの前記加熱体セグメントを含む層との間に配置されていることを特徴とする請求項54記載の装置。
  56. 0.01インチ(約0.254mm)よりも値の小さい平坦度を有する表面を備え、かつ少なくとも98重量%の炭化ケイ素からなる炭化ケイ素熱伝導層を有する低熱容量加熱部材を含む複合型焼成/冷却装置。
  57. 前記炭化ケイ素熱伝導層は、加工物支持面および反対側の面を含み、前記加工物支持面は、前記加熱部材と熱接触状態にある加工物を支持し、前記加熱部材からの熱が前記加工物に伝達され、前記反対側の面は、複数の電気抵抗形加熱体セグメントを含む多層化加熱体に熱接触し、前記多層化加熱体の少なくとも2つの異なる層は、それぞれ加熱体セグメントを含むことを特徴とする請求項56記載の装置。
  58. 0.01インチ(約0.254mm)よりも値の小さい平坦度を有する表面を備えた窒化アルミニウムからなる低熱容量加熱部材を含む複合型前処理/冷却装置。
  59. 前記窒化アルミニウム熱伝導層は、加工物支持面および反対側の面を含み、前記加工物支持面は、前記加熱部材と熱接触状態にある加工物を支持し、前記加熱部材からの熱が前記加工物に伝達され、前記反対側の面は、複数の電気抵抗型加熱体セグメントを含む多層化加熱体に熱接触し、前記多層化加熱体の少なくとも2つの異なる層はそれぞれ加熱体セグメントを含むことを特徴とする請求項58記載の装置。
  60. 熱伝導性窒化アルミニウム層および加熱体を含み、前記熱伝導層は、0.01インチ(約0.254mm)よりも値の小さい平坦度を有する表面を備えた支持面と前記加熱体と熱接触状態にある反対側の面とを有し、前記加熱体は、複数の加熱体セグメントを含むことを特徴とする低熱容量加熱部材。
  61. 200mmのウエハ基板を支持するために有用な直径を有することを特徴とする請求項60記載の加熱部材。
  62. 300mmのウエハ基板を支持するために有用な直径を有することを特徴とする請求項60記載の加熱部材。
  63. 前記支持面は、0.005インチ(約0.127mm)よりも値の小さい平坦度を有することを特徴とする請求項60記載の加熱部材。
  64. 300mmのウエハ基板を支持するために有用な直径を有することを特徴とする請求項63記載の加熱部材。
  65. 前記支持面は、0.002インチ(約0.0508mm)よりも値の小さい平坦度を有することを特徴とする請求項60記載の加熱部材。
  66. 300mmのウエハ基板を支持するために有用な直径を有することを特徴とする請求項65記載の加熱部材。
  67. 熱伝導層に熱接触する加熱体を含み、前記熱伝導層は窒化アルミニウムを含み、前記加熱体は複数の加熱体セグメントを含むことを特徴とする低熱容量加熱部材。
  68. 前記熱伝導層は、主成分が窒化アルミニウムからなることを特徴とする請求項67記載の加熱部材。
  69. 加工物の温度を制御するのに適した装置であって、
    (a)熱伝導性の低熱容量加熱部材と熱接触状態で加工物を支持するための加工物支持面を有する剛性の熱伝導層を有し、前記加熱部材からの熱が前記加工物に伝達され、前記熱伝導層は窒化アルミニウムを含む前記低熱容量加熱部材と、
    (b)高熱容量冷却部材とを含み、
    前記冷却部材が前記加熱部材に熱接触している、少なくとも第1の状態において、
    前記装置は、前記加熱部材と前記冷却部材を支持していることを特徴とする装置。
  70. さらに、前記加熱部材と前記冷却部材が熱的結合しない第2の状態を含むことを特徴とする請求項69記載の装置。
  71. 前記加工物は、マイクロエレクトロニックデバイスを含むことを特徴とする請求項69記載の装置。
  72. 前記加工物は、約200〜約300mmの範囲の直径を有するシリコンウエハを含むことを特徴とする請求項71記載の装置。
  73. 加熱部材の支持面は、0.005インチ(約0.127mm)よりも値の小さい平坦度を有することを特徴とする請求項72記載の装置。
  74. 前記装置は、焼成/冷却装置を含むことを特徴とする請求項69記載の装置。
  75. 前記装置は、プライム/冷却装置を含むことを特徴とする請求項69記載の装置。
  76. 0.01インチ(約0.254mm)よりも値の小さい平坦度を有する表面を有する窒化アルミニウム熱伝導層を備えた低熱容量加熱部材を含む複合型焼成/冷却装置。
  77. 炭化ケイ素熱伝導層は、加工物支持面および反対側の面を含み、前記加工物支持面は、前記加熱部材と熱接触状態にある加工物を支持し、前記加熱部材からの熱が前記加工物に伝達され、前記反対側の面は、複数の電気抵抗型加熱体セグメントを含む多層化加熱体に熱接触することを特徴とする請求項76記載の装置。
  78. 0.005インチ(約0.127mm)よりも値の小さい平坦度を有する表面を有する窒化アルミニウム熱伝導層を備えた低熱容量加熱部材を含む複合型プライム/冷却装置。
  79. 前記窒化アルミニウム熱伝導層は、加工物支持面および反対側の面を含み、前記加工物支持面は、前記加熱部材と熱接触状態にある加工物を支持し、前記加熱部材からの熱が前記加工物に伝達され、前記反対側の面は、複数の電気抵抗型加熱体セグメントを含む多層化加熱体に熱接触することを特徴とする請求項78記載の装置。
JP2003502427A 2001-06-06 2002-05-07 複合型加熱/冷却装置のための加熱部材及び加熱方法 Pending JP2004533098A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/875,558 US6529686B2 (en) 2001-06-06 2001-06-06 Heating member for combination heating and chilling apparatus, and methods
PCT/US2002/014335 WO2002099349A1 (en) 2001-06-06 2002-05-07 Heating member for combination heating and chilling apparatus, and methods

Publications (1)

Publication Number Publication Date
JP2004533098A true JP2004533098A (ja) 2004-10-28

Family

ID=25366005

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2003502427A Pending JP2004533098A (ja) 2001-06-06 2002-05-07 複合型加熱/冷却装置のための加熱部材及び加熱方法

Country Status (7)

Country Link
US (2) US6529686B2 (ja)
EP (1) EP1412687A1 (ja)
JP (1) JP2004533098A (ja)
KR (1) KR20040015731A (ja)
CN (1) CN1513107A (ja)
IL (1) IL158745A0 (ja)
WO (1) WO2002099349A1 (ja)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005071992A (ja) * 2003-08-07 2005-03-17 Canon Inc 減圧雰囲気下における加熱、冷却方法及び画像表示装置の製造方法
JP2016219509A (ja) * 2015-05-15 2016-12-22 富士電機株式会社 加熱冷却方法及び加熱冷却機器
WO2017170374A1 (ja) * 2016-03-29 2017-10-05 日本碍子株式会社 静電チャックヒータ
JP6215426B1 (ja) * 2016-09-21 2017-10-18 オリジン電気株式会社 加熱装置及び板状部材の製造方法

Families Citing this family (99)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4493251B2 (ja) * 2001-12-04 2010-06-30 Toto株式会社 静電チャックモジュールおよび基板処理装置
JP3786091B2 (ja) * 2002-03-22 2006-06-14 セイコーエプソン株式会社 電子デバイス製造装置、電子デバイスの製造方法および電子デバイスの製造プログラム
JP4988202B2 (ja) * 2002-12-20 2012-08-01 マトソン テクノロジー カナダ インコーポレイテッド 工作物の支持及び熱処理の方法とシステム
US7044057B2 (en) * 2003-01-08 2006-05-16 Mary Louise Parker Fabrication of printed dining plates
JP3975944B2 (ja) * 2003-02-27 2007-09-12 住友電気工業株式会社 半導体あるいは液晶製造装置用保持体およびそれを搭載した半導体あるいは液晶製造装置
WO2004095531A2 (en) * 2003-03-28 2004-11-04 Tokyo Electron Ltd Method and system for temperature control of a substrate
US7311810B2 (en) 2003-04-18 2007-12-25 Applied Materials, Inc. Two position anneal chamber
US20040222210A1 (en) * 2003-05-08 2004-11-11 Hongy Lin Multi-zone ceramic heating system and method of manufacture thereof
JP3718688B2 (ja) * 2003-06-17 2005-11-24 東京エレクトロン株式会社 加熱装置
DE10328660B3 (de) * 2003-06-26 2004-12-02 Infineon Technologies Ag Verfahren zum Bestimmen der Temperatur eines Halbleiterwafers
US7993460B2 (en) * 2003-06-30 2011-08-09 Lam Research Corporation Substrate support having dynamic temperature control
US7126087B2 (en) * 2003-08-07 2006-10-24 Canon Kabushiki Kaisha Method of effecting heating and cooling in reduced pressure atmosphere
JP2005166354A (ja) * 2003-12-01 2005-06-23 Ngk Insulators Ltd セラミックヒーター
JP5630935B2 (ja) * 2003-12-19 2014-11-26 マトソン テクノロジー、インコーポレイテッド 工作物の熱誘起運動を抑制する機器及び装置
JP2005229043A (ja) * 2004-02-16 2005-08-25 Sumitomo Electric Ind Ltd ヒータユニット及び該ヒータを搭載した装置
JP4281605B2 (ja) * 2004-04-08 2009-06-17 住友電気工業株式会社 半導体加熱装置
US7180040B2 (en) * 2004-05-27 2007-02-20 Msx, Inc. Crimp splice for an electrical resistance heating cable
KR20050120496A (ko) * 2004-06-19 2005-12-22 주식회사 좋은기술 웨이퍼의 온도측정 센서장치
US7283734B2 (en) * 2004-08-24 2007-10-16 Fujitsu Limited Rapid thermal processing apparatus and method of manufacture of semiconductor device
DE102004055449B4 (de) * 2004-11-17 2008-10-23 Steag Hamatech Ag Verfahren und Vorrichtung zum thermischen Behandeln von Substraten
US7282675B2 (en) * 2004-12-22 2007-10-16 Sokudo Co., Ltd. Integrated thermal unit having a shuttle with a temperature controlled surface
US7288746B2 (en) * 2004-12-22 2007-10-30 Sokudo Co., Ltd. Integrated thermal unit having laterally adjacent bake and chill plates on different planes
US7741585B2 (en) * 2004-12-22 2010-06-22 Sokudo Co., Ltd. Integrated thermal unit having a shuttle with two-axis movement
US7601934B2 (en) * 2004-12-22 2009-10-13 Sokudo Co., Ltd. Integrated thermal unit having a shuttle with a temperature controlled surface
US7297906B2 (en) * 2004-12-22 2007-11-20 Sokudo Co., Ltd. Integrated thermal unit having a shuttle with two-axis movement
US20070295276A1 (en) * 2004-12-22 2007-12-27 Sokudo Co., Ltd. Bake plate having engageable thermal mass
US7274005B2 (en) * 2004-12-22 2007-09-25 Sokudo Co., Ltd. Bake plate having engageable thermal mass
US7126092B2 (en) * 2005-01-13 2006-10-24 Watlow Electric Manufacturing Company Heater for wafer processing and methods of operating and manufacturing the same
US8282768B1 (en) 2005-04-26 2012-10-09 Novellus Systems, Inc. Purging of porogen from UV cure chamber
US8137465B1 (en) 2005-04-26 2012-03-20 Novellus Systems, Inc. Single-chamber sequential curing of semiconductor wafers
US20100270004A1 (en) * 2005-05-12 2010-10-28 Landess James D Tailored profile pedestal for thermo-elastically stable cooling or heating of substrates
JP2007043042A (ja) * 2005-07-07 2007-02-15 Sumitomo Electric Ind Ltd ウェハ保持体およびその製造方法、ならびにそれを搭載したウェハプローバ及び半導体加熱装置
US7941039B1 (en) 2005-07-18 2011-05-10 Novellus Systems, Inc. Pedestal heat transfer and temperature control
US7989021B2 (en) * 2005-07-27 2011-08-02 Global Oled Technology Llc Vaporizing material at a uniform rate
US20070056956A1 (en) * 2005-09-09 2007-03-15 Maddox Harold D Controlling spas
US7184657B1 (en) * 2005-09-17 2007-02-27 Mattson Technology, Inc. Enhanced rapid thermal processing apparatus and method
KR100637717B1 (ko) * 2005-09-28 2006-10-25 세메스 주식회사 베이크 유닛, 상기 베이크 유닛에 사용되는 가열플레이트를 냉각하는 방법, 그리고 상기 베이크 유닛을포함하는 기판 처리 장치 및 방법
EP1946615A4 (en) * 2005-10-05 2015-04-01 Evo Inc ELECTRIC COOKING APPARATUS
JP4940635B2 (ja) * 2005-11-14 2012-05-30 東京エレクトロン株式会社 加熱装置、熱処理装置及び記憶媒体
US7867187B2 (en) 2006-01-10 2011-01-11 Depuy Mitek, Inc. Vapor assisted fluid cutting device and method
GB2435233A (en) * 2006-02-18 2007-08-22 Tyco Electronics Infrared splicing
JP4497103B2 (ja) * 2006-02-21 2010-07-07 住友電気工業株式会社 ウェハ保持体およびそれを搭載したヒータユニット、ウェハプローバ
US20070251456A1 (en) * 2006-04-27 2007-11-01 Applied Materials, Inc., A Delaware Corporation Composite heater and chill plate
JP2007329008A (ja) * 2006-06-07 2007-12-20 Tokyo Electron Ltd 熱板及びその製造方法
US20070295713A1 (en) * 2006-06-15 2007-12-27 John Carlton-Foss System and method for measuring core body temperature
US20080145191A1 (en) * 2006-11-15 2008-06-19 Sokudo Co., Ltd. Actively chilled substrate transport module
US7960297B1 (en) 2006-12-07 2011-06-14 Novellus Systems, Inc. Load lock design for rapid wafer heating
US20080160462A1 (en) * 2007-01-03 2008-07-03 Sokudo Co., Ltd. Method and system for bake plate heat transfer control in track lithography tools
JP4564973B2 (ja) 2007-01-26 2010-10-20 株式会社日立ハイテクノロジーズ プラズマ処理装置
US20080224817A1 (en) * 2007-03-15 2008-09-18 Sokudo Co., Ltd. Interlaced rtd sensor for zone/average temperature sensing
US8052419B1 (en) 2007-11-08 2011-11-08 Novellus Systems, Inc. Closed loop temperature heat up and control utilizing wafer-to-heater pedestal gap modulation
US9070590B2 (en) 2008-05-16 2015-06-30 Mattson Technology, Inc. Workpiece breakage prevention method and apparatus
US9064911B2 (en) * 2008-10-24 2015-06-23 Applied Materials, Inc. Heated cooling plate for E-chucks and pedestals
US8033771B1 (en) 2008-12-11 2011-10-11 Novellus Systems, Inc. Minimum contact area wafer clamping with gas flow for rapid wafer cooling
US8530795B2 (en) 2009-06-26 2013-09-10 Evo, Inc. Electric cooking apparatus
US8785821B2 (en) * 2009-07-06 2014-07-22 Sokudo Co., Ltd. Substrate processing apparatus with heater element held by vacuum
JP5416570B2 (ja) * 2009-12-15 2014-02-12 住友電気工業株式会社 加熱冷却デバイスおよびそれを搭載した装置
GB2477340B (en) * 2010-01-29 2011-12-07 Gkn Aerospace Services Ltd Electrothermal heater mat
US20110268243A1 (en) * 2010-04-28 2011-11-03 Lars Hallstadius Fuel channel arranged to be comprised by a fuel element for a fission reactor
US8410393B2 (en) 2010-05-24 2013-04-02 Lam Research Corporation Apparatus and method for temperature control of a semiconductor substrate support
WO2012009636A1 (en) * 2010-07-15 2012-01-19 Despatch Industries Limited Partnership Firing furnace configuration for thermal processing system
CN101982999A (zh) * 2010-09-29 2011-03-02 卓盈微电子(昆山)有限公司 柔性线路板加热台
CN102456604A (zh) * 2010-10-21 2012-05-16 北京北方微电子基地设备工艺研究中心有限责任公司 卡盘及其制造方法、具有该卡盘的晶片处理设备
US8371567B2 (en) 2011-04-13 2013-02-12 Novellus Systems, Inc. Pedestal covers
SE1150465A1 (sv) * 2011-05-18 2012-08-21 Bioendev Ab Torrefieringsmetod innefattande att torrefieringsreaktionen kyls för att åtminstone delvis motverka en temperaturhöjning
US10242890B2 (en) * 2011-08-08 2019-03-26 Applied Materials, Inc. Substrate support with heater
US9177842B2 (en) * 2011-08-10 2015-11-03 Applied Materials, Inc. Degassing apparatus adapted to process substrates in multiple tiers with second actuator
US20130087309A1 (en) * 2011-10-11 2013-04-11 Applied Materials, Inc. Substrate support with temperature control
SG10201605000PA (en) * 2011-12-23 2016-08-30 Applied Materials Inc Methods and apparatus for cleaning substrate surfaces with atomic hydrogen
KR20140119726A (ko) 2012-01-06 2014-10-10 노벨러스 시스템즈, 인코포레이티드 적응형 열 교환 방법 및 균일한 열 교환을 위한 시스템
US9089007B2 (en) * 2012-04-27 2015-07-21 Applied Materials, Inc. Method and apparatus for substrate support with multi-zone heating
US9245767B2 (en) 2013-09-12 2016-01-26 Applied Materials, Inc. Anneal module for semiconductor wafers
KR20220025146A (ko) * 2014-05-21 2022-03-03 어플라이드 머티어리얼스, 인코포레이티드 열 처리 서셉터
US10941490B2 (en) * 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
JP2016082216A (ja) * 2014-10-09 2016-05-16 東京エレクトロン株式会社 被処理体の温度制御機構、及び多層膜から窒化膜を選択的にエッチングする方法
TW201639063A (zh) * 2015-01-22 2016-11-01 應用材料股份有限公司 批量加熱和冷卻腔室或負載鎖定裝置
DE102015101759B3 (de) * 2015-02-06 2016-07-07 Asm Assembly Systems Gmbh & Co. Kg Bestückmaschine und Verfahren zum Bestücken eines Trägers mit ungehäusten Chips
MX2018002576A (es) * 2015-09-01 2018-06-27 Pressco Ip Llc Sistema y metodo de suministro y control de potencia integrado.
US10154542B2 (en) 2015-10-19 2018-12-11 Watlow Electric Manufacturing Company Composite device with cylindrical anisotropic thermal conductivity
JP1560719S (ja) * 2015-12-01 2016-10-11
US10446419B2 (en) * 2016-03-11 2019-10-15 Toshiba Memory Corporation Semiconductor manufacturing apparatus
US10347547B2 (en) 2016-08-09 2019-07-09 Lam Research Corporation Suppressing interfacial reactions by varying the wafer temperature throughout deposition
JP6847610B2 (ja) * 2016-09-14 2021-03-24 株式会社Screenホールディングス 熱処理装置
US10557812B2 (en) * 2016-12-01 2020-02-11 Stmicroelectronics Pte Ltd Gas sensors
DE102016123362B3 (de) * 2016-12-02 2018-03-08 Asm Assembly Systems Gmbh & Co. Kg Bestückmaschine mit einer Verschiebevorrichtung zum Verschieben einer Aufnahmevorrichtung für einen Träger mit Bestückmedium und ein Verfahren zum Bestücken
JP6945314B2 (ja) 2017-03-24 2021-10-06 株式会社Screenホールディングス 基板処理装置
US11337551B2 (en) * 2018-02-07 2022-05-24 John Bean Technologies Ab Heating element assembly for cooking apparatus
US11848177B2 (en) * 2018-02-23 2023-12-19 Lam Research Corporation Multi-plate electrostatic chucks with ceramic baseplates
JP7116558B2 (ja) * 2018-03-02 2022-08-10 株式会社Screenホールディングス 基板処理装置及び基板処理システム
JP7109211B2 (ja) * 2018-03-06 2022-07-29 株式会社Screenホールディングス 基板処理装置
US10998205B2 (en) * 2018-09-14 2021-05-04 Kokusai Electric Corporation Substrate processing apparatus and manufacturing method of semiconductor device
KR20210117338A (ko) 2019-02-12 2021-09-28 램 리써치 코포레이션 세라믹 모놀리식 바디를 갖는 정전 척
CN112684832B (zh) * 2019-10-17 2022-01-28 中国石油化工股份有限公司 克服碳化硅环状载体温度反应滞后的方法及设备
CN110911316B (zh) * 2019-12-04 2022-04-19 宁波江丰电子材料股份有限公司 一种复合型冷却水盘及其制作方法和用途
CN111256461B (zh) * 2020-02-10 2021-12-28 北京华电光大环境股份有限公司 一种自动分离式红外烘干炉及烘干***
US10866036B1 (en) 2020-05-18 2020-12-15 Envertic Thermal Systems, Llc Thermal switch
EP4344364A1 (en) * 2022-09-23 2024-03-27 Nederlandse Organisatie voor toegepast-natuurwetenschappelijk Onderzoek TNO Thermal processing device and method
CN117248196A (zh) * 2022-12-28 2023-12-19 无锡至辰科技有限公司 一种高均匀性晶圆加热器及其加工方法
CN117286474A (zh) * 2022-12-28 2023-12-26 无锡至辰科技有限公司 一种高温金属外壳晶圆加热器及其加工方法

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB1293132A (en) 1968-11-12 1972-10-18 Gulton Europ Ltd Improvements in or relating to temperature controllers
DE2263469C3 (de) 1972-12-27 1975-10-02 Kernforschungsanlage Juelich Gmbh, 5170 Juelich Temperaturmeßeinrichtung
US3966500A (en) 1973-04-25 1976-06-29 Kernforschungsanlage Julich Gesellschaft Mit Beschrankter Haftung Temperature-measuring device
US4030015A (en) 1975-10-20 1977-06-14 International Business Machines Corporation Pulse width modulated voltage regulator-converter/power converter having push-push regulator-converter means
JPS52141526A (en) 1975-10-27 1977-11-25 Seiko Epson Corp Voltage and temperature compensating control of thermal printer
US4443117A (en) 1980-09-26 1984-04-17 Terumo Corporation Measuring apparatus, method of manufacture thereof, and method of writing data into same
US4486652A (en) 1981-05-12 1984-12-04 Varian Associates, Inc. Blackbody radiation source with constant planar energy flux
US4475823A (en) 1982-04-09 1984-10-09 Piezo Electric Products, Inc. Self-calibrating thermometer
DE3325381A1 (de) 1983-07-14 1985-01-31 Kernforschungsanlage Jülich GmbH, 5170 Jülich Rauschthermometer/thermoelement-messfuehler und leitung zum anschluss an den messfuehler
US5484011A (en) 1986-12-19 1996-01-16 Applied Materials, Inc. Method of heating and cooling a wafer during semiconductor processing
DE3885240D1 (de) 1987-12-03 1993-12-02 Balzers Hochvakuum Verfahren und Vorrichtung zur Übertragung thermischer Energie auf bzw. von einem plattenförmigen Substrat.
US5252807A (en) 1990-07-02 1993-10-12 George Chizinsky Heated plate rapid thermal processor
US5291514A (en) 1991-07-15 1994-03-01 International Business Machines Corporation Heater autotone control apparatus and method
US5226472A (en) 1991-11-15 1993-07-13 Lab-Line Instruments, Inc. Modulated temperature control for environmental chamber
US5567267A (en) 1992-11-20 1996-10-22 Tokyo Electron Limited Method of controlling temperature of susceptor
JPH06244095A (ja) 1993-02-12 1994-09-02 Dainippon Screen Mfg Co Ltd 基板冷却装置
TW262566B (ja) 1993-07-02 1995-11-11 Tokyo Electron Co Ltd
DE634699T1 (de) 1993-07-16 1996-02-15 Semiconductor Systems Inc Gruppiertes fotolithografisches System.
US5410162A (en) 1993-10-15 1995-04-25 Texas Instruments Incorporated Apparatus for and method of rapid testing of semiconductor components at elevated temperature
US5595241A (en) 1994-10-07 1997-01-21 Sony Corporation Wafer heating chuck with dual zone backplane heating and segmented clamping member
GB9511618D0 (en) 1995-06-08 1995-08-02 Deeman Product Dev Limited Electrical heating elements
JPH09157846A (ja) 1995-12-01 1997-06-17 Teisan Kk 温度調節装置
US5802856A (en) 1996-07-31 1998-09-08 Stanford University Multizone bake/chill thermal cycling module
US6072163A (en) 1998-03-05 2000-06-06 Fsi International Inc. Combination bake/chill apparatus incorporating low thermal mass, thermally conductive bakeplate

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005071992A (ja) * 2003-08-07 2005-03-17 Canon Inc 減圧雰囲気下における加熱、冷却方法及び画像表示装置の製造方法
JP2016219509A (ja) * 2015-05-15 2016-12-22 富士電機株式会社 加熱冷却方法及び加熱冷却機器
WO2017170374A1 (ja) * 2016-03-29 2017-10-05 日本碍子株式会社 静電チャックヒータ
JP6251461B1 (ja) * 2016-03-29 2017-12-20 日本碍子株式会社 静電チャックヒータ
KR102062751B1 (ko) * 2016-03-29 2020-01-06 엔지케이 인슐레이터 엘티디 정전 척 히터
US10930539B2 (en) 2016-03-29 2021-02-23 Ngk Insulators, Ltd. Electrostatic chuck heater
JP6215426B1 (ja) * 2016-09-21 2017-10-18 オリジン電気株式会社 加熱装置及び板状部材の製造方法
JP2018049727A (ja) * 2016-09-21 2018-03-29 オリジン電気株式会社 加熱装置及び板状部材の製造方法
WO2018056223A1 (ja) * 2016-09-21 2018-03-29 オリジン電気株式会社 加熱装置及び板状部材の製造方法

Also Published As

Publication number Publication date
WO2002099349A1 (en) 2002-12-12
EP1412687A1 (en) 2004-04-28
CN1513107A (zh) 2004-07-14
IL158745A0 (en) 2004-05-12
US20020186967A1 (en) 2002-12-12
KR20040015731A (ko) 2004-02-19
US6639189B2 (en) 2003-10-28
US20030052118A1 (en) 2003-03-20
US6529686B2 (en) 2003-03-04

Similar Documents

Publication Publication Date Title
JP2004533098A (ja) 複合型加熱/冷却装置のための加熱部材及び加熱方法
US10395964B2 (en) Apparatus and method for measurement of the thermal performance of an electrostatic wafer chuck
JP4881319B2 (ja) 基板を空間的かつ時間的に温度制御するための装置
KR100549231B1 (ko) 열용량이 작은 열전도성 가열 판을 구비한 가열/냉각 조합 장치
JP5111030B2 (ja) 基板処理システムに用いられる耐浸食性絶縁層を有する温度制御された基板ホルダ
JP3881908B2 (ja) プラズマ処理装置
TWI415213B (zh) 高溫靜電夾盤及其使用方法
US20110272899A1 (en) Wafer mount device and manufacturing method thereof
JP6100564B2 (ja) 基板処理装置及び載置台
US20050011441A1 (en) Processing system, processing method and mounting member
US7425838B2 (en) Body for keeping a wafer and wafer prober using the same
JP2007043042A (ja) ウェハ保持体およびその製造方法、ならびにそれを搭載したウェハプローバ及び半導体加熱装置
JP2007035899A (ja) ウエハプローバ用ウエハ保持体及びそれを搭載したウエハプローバ
JP2013157617A (ja) 静電チャック支持組立体
CN107039325A (zh) 具有即时力和薄膜应力控制的基板支撑件
JP2001118835A (ja) 半導体基板の温度制御のための方法及びその装置
JP2000236015A (ja) ホットプレートおよび半導体装置の製造方法
JPH07153706A (ja) サセプタ装置
JP3615694B2 (ja) ウェハ加熱部材及びこれを用いたウェハの均熱化方法
JP2007042959A (ja) ウェハプローバ用ウェハ保持体およびそれを搭載したウェハプローバ
TW200302541A (en) Heated vacuum support apparatus
JP5381879B2 (ja) ウェハ加熱用ヒータユニットおよびそれを搭載した半導体製造装置
US20070182433A1 (en) Wafer holder, and wafer prober and semiconductor manufacturing apparatus provided therewith
CN219842972U (zh) 静电吸盘及搬运设备

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20050407

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20070725

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20080130